patent_number
stringlengths 0
9
| section
stringclasses 4
values | raw_text
stringlengths 0
954k
|
---|---|---|
062556630 | claims | 1. A charged particle beam exposure apparatus, having deflectors, irradiating a pattern on a mask or reticle with a charged particle beam, and projecting an image of the pattern onto a sensitive substrate, wherein: semi-angles and ratio of ampere-turn values of deflecting coils of at least one of the deflectors is set in such a manner that a 3.theta. component, 5.theta. component and 7.theta. component of a magnetic field generated by the deflectors become substantially zero. at least one of the deflectors has n(n.gtoreq.2) sets of deflecting coils of semi-angles .theta.i of substantially 180.degree..times.i/(2n+1) (i=1.about.n), and ratio of ampere-turn values Ji of the deflecting coils are set to substantially fulfill the following equation with regards to integer values k from 1 to m; ##EQU10## at least one of the deflectors has n(n.gtoreq.3) sets of deflecting coils of semi-angles .theta.i of substantially 180.degree..times.(i-1/2)/(2n-1) (i=1.about.n), and ratio of ampere-turn values Ji of the deflecting coils are set to substantially fulfill the following equation with regards to integer values k from 1 to m; ##EQU11## at least one of the deflectors has at least one of a deflecting coil with a semi-angle of 45.degree. and a deflecting coil having a semi-angle sum of 90.degree. with an another deflecting coil within the deflector. at least one of the deflectors has n(n.gtoreq.3) sets of deflecting coils of semi-angles of .theta.i of substantially 180.degree..times.i/(2n) (i=1.about.n), and ratio of ampere-turn values Ji of the deflecting coils are set to substantially fulfill the following equation with regards to integer values k from 1 to m; ##EQU12## at least one of the deflectors has n(n.gtoreq.3) sets of deflecting coils of semi-angles .theta.i of substantially 180.degree..times.(i-1/2)/(2n) (i=1.about.n), and ratio of ampere-turn values Ji of the deflecting coils are set to substantially fulfill the following equation with regards to integer values k from 1 to m; ##EQU13## at least one of the deflectors has n(n.gtoreq.3) sets of deflecting coils and ampere-turn values of these deflecting coils are set substantially equal. in the lithographic step, a charged particle beam exposure apparatus as defined in claim 1. 2. The charged particle beam exposure apparatus of claim 1 wherein: 3. The charged particle beam exposure apparatus of claim 1 wherein: 4. The charged particle beam exposure apparatus of claim 1 wherein: 5. The charged particle beam exposure apparatus of claim 4 wherein: 6. The charged particle beam exposure apparatus of claim 4 wherein: 7. The charged particle exposure apparatus of claim 1 wherein: 8. A semiconductor device manufacturing method having a lithographic step wherein: 9. A semiconductor device manufactured by the semiconductor device manufacturing method defined in claim 8. |
claims | 1. Apparatus for inspecting a sample, said apparatus equipped with an optical microscope to observe the sample, and a charged particle column for producing a focused beam of charged particles to observe or modify the sample with said beam of charged particles,characterized in thatthe optical microscope is equipped to inspect the sample while it is mounted on a microscope slide, said microscope slide coated with a conductive layer,the charged particle column is equipped to inspect or modify the sample while it is mounted on the microscope slide, andthe conductive layer of the microscope slide is kept at a constant voltage while observing or modifying the sample with the beam of charged particles. 2. The apparatus according to claim 1 in which the constant voltage equals ground potential. 3. The apparatus according to claim 2 in which the optical microscope is equipped to inspect the sample by using light transmitted through the sample. 4. The apparatus according to claim 2 in which the apparatus is equipped to irradiate the sample with the beam of charged particles while it is simultaneously inspected with the optical microscope. 5. The apparatus according to claim 2 in which the charged particle column is a FIB column or a SEM column. 6. The apparatus according to claim 2 in which the region of interest that is observed with the optical microscope is centred with the region of interest that is observed with the charged particle column. 7. The apparatus according to claim 2 in which the apparatus is equipped to form a vacuum seal against the microscope slide when the sample is observed with a beam of charged particles. 8. Apparatus according to claim 1 in which the optical microscope is equipped to inspect the sample by using light transmitted through the sample. 9. The apparatus according to claim 8 in which the apparatus is equipped to irradiate the sample with the beam of charged particles while it is simultaneously inspected with the optical microscope. 10. The apparatus according to claim 8 in which the charged particle column is a FIB column or a SEM column. 11. The apparatus according to claim 8 in which the region of interest that is observed with optical microscope is centred with the region of interest that is observed with the charged particle column. 12. The apparatus according to claim 8 in which the apparatus is equipped to form a vacuum seal against the microscope slide when the sample is observed with a beam of charged particles. 13. Apparatus according to claim 1 in which the apparatus is equipped to irradiate the sample with the beam of charged particles while it is simultaneously inspected with the optical microscope. 14. The apparatus according to claim 13 in which the charged particle column is a FIB column or a SEM column. 15. The apparatus according to claim 13 in which the region of interest that is observed with the optical microscope is centred with the region of interest that is observed with the charged particle column. 16. The apparatus according to claim 13 in which the apparatus is equipped to form a vacuum seal against the microscope slide when the sample is observed with a beam of charged particles. 17. Apparatus according claim 1 in which the charged particle column is a FIB column or a SEM column. 18. The apparatus according to claim 17 in which the region of interest that is observed with the optical microscope is centred with the region of interest that is observed with the charged particle column. 19. The apparatus according to claim l7 in which the apparatus is equipped to form a vacuum seal against the microscope slide when the sample is observed with a beam of charged particles. 20. Apparatus according to claim 1 in which the region of interest that is observed with the optical microscope is centred with the region of interest that is observed with the charged particle column. 21. The apparatus according to claim 20 in which the apparatus is equipped to form a vacuum seal against the microscope slide when the sample is observed with a beam of charged particles. 22. Apparatus according to claim 1 in which the apparatus is equipped to form a vacuum seal against the microscope slide when the sample is observed with a beam of charged particles. |
|
claims | 1. An x-ray imaging system comprising:an x-ray source;an optical device for directing x-rays from the x-ray source, the optical device comprising at least one point-focusing, curved monochromating optic for directing x-rays from the x-ray source towards a focal point in the form of a focused monochromatic x-ray beam;a detector aligned with the focused monochromatic x-ray beam directed from of the optical device, the detector being an imaging detector;wherein the optical device facilitates radiographic imaging of an object using the detector when the object is located between the optical device and the detector, within the focused monochromatic x-ray beam provided by the optical device; andwherein the optical device facilitates image demagnification of the object with the object placed within the focused monochromatic x-ray beam before the focal point, and the detector located closer to the focal point than the object is to the focal point. 2. The x-ray imaging system of claim 1, wherein each at least one point-focusing, curved monochromating optic of the optical device comprises an optical surface, the optical surface being doubly-curved. 3. The x-ray imaging system of claim 1, wherein the at least one point-focusing, curved monochromating optic of the optical device comprises a plurality of doubly-curved optical crystals or a plurality of doubly-curved multilayer optics. 4. The x-ray imaging system of claim 1, wherein the at least one point-focusing, curved monochromating optic comprises at least one symmetrical optic having equal input and output focal lengths or at least one asymmetrical optic having different input and output focal lengths. 5. The x-ray imaging system of claim 1, wherein the at least one point-focusing, curved monochromating optic comprises a plurality of doubly-curved optics positioned with the x-ray source and the focal point to define at least one Rowland circle of radius R, and wherein the plurality of doubly-curved optics provides focusing of x-rays from the source to the focal point, and the plurality of doubly-curved optics have a surface profile radius in the plane of the Rowland circle different from the radius R. 6. The x-ray imaging system of claim 1, wherein the x-ray source is a low power x-ray source of less than 1,000 watts. 7. The x-ray imaging system of claim 1, wherein the object is a biological object. 8. An x-ray imaging system comprising:an x-ray source;an optical device for directing x-rays from the x-ray source, the optical device comprising at least one point-focusing, curved monochromating optic for directing x-rays from the x-ray source towards a focal point in the form of a focused monochromatic x-ray beam;a detector aligned with the focused monochromatic x-ray beam directed from of the optical device, the detector being an imaging detector;wherein the optical device facilitates radiographic imaging of an object using the detector when the object is located between the optical device and the detector, within the focused monochromatic x-ray beam provided by the optical device; andwherein the optical device facilitates radiographic imaging of the object with the object located within the focused monochromatic x-ray beam before the focal point and the detector located between the object and the focal point. 9. The x-ray imaging system of claim 8, wherein each at least one point-focusing, curved monochromating optic of the optical device comprises an optical surface, the optical surface being doubly-curved. 10. The x-ray imaging system of claim 8, wherein the at least one point-focusing, curved monochromating optic of the optical device comprises a plurality of doubly-curved optical crystals or a plurality of doubly-curved multilayer optics. 11. The x-ray imaging system of claim 8, wherein the at least one point-focusing, curved monochromating optic comprises at least one symmetrical optic having equal input and output focal lengths or at least one asymmetrical optic having different input and output focal lengths. 12. The x-ray imaging system of claim 8, wherein the at least one point-focusing, curved monochromating optic comprises a plurality of doubly-curved optics positioned with the x-ray source and the focal point to define at least one Rowland circle of radius R, and wherein the plurality of doubly-curved, optics provides focusing of x-rays from the source to the focal point, and the plurality of doubly-curved optics have a surface profile radius in the plane of the Rowland circle different from the radius R. 13. The x-ray imaging system of claim 8, wherein the x-ray source is a low power x-ray source of less than 1,000 watts. 14. The x-ray imaging system of claim 8, wherein the object is a biological object. 15. An x-ray imaging system comprising:an x-ray source;an optical device for directing x-rays from the x-ray source, the optical device comprising at least one point-focusing, curved monochromating optic for directing x-rays from the x-ray source towards a focal point in the form of a focused monochromatic x-ray beam;a detector aligned with the focused monochromatic x-ray beam directed from of the optical device, the detector being an imaging detector;wherein the optical device facilitates radiographic imaging of an object using the detector when the object is located between the optical device and the detector, within the focused monochromatic x-ray beam provided by the optical device; andwherein the optical device facilitates radiographic imaging of the object with the object located within the focused monochromatic x-ray beam before the focal point and the detector located after the focal point. 16. The x-ray imaging system of claim 15, wherein the optical device facilitates radiographic imaging of the object with the detector located closer to the focal point than the object is to the focal point. 17. The x-ray imaging system of claim 15, wherein the optical device facilitates radiographic imaging of the object with the object located closer to the focal point than the detector. 18. The x-ray imaging system of claim 15, wherein each at least one point-focusing, curved monochromating optic of the optical device comprises an optical surface, the optical surface being doubly-curved. 19. The x-ray imaging system of claim 15, wherein the at least one point-focusing, curved monochromating optic of the optical device comprises a plurality of doubly-curved optical crystals or a plurality of doubly-curved multilayer optics. 20. The x-ray imaging system of claim 15, wherein the at least one point-focusing, curved monochromating optic comprises at least one symmetrical optic having equal input and output focal lengths or at least one asymmetrical optic having different input and output focal lengths. 21. The x-ray imaging system of claim 15, wherein the at least one point-focusing, curved monochromating optic comprises a plurality of doubly-curved optics positioned with the x-ray source and the focal point to define at least one Rowland circle of radius R, and wherein the plurality of doubly-curved optics provides focusing of x-rays from the source to the focal point, and the plurality of doubly-curved optics have a surface profile radius in the plane of the Rowland circle different from the radius R. 22. The x-ray imaging system of claim 15, wherein the x-ray source is a low power x-ray source of less than 1,000 watts. 23. The x-ray imaging system of claim 15, wherein the object is a biological object. 24. An x-ray imaging system comprising:an x-ray source;an optical device for directing x-rays from the x-ray source, the optical device comprising at least one point-focusing, curved monochromating optic for directing x-rays from the x-ray source towards a focal point in the form of a focused monochromatic x-ray beam;a detector aligned with the focused monochromatic x-ray beam directed from of the optical device, the detector being an imaging detector;wherein the optical device facilitates radiographic imaging of an object using the detector when the object is located between the optical device and the detector, within the focused monochromatic x-ray beam provided by the optical device; andwherein the optical device facilitates radiographic imaging of the object with the object and the detector located after the focal point. 25. The x-ray imaging system of claim 24, wherein each at least one point-focusing, curved monochromating optic of the optical device comprises an optical surface, the optical surface being doubly-curved. 26. The x-ray imaging system of claim 24, wherein the at least one point-focusing, curved monochromating optic of the optical device comprises a plurality of doubly-curved optical crystals or a plurality of doubly-curved multilayer optics. 27. The x-ray imaging system of claim 24, wherein the at least one point-focusing, curved monochromating optic comprises at least one symmetrical optic having equal input and output focal lengths or at least one asymmetrical optic having different input and output focal lengths. 28. The x-ray imaging system of claim 24, wherein the at least one point-focusing, curved monochromating optic comprises a plurality of doubly-curved optics positioned with the x-ray source and the focal point to define at least one Rowland circle of radius R, and wherein the plurality of doubly-curved optics provides, focusing of x-rays from the source to the focal point, and the plurality of doubly-curved optics have a surface profile radius in the plane of the Rowland circle different from the radius R. 29. The x-ray imaging system of claim 24, wherein the x-ray source is a low power x-ray source of less than 1,000 watts. 30. The x-ray imaging system of claim 24, wherein the object is a biological object. 31. An x-ray imaging system comprising:an x-ray source;a first optical device comprising at least one first point-focusing, curved monochromating optic for directing x-rays from the x-ray source towards a first focal point in the form of a first focused monochromatic x-ray beam;a second optical device aligned with the first focused monochromatic x-ray beam directed from the first optical device, the second optical device comprising at least one second point-focusing, curved monochromating optic for directing x-rays of the first focused monochromatic x-ray beam towards a second focal point in the form of a second focused monochromatic x-ray beam;a detector aligned with the second focused monochromatic x-ray beam directed from the second optical device, the detector being an imaging detector; andwherein the first and second optical devices facilitate x-ray imaging of an object using the detector when the object is located between the first optical device and the second optical device within the first focused monochromatic x-ray beam. 32. The x-ray imaging device of claim 31, wherein the at least one first point-focusing, curved monochromating optic and the at least one second point-focusing, curved monochromating optic each comprises an optical surface, the optical surface being doubly-curved. 33. The x-ray imaging system of claim 31, wherein the at least one first point-focusing, curved monochromating optic and the at least one second point-focusing, curved monochromating optic comprise at least one of a plurality of doubly-curved optical crystals and a plurality of doubly-curved multilayer optics. 34. The x-ray imaging system of claim 31, wherein the at least one first point-focusing, curved monochromating optic comprises a first plurality of doubly-curved optics positioned with the x-ray source and the first focal point to define at least one Rowland circle of radius R, and wherein the first plurality of doubly-curved optics provides focusing of x-rays from the source to the first focal point, and wherein the first plurality of doubly-curved optics have a surface profile radius in the plane of the Rowland circle different from the radius R, and wherein the at least one second point-focusing, curved monochromating optic comprises a second plurality of doubly-curved optics positioned with the first focal point and the second focal point to define at least one Rowland circle of radius R′, and wherein the second plurality of doubly-curved optics provides focusing of x-rays from the first focal point, and wherein the second plurality of doubly-curved optics have a surface profile radius in the plane of the Rowland circle different from the radius R′. 35. The x-ray imaging system of claim 31, wherein the first and second optical devices facilitate imaging of the object using the detector when the object is located between the first focal point and the second optical device within the first focused monochromatic x-ray beam. 36. The x-ray imaging system of claim 31, wherein the first and second optical devices facilitate imaging of the object using the detector when the object is located between the first optical device and the first focal point within the first focused monochromatic x-ray beam. 37. The x-ray imaging system of claim 31, wherein at least one of the first and second optical devices facilitates refractive index imaging of the object. 38. The x-ray imaging system of claim 31, wherein at least one of the first and second optical devices facilitates polarized beam imaging of the object. |
|
claims | 1. A power module assembly comprising:a reactor core immersed in a primary coolant;a reactor vessel housing the primary coolant and the reactor core, wherein an inner surface of the reactor vessel is exposed directly to the primary coolant during normal operation of the power module assembly;an internal dry containment vessel in direct contact with and completely submerged in liquid, wherein the containment vessel substantially surrounds the reactor vessel in a below atmospheric pressure condition, wherein an outer surface of the reactor vessel is exposed directly to the below atmospheric pressure condition during the normal operation of the power module assembly, and wherein the containment vessel is configured to prohibit a release of the primary coolant out of the containment vessel; anda vent configured to controllably release the primary coolant into the containment vessel as primarily steam during an over-pressurization event. 2. The power module assembly according to claim 1, wherein the vent is connected to the reactor vessel and controllably releases the primary coolant at a rate that maintains a steady state containment pressure through condensation of the primary coolant on an inner surface of the containment vessel. 3. The power module assembly according to claim 1, wherein an inner surface of the containment vessel is dry prior to the over-pressurization event. 4. The power module assembly according to claim 1, wherein during the over-pressurization event, the vent controllably releases the primary coolant into the containment vessel and removes a decay heat of the reactor core through condensation of the primary coolant on an inner surface of the containment vessel located adjacent the liquid. 5. The power module assembly according to claim 4, further comprising cooling fins attached to an outside wall of the containment vessel and within the liquid to further remove the decay heat of the reactor core, wherein the condensation on the inner surface occurs on a portion of the containment wall opposite the cooling fins. 6. The power module assembly according to claim 4, wherein the primary coolant that condenses in the containment vessel is circulated back through the reactor core without leaving the containment vessel. 7. The power module assembly according to claim 4, wherein a pool of the condensed primary coolant forms in the containment vessel and surrounds a lower portion of the reactor vessel. 8. The power module assembly according to claim 1, wherein the primary coolant remains substantially confined within the containment vessel during the over-pressurization event. 9. The power module assembly according to claim 1, wherein the containment vessel is maintained at the below atmospheric pressure condition during the normal operation of the power module assembly, and wherein the containment vessel is maintained at an above atmospheric pressure condition after the primary coolant is released into the containment vessel. 10. The power module assembly according to claim 1, wherein substantially all thermal insulation of the reactor vessel is provided by the below atmospheric pressure condition. 11. The power module assembly according to claim 1, further comprising reflective insulation provided between the reactor vessel and the containment vessel, wherein substantially all thermal insulation of the reactor vessel is provided by a combination of the below atmospheric pressure condition and the reflective insulation. 12. The power module assembly according to claim 1, wherein the below atmospheric pressure condition provides a reduction in convective heat transfer between the reactor vessel and the containment vessel. 13. The power module assembly according to claim 1, wherein substantially all of the primary coolant contained in the containment vessel is housed in the reactor vessel during the normal operation of the power module assembly. 14. A power module comprising:a containment vessel in contact with and substantially submerged in a liquid, wherein during normal operation of the power module, the containment vessel is configured to prohibit a release of a primary coolant out of the containment vessel, and wherein during normal operation of the power module, the containment vessel is maintained at a below atmospheric pressure condition;a reactor vessel mounted inside the containment vessel, wherein during normal operation of the power module, substantially all thermal insulation of the reactor vessel is provided by the below atmospheric pressure condition;a reactor core submerged in the primary coolant; anda steam vent connected to the reactor vessel, wherein when the reactor core becomes over-heated the steam vent controllably releases the primary coolant as primarily steam into the containment vessel, wherein the steam condenses on an inner surface of the containment vessel and forms a pool of primary coolant extending between the inner surface of the containment vessel and an outer surface of the reactor vessel, and wherein the entire inner surface of the containment vessel is dry during the normal operation of the power module and prior to the steam being vented into the containment vessel. 15. The power module according to claim 14, wherein the condensation of the steam on the inner surface of the containment vessel reduces pressure in the containment vessel at approximately the same rate that the steam released by the steam vent adds pressure to the containment vessel. 16. The power module according to claim 14, wherein the steam vent is configured to release the steam into the containment vessel to remove a decay heat of the reactor core through the condensation of the steam on the inner surface of the containment vessel, and wherein the decay heat is removed by the liquid located opposite the condensed steam on an outer surface of the containment vessel. 17. The power module according to claim 14, wherein heat from the power module is removed primarily through conduction from an outer surface of the containment vessel. 18. The power module according to claim 14, wherein the steam vent controllably releases the steam into the containment vessel when a pressure within the reactor vessel exceeds a maximum pressure threshold value. 19. The power module according to claim 14, wherein the pool of primary coolant fills the containment vessel outside of the reactor vessel to a level that is greater than a top of the reactor core. 20. The power module according to claim 19, wherein the level of the pool of primary coolant outside of the reactor vessel is maintained at approximately the same level as the primary coolant that remains within the reactor vessel. 21. The power module according to claim 14, wherein the pool of primary coolant within the containment vessel comprises the primary coolant that is released from the reactor vessel. 22. The power module according to claim 14, wherein the outer surface of the reactor vessel comprises a steel housing, and wherein the steel housing is exposed directly to the below atmospheric pressure condition during the normal operation of the power module. 23. The power module according to claim 22, wherein no insulating material is placed on the steel housing. 24. The power module according to claim 14, wherein the below atmospheric pressure condition provides a reduction in convective heat transfer through gases located between the reactor vessel and the containment vessel. 25. The power module according to claim 14, wherein during normal operation of the power module, substantially all of the primary coolant contained in the containment vessel is housed within the reactor vessel. 26. A power module assembly, comprising:means for circulating primary coolant through a reactor core, wherein the reactor core and the primary coolant are housed in a reactor vessel;means for controllably releasing the primary coolant as steam into a containment vessel substantially surrounding the reactor vessel in response to a high pressure condition within the reactor vessel, wherein an entire inner surface of the containment vessel is dry prior to releasing the primary coolant as steam into the containment vessel, wherein the steam condenses on the inner surface of the containment vessel, and wherein the condensed steam forms a pool of primary coolant extending between an outer wall of the reactor vessel and the inner surface of the containment vessel; andmeans for circulating the pool of primary coolant back into the reactor vessel and through the reactor core, wherein the pool of primary coolant is made up entirely of the condensed steam. 27. The power module assembly according to claim 26, wherein a surface level of the pool of primary coolant is higher than a top of the reactor core, and wherein the pool of primary coolant did not exist prior to releasing the primary coolant as steam into the containment vessel. 28. The power module assembly according to claim 26, wherein the containment vessel is maintained at a below atmospheric pressure condition prior to controllably releasing the primary coolant as steam, and wherein substantially all thermal insulation of the reactor vessel is provided by the partial vacuum. 29. The power module assembly according to claim 26, wherein prior to controllably releasing the primary coolant as steam:the containment vessel is maintained at a below atmospheric pressure condition; andthe outer surface of the reactor vessel is exposed to the below atmospheric pressure condition, wherein the below atmospheric pressure condition provides a reduction in convective heat transfer through gases located between the reactor vessel and the containment vessel. 30. The power module assembly according to claim 26, wherein the containment vessel is configured to prohibit a release of the primary coolant out of the containment vessel, and wherein the containment vessel is maintained at a below atmospheric pressure condition prior to controllably releasing the primary coolant as steam. |
|
summary | ||
claims | 1. A system for the attenuation of radiation during a Computed Tomography procedure conducted using a Computed Tomography machine having a gantry defining an opening, the system comprising:a shield made of a flexible material radiation attenuation material, the shield is positionable at least partially in front of the opening defined by the gantry to reduce radiation exposure during the Computed Tomography procedure; andan interface supported at the shield for detachably coupling the shield to the Computed Tomography machine,wherein the shield is selectively addable to and removable from the Computed Tomography machine depending on the Computed Tomography procedure. 2. The system of claim 1, wherein the shield is a one-piece member extending continuously between a first portion supporting the interface and a second portion configured to drape over a patient undergoing the Computed Tomography procedure. 3. The system of claim 2, wherein the interface allows the shield to be directly coupled to a front portion of the Computed Tomography machine near the gantry. 4. The system of claim 2, wherein the interface remains stationary as a patient enters the opening defined by the gantry. 5. The system of claim 4, wherein the second portion of the shield is engageable by the patient entering the opening. 6. The system of claim 5, wherein the interface comprises at least one of a snap, adhesive, grommet, or zipper. 7. The system of claim 2, wherein the shield is a substantially solid member. 8. The system of claim 2, wherein the shield includes a plurality of flaps extending in a substantially vertical direction. 9. The system of claim 2, wherein the shield includes at least one slit starting at a bottom edge of the shield and extending in a substantially, vertical direction for enabling access to the patient. 10. The system of claim 1, wherein the interface comprises a hook and loop fastener. 11. The system of claim 10, wherein the hook and loop fastener is provided along a top portion of the shield. 12. The system of claim 1, wherein the radiation attenuation material is substantially non-lead. 13. The system of claim 1, wherein the shield has a substantially rectangular shape. 14. The system of claim 1, wherein the shield has a curvilinear edge. 15. The system of claim 14, wherein the shield has a substantially circular shape. 16. The system of claim 1, wherein the shield reduces radiation exposure to a medical personnel near the Computed Tomography machine during the Computed Tomography procedure. 17. The system of claim 1, wherein the shield reduces radiation exposure to the patient during the Computed Tomography procedure. 18. A system for the attenuation of radiation during a Computed Tomography procedure conducted using a Computed Tomography machine configured to receive a patient table, the system comprising:a shield made of a flexible radiation attenuation material;an interface supported at the shield for detachably coupling the shield to the Computed Tomography machine,wherein the shield is selectively addable to and removable from the Computed Tomography machine by medical personnel depending on the Computed Tomography procedure. 19. The system of claim 18, wherein the interface enables the shield to be coupled at a lateral side of the patient table and extend downward therefrom. 20. The system of claim 19, wherein the shield is sized to extend continuously between the Computed Tomography machine and the patient table. 21. The system of claim 20, wherein the interface allows the shield to be directly coupled to the patient table and the Computed Tomography machine. 22. The system of claim 20, wherein the shield is a one-piece member having a substantially rectangular shape. 23. The system of claim 18, wherein the radiation attenuation material is substantially non-lead. 24. The system of claim 18, wherein interface is a hook and loop fastener. 25. The system of claim 18, wherein the interface allows the shield to be selectively moved between a first lateral side of the patient table and a second lateral side of the patient table. 26. A method of attenuating radiation exposure to a medical personnel during a Computed Tomography procedure preformed by a Computed Tomography machine, the method comprising:detachably coupling a flexible radiation attenuation material to the Computed Tomography machine between the medical personnel and the Computed Tomography machine,wherein the flexible radiation attenuation material is selectively addable to and removable from the Computed Tomography machine by the medical personnel depending on the Computed Tomography procedure. 27. The method of claim 26, further comprising disposing the flexible radiation attenuation material across an opening defined by a gantry of a Computed Tomography machine. 28. The method of claim 26, further comprising coupling the flexible radiation attenuation material to a front portion of the Computed Tomography machine. 29. The method of claim 26, further comprising coupling the flexible radiation material to a patient table. 30. A system for the attenuation of radiation during a Computed Tomography procedure conducted using a Computed Tomography machine, the system comprising:flexible means for reducing radiation exposure to a medical personnel during the Computed Tomography procedure; andinterface means for detachably coupling the flexible means to the Computed Tomography machine,wherein the flexible means is positionable between the Computed Tomography machine and the medical personnel, andwherein the flexible means is selectively addable to and removable from the Computed Tomography machine by the medical personnel between Computed Tomography procedures. 31. The system of claim 30, wherein the flexible means comprises a one-piece member positionable at least partially in front of an opening defined by a gantry of the Computed Tomography machine. 32. The system of claim 30, wherein the flexible means comprises a one-piece member and the interface means allows the one-piece member to be detachably coupled to a front portion of the Computed Tomography machine. 33. The system of claim 32, wherein the interface means allows the one-piece member to be detachably coupled at lateral side of a patient table. 34. The system of claim 33, wherein the one-piece member extends continuously between the front portion of the Computed Tomography machine and the lateral side of the patient table. |
|
051200295 | summary | TECHNICAL FIELD The present invention relates to linings for crucible furnaces and transfer vessels used to repetitively handle molten metal and provides an improved composite lining. BACKGROUND ART In a crucible furnace, the metal to be heated is placed in a silicon carbide crucible supported on a pedestal within a furnace which is commonly heated by gas-fired or oil-fired burners acting on the crucible. The furnace has a steel shell with an internal lining. The working inner face (hotface) of the shell lining is subjected to the maximum temperature in the furnace and is spaced from the crucible a predetermined distance. Hence, since the maximum size of crucible for a given furnace is limited by the thickness of the lining, it is desirable to minimize the lining thickness. In the handling of molten metal, it is advantageous to have a durable lining with the physical ability to withstand the conditions at the hotface for long life while also insulating against heat loss from the vessel. Unfortunately, strong refractory materials generally do not have the heat resistivity required to meet efficient thermal requirements. In the past, it has been common in the crucible furnace art to use ceramic fiber liner material offering reactively fast installation with no cure-out and good insulation quality. The disadvantages are relatively poor heat retention and resistance to burner erosion, and short life due to poor resistance to metal spills. Various castable refractories have been used having the advantage of being inexpensive but having as disadvantages poor resistance to erosion and metal attack if formulated for a good insulation characteristic and poor heat retention if formulated for strength. Also, the castable refractories have been criticized as being messy and unduly time-consuming to apply and as requiring long cure-outs. Various plastics have also been used to give strong mechanical strength to resist erosion and good resistance to metal wetting, but these too have had relatively poor heat retention and insulation characteristics, have been overly time-consuming to install, and have involved extensive cure-out schedules. DISCLOSURE OF THE INVENTION Since there is not a single ideal liner layer, the present invention aims to provide a composite liner which is relatively thin overall to maximize capacity and which has improved thermal efficiency to the extent of substantially decreasing fuel consumption and shortening the melting period in a crucible furnace. The invention also aims to provide a liner which is easy and quick to apply, has a long life, and is advantageous for lining transfer vessels, such as ladles, as well as crucible furnaces. The composite lining of the present invention has an outer insulating liner with an unusually good insulating ability and a dense inner working liner with a durable hotface. The working liner has a high heat-retention characteristic and low insulating value as compared to the insulating liner, and is cast in position. The insulating liner is applied in board-like form and has adequate structural strength to support the working liner at high temperatures and to serve as an outer form complementing a portable inner form when the working liner is cast. The present invention permits the working liner to be selected in terms of density, volume (thickness), K-factor (thermal conductivity), and specific heat to define a heat-retention capability maximizing thermal efficiency. It has been found that, ideally, the heat-retention capability should approximate the heat required to melt the metal being heated in the crucible and raise its temperature to casting temperature after taking into account exterior heat losses via the furnace shell. The composite lining of this invention, by providing a relatively thin, outer insulating liner (layer) with a high insulating characteristic between the furnace shell and an inner working liner (layer) of high heat-retention ability, makes it possible to obtain the desired heat-retention capability for the working liner without increasing the overall liner thickness. In fact, it has been possible in some crucible furnace operations to decrease the liner thickness, and therefore increase the furnace capacity, while at the same time decreasing fuel consumption and decreasing the heating period for each cycle of bringing a charge (aluminum, for example) from room temperature to a casting state. In carrying out the present invention, it is preferred to use a readily castable, water-free refractory material for the working liner, such as the "DRI-VIBE".RTM. refractories made by Allied Mineral Products, Inc., Columbus, Ohio. Such includes "DV60A".RTM., which has been used in the practice of this invention for aluminum-melting crucible furnaces. This liner material is 60% Al.sub.2 O.sub.3, 38% SiO.sub.2, and 2% TiO.sub.2, in addition to containing heat-setting sintering mechanisms, and has a density of about 145 pounds per cubic foot. It develops adequate strength after one hour at 800.degree. F. to initiate use. For the insulating liner of the composite lining, it is preferred to use a product such as "BARNESBOARD,".RTM. sold by R. A. Barnes, Inc., Seattle, Washington, which is a fiberboard-type product containing silica (73%-91%), mineral wool or other suitable inorganic fibers (1%-6%), organic fibers (1%-3%), calcium silicate (3%-5%), diatomaceous earth (2%-5%), and binder (2%-8%), such as a suitable phenolic resin. This product has a density in the range of about 40 pounds per cubic foot and a K-factor of about 0.25 at room temperature, compared to a K-factor of about 10.0 for the "DV60A".RTM. refractory material. Typically, the insulating liner is one inch thick and is in sheet form, with parallel V-grooves extending at regular intervals along its length so that the sheet may be readily bent in a circle and with the sections between grooves forming chords of the circle. The above-identified fiberboard product, preferred for the insulating liner, has an unusually low K-factor through a wide temperature range and also maintains adequate crushing strength through a wide temperature range to support the working liner. For example, a thermal conductivity test, ASTM C-201, indicates that when the hotface of the insulating liner board is 1993.degree. F., the coldface is only 202.degree. F.; and a hot crushing test on two-inch cubes of the liner board indicates an average crushing pressure of 27 psi at 1000.degree. F. and 11 psi at 2000.degree. F. to reach 20% deformation. The cold crushing strength is 225 psi. |
claims | 1. A process for purifying Mo-99 from (i) an acidic solution by dissolving an irradiated solid target comprising uranium in an acidic medium; (ii) an acidic solution comprising uranium and which has previously been irradiated in a nuclear reactor, or (iii) an acidic solution comprising uranium and which has been used as reactor fuel in a homogeneous reactor, the process comprising(a) adsorbing the Mo-99 in the acidic solution onto an adsorbent comprising a zirconium compound selected from the group consisting of zirconium oxide, zirconium hydroxide, zirconium alkoxide, zirconium halide and zirconium oxide halide and eluting the Mo-99 from the adsorbent using a solution of base;(b) adsorbing the eluted Mo-99 in the solution of base onto an anion exchange material and eluting the Mo-99 from the anion exchange material using a solution of acid;(c) adsorbing the eluted Mo-99 in the solution of acid onto a MnO2 material and dissolving the MnO2 material bearing the adsorbed Mo-99 with a solution of sulfuric acid containing thiocyanide ions and a reducing agent to form a solution comprising [Mo(SCN)6]3−; and(d) contacting the solution comprising [Mo(SCN)6]3− with an ion exchange material comprising iminodiacetate groups to adsorb the Mo-99 onto the ion exchange material and eluting the Mo-99 from the ion exchange material using a solution of base. 2. The process of claim 1, wherein the adsorbent also comprises a titanium oxide and/or silicon oxide. 3. The process of claim 2, wherein the zirconium compound is present at a concentration of from 5 to 70 mol % of the adsorbent. 4. The process of claim 1, wherein the adsorbent is in the form of pellets. 5. The process of claim 1, wherein the acidic solution is contacted with the adsorbent in a column packed with the adsorbent and provided with an inlet and an outlet. 6. The process of claim 5, wherein, following passage of the acidic solution through the column packed with the adsorbent, the column is flushed with an acid solution and/or rinsed with water. 7. The process of claim 1, wherein the solution of base used in step (a) is 1 M sodium hydroxide. 8. The process of claim 1, wherein the solution of acid used in step (b) is 3-4 M nitric acid. 9. The process of claim 1, wherein the solution of sulfuric acid containing thiocyanide ions used in step (c) comprises 9 M sulfuric acid. 10. The process of claim 1, wherein the solution of base used in step (d) is 1 M sodium hydroxide. 11. The process of claim 10, wherein the solution of base further comprises hydrogen peroxide. 12. The process of claim 1, wherein the Mo-99 eluted from the ion exchange material in step (d) is adsorbed to an anion exchange material and the Mo-99 is eluted from the anion exchange material using a solution of nitric acid. 13. The process of claim 12, wherein the eluted Mo-99 in the solution of nitric acid is heated until dryness, thereby forming a dried product. 14. The process of claim 13, wherein the dried product is sublimated at 800° C. to form a sublimated solid. 15. The process of claim 14, wherein the sublimated solid is solubilized in an alkaline solution. |
|
042082471 | abstract | An improved neutron monitoring and startup source for a thermal nuclear reactor including a fast neutron emitting material encapsulated within a cladding substantially black to thermal neutrons. In another embodiment the inventive source, or any source, is advantageously affixed to movable control elements so as to reside out of the reactor core for periods of high neutron flux. |
description | This application is a divisional of U.S. application Ser. No. 10/753,355, filed Jan. 9, 2004 now U.S. Pat. No. 7,305,544, which claims the benefit of U.S. Provisional Application No. 60/438,993, filed Jan. 10, 2003, the disclosures of which are hereby incorporated by reference in their entirety. Heightened security concerns worldwide have greatly increased the need for rapid and accurate detection and classification of controlled substances. Detection of controlled substances, with greater certainty, is especially critical at points of entry into countries, transportation hubs, sensitive facilities (e.g., nuclear power plants), and buildings. Securing airports from controlled substances such as explosives, nuclear material, drugs, pathogens, food and their component parts has been complicated by the large volume of luggage moved through airports each day. Currently, luggage is subjected to visual screening using x-ray and/or similar detection devices. This system relies on thousands of individual inspectors to detect all controlled substances and contraband and their component substances despite the efforts of individuals to disguise and hide such materials. However, detection and classification of explosives and certain other controlled substances, and their components, are not amenable to visual inspection either directly or through the use of x-ray machines. Furthermore, previous systems for detecting and classifying explosives and controlled substances are large, very expensive to operate, difficult and expensive to maintain, compromised in terms of speed and detection sensitivity, and result in a high rate of false positive detections. Various detection systems have been developed to address the need for mechanized detection of explosives and controlled substances. However, to be effective, such detection systems must employ powerful sources, which are quite large and emit potentially dangerous radiation. Attempts to shield workers and the general public from these radiation sources, while providing a reliable detection system of a sufficiently small size to have practical application, have not previously been successful. Accordingly, the radiation sources and the enclosures needed to shield operators and/or the general public from harmful radiation which have been developed to date are simply impractical for use in many facilities including airports and other sensitive locations. U.S. Pat. No. 5,144,140 (“the '140 patent”) is directed to an analyzer for detecting explosives having at least one source and several detectors having substantially the same spacing from the source. Col. 2, lines 3-5. According to the '140 patent, it is known that when nitrogen, a prime component of explosives, is irradiated with neutrons, it emits gamma rays at predetermined frequencies. Col. 1, lines 14-60. However, since clothing may contain nitrogen at levels that are not significantly different from explosives, analyzers may not be able to distinguish between clothing and explosives. Id. According to the '140 patent, optimizing the distance between the detector and source makes it possible to detect explosives in luggage to a “sufficiently high sensitivity.” Col. 1, line 64-col. 2, line 2. U.S. Pat. No. 5,076,993 (“the '993 patent”) discloses the use of high energy neutrons in systems to detect contraband to avoid the use of a large number of gamma detectors and to permit sequential interrogating of small volume elements of the object. U.S. Pat. No. 5,098,640 discloses the use of fast neutrons (e.g. 14 MeV) to induce prompt gamma rays from a target object. U.S. Pat. No. 4,882,121 also discloses the use of a 14 MeV neutron generator to irradiate an object suspected of containing an explosive to generate fast gamma photons which are analyzed to determine the nitrogen/oxygen ratio in the object. The ratio is compared to the ratio associated with the explosive to determine if the object contains an explosive. U.S. Pat. No. 5,606,167 discloses the use of a single neutron source to develop a total neutron cross-spectra of an object to identify elements of explosives. None of the prior systems for detecting explosives and controlled substances are designed to fit in an practically-sized enclosure, operate at a sufficiently low power level, and emit sufficiently low radiation levels to make the same suitable for use in public places, such as airports. Also, although the prior systems are capable of detecting particular explosives and substances, they do not reliably classify the data received to provide an indication of the type of substance detected. The present invention overcomes the limitations of the prior art by providing a relatively low power level system for detecting and classifying explosives and other controlled substances in a relatively small enclosure which effectively contains harmful radiation (e.g., stray neutron and gamma radiation) from reaching the environment outside of the enclosure. In a preferred embodiment, the system of the present invention for accurately detecting and classifying explosives and controlled substances includes a source/detector array including a plurality of sources and a plurality of detectors; a signal processor coupled to the source/detector array for processing data received from the detectors; a classifier coupled to the signal processor for classifying data received from the signal processor according to a plurality of algorithms; a maximal rejection classifier coupled to the classifier; and a declarative decision module coupled to the maximal rejection classifier for rendering a decision regarding the contents of the object. The exemplary apparatus of the present invention for detecting explosives and controlled substances preferably includes an enclosure, a shield layer disposed within the enclosure, a cavity disposed within the shield layer, a source/detection array disposed within the cavity, and a transport mechanism for moving objects through the cavity past the sources and detection array. Preferably, the cavity comprises one or more turns which preclude a straight line trajectory through the cavity. The enclosure can be in the shape of a rectangle, circle, triangle, square, or any other suitable shape. In one preferred embodiment, the shield layer prevents stray radiation from exiting the enclosure. In another preferred embodiment, the shield layer includes a shield layer (e.g., water, polyethylene) contained between the enclosure and the cavity. The cavity preferably has at least three connected segments. The cavity is provided with a plurality of relatively low intensity neutron sources for generating neutrons and a plurality of gamma detectors for detecting prompt gamma rays emitted by the object after irradiation with the neutrons. The neutron sources can be arranged in an array in close proximity to the object. In one embodiment, the neutron sources are disposed on at least two sides of the array. Preferably, the neutron sources irradiate an object with neutrons as the object is moved through the cavity past the detector array by the transport mechanism. The preferred method of the invention is to irradiate an object with neutrons, detect the gamma rays emitted by all substances contained within the object in response to the irradiation, determine the gamma counts, isolate the common eigen value signatures of the substances contained within the object, and use a maximal rejection hierarchy classifier to determine if a controlled substance is present in the object without interference by the presence of a confounding substance. In one preferred embodiment of the invention, the object is irradiated with relatively low intensity neutrons (e.g., each source of 107 neutrons/sec) from a plurality of neutron sources. The neutron sources are preferably pulsed simultaneously in order to maximize either the number of neutrons or intensity applied to the object. The neutron sources can also be pulsed sequentially to provide a spatial scan of the object. Explosives and other controlled substances detected and correctly classified by preferred methods of the invention include TNT, PETN, RDX, HMX, Ammonium Nitrate, Plutonium, Uranium, Drugs, and many potential confounders such as Nylon and Foods. For example, detected substances in the energy range of interest contain at least carbon, oxygen, and nitrogen having gamma counts in the following energy intervals: 4.43 MeV, 6.14 MeV, and 2.31 MeV. Other features and advantages of the present invention will become apparent when the following description is read in conjunction with the accompanying drawings. Referring to FIG. 1, a preferred system of the present invention is shown, including a source/detector array 100 having a plurality of sources 110 and a plurality of detectors 120. In one preferred embodiment, sources 110 are small pulse neutron generators capable of emitting neutrons at 14.7 MeV with an energy of about 107 neutrons/sec each. In another embodiment of the invention, the energy emitted by sources 110 can be varied depending on the desired level of sensitivity. Neutron generators can optionally emit low intensity neutrons in all directions from sources 110. Sources 110 emit neutrons which can penetrate an object 125 within source/detector array 100 (e.g., luggage). When neutrons penetrate object 125, they interact with atomic nuclei within substances contained within and on the outside of object 125 in two distinct ways: collision or absorption. In a collision process, the neutrons collide with an atom's nucleus and bounce off in an elastic or inelastic collision. In an absorption process, neutrons may enter the nucleus and be absorbed. In the absorption process, the resultant nucleus has excess energy from absorption of the neutron. In order to lower its excess energy, the nucleus emits “prompt” gamma radiation in manner characteristic of the particular material from which the nucleus is derived. For example, if the resultant nucleus is radioactive, it will decay by emission of particles and/or gamma radiation characteristic of that particular nuclide. The amount of gamma radiation emitted by the nucleus relates to the amount of energy absorbed by the nucleus. Detectors 120 can be any detector suitable for detecting gamma radiation (e.g., NaI(TI) or other suitable scintillation detector). Each detector determines the spectral density of prompt gamma radiation it receives producing a characteristic spectrum. In one embodiment, detectors 120 determine the spectral density of radiation received from the object in the energy range of about 0 to about 8 MeV. In a preferred embodiment of the invention, the spectral densities derived from each of detectors 120 are transmitted to signal processor 200. Signal processor 200 can isolate common signatures (e.g., eigen signatures) and independent signatures for the composition of the chemical substance of interest (e.g., explosives and/or controlled substances). The term “common signature” refers to energy levels characteristic of a known substance that can be isolated, for example, from spectral density data transmitted by detectors 120. The term “independent signature” refers to energy levels characteristic of an unknown substance that can be isolated, for example, from spectral density data transmitted by detectors 120. Preferably, signal processor 200 isolates common and/or independent signatures from spectral density data transmitted by each of detectors 120. In another preferred embodiment, signal processor 200 isolates common and/or independent signatures from spectral density data transmitted by one or more of detectors 120. In another preferred embodiment, the system provides an operator module 250 which can instruct signal processor 200 to search for and isolate signatures relevant to particular explosives or controlled substances and components thereof. For example, operator module 250 can be a computer terminal coupled to the signal processor for inputting parameters which define the explosives and/or controlled substances signal processor 200 will identify. Thus, if an operator wants to narrow the search parameters to search for anthrax and fissile material only, the operator can instruct the signal processor 200 accordingly using operator module 250. Preferably, the signature data isolated by signal processor 200 is transmitted to classifier 300. Classifier 300 preferably processes and analyzes the signature data in order to reduce the chance of misclassification of an explosive or other controlled substance through detection of confounders and/or background noise. The term “confounders” refers to substances that are not related to or incorporated in an explosive or a controlled substance. For example, a confounder may include a substance or material normally present in luggage (e.g., clothing, toiletries, and food) or a substance or material placed into the luggage deliberately to conceal or mask the presence of an explosive and/or a controlled substance. While prior explosive and controlled substance detection systems are capable of detecting the presence of components of explosives and/or controlled substances, such systems readily detect the presence of confounding material resulting in a high rate of false positive and/or false negative detection. The term “false positive” refers to incorrect detection of an explosive and/or controlled substance in an object while “false negative” refers to a failure to detect the presence of an explosive and/or controlled substance in an object. False positives result in greatly increased costs as objects may be needlessly manually searched, detained, or destroyed. False negatives may result in undetected explosives and/or controlled substances entering sensitive facilities resulting in increased danger to the public. Classifier 300 greatly reduces the incidence of false positives and false negatives by applying several analytical tools in parallel to the signatures isolated by signal processor 200. In one preferred embodiment, classifier 300 subjects the signatures to a combination of Principle Component Analysis, Wavelet Analysis, and Independent Component Analysis. Analytical tools (e.g., Principle Component Analysis, Wavelet Analysis, and Independent Component Analysis) can ensure that the feature vectors extracted from the signatures received from signal processor 200 are: (1) relevant to the classification task; and (2) eliminate signatures related to background noise or irrelevant substances or components. Preferably, classifier 300 significantly reduces or completely eliminates background noise. The combination of the signal processor and classifier can yield significant signal enhancement gain further reducing neutron source generator power and requiring less shielding. In a preferred embodiment, each signature received by classifier 300 from signal processor 200 is processed in parallel through one or more analytical tools (e.g., Principle Component Analysis, Wavelet Analysis, and Independent Component Analysis). The term “processed in parallel” refers to each signature being subjected to independent analysis by each analytical tool. Alternatively, each signature can be processed in series (i.e., by each analytical tool in turn). By using several analytical tools, classifier 300 greatly increases the probability that: (1) the system will not identify a confounder; and (2) the system will not miss a signature associated with the explosive and/or controlled substance material being sought. Analytical tools for use in the systems of the invention are described in, for example, the following references: S. Theodoridis, K. Koutroumbas, “Pattern Recognition,” Academic Press, 1999; S. Mallat, “A Wavelet Tour of Signal Processing,” Academic Press, 1998; A. Hyvärinen, J. Karhunen, E. Oja, “Independent Component Analysis,” John Wiley & Sons, 2001; S. Haykin, “Neural Network: A Comprehensive Foundation,” Prentice-Hall, 1999; and B. Scholkopf, C. Burges, A. Smola, “Advances in Kernal Methods Support Vector Learning,” MIT Press, 1999. In another preferred embodiment, the several analytical tools used by classifier 300 result in one or more identifications of explosives and/or controlled substances. The resulting analysis from each analytical tool can be transmitted from classifier 300 to maximal rejection classifier 400 for determination of the identity of the components of the object. Preferably, maximal rejection classifier 400 subjects the analyses received from classifier 300 to a hierarchy of classifiers that incorporate neural network technology and other support vector machines. For example, maximal rejection classifier 400 can include an iterative algorithm for non-time sensitive target change detection for explosive material in a non-explosive object. Thus, the iterative algorithm can accurately distinguish small amounts of explosive material contained within non-explosive material while reliably rejecting confounders. In one preferred embodiment, the iterative algorithm can function in two distinct modes: running mode and learning mode. In running mode, wavelets procedures are used to identify high probability interest areas. FIG. 2 depicts an exemplary iterative algorithm in running mode. In reference to FIG. 2, signature is subjected to formation of a correlation function S1. Next, the algorithm performs wavelet decomposition of the correlation function and determines correlation levels S2. The signature signals constitute multiple peaks with various heights and features. These features are compared to a library of orthonormal signature functions S3 and their corresponding coefficients of known image and interference S4. Based on the comparison step S3, the signature can be matched to the signature for a known explosive or controlled substance S5. In another preferred embodiment, a deterministic correlation function is formed. In yet another preferred embodiment, a wavelet decomposition transform of the autocorrelation function can be formed to detect noise from the signature data and accentuate the peak of the autocorrelation function at the given scale. In this embodiment, additional contrast between different explosive and/or controlled substances can be achieved thereby decreasing the probability of error. FIG. 3 depicts an exemplary iterative function in learning mode. First, a correlation function of signature data is formed S6 followed by wavelet decomposition of the correlation function S7. The incoming signature function is compared with the signatures stored in the library of signatures S8. If the signature cannot be identified S9 (i.e., it is a new interference agent) by comparison to the library of signatures, a new eigen function corresponding to the new signature is formed S10 and a coefficient vector of the new data in new signal space is determined S11. This new information regarding the new signature is stored in the library of orthonormal signatures S12. In learning mode, the algorithm can sense, process, and add an unknown interference agent to the library of the algorithm. Utilizing these characteristic gamma radiations, and a knowledge of the unique chemical composition of explosives and other contraband materials, preferred systems of the invention can characterize materials contained within or on objects. The spectrum of gamma radiation detected by the gamma detectors in the cavity contain the characteristic energies resulting from the interactions of the neutrons and the nuclei of interest, e.g. nitrogen, oxygen and carbon. The data corresponding to the energy intervals of interest can be a function of the resolution and detector efficiency at the specific energy of interest. Using this information, each of detectors 120 can be calibrated to remove spectra background (e.g., radiation background) for each detector resulting in a greatly improved signal to noise ratio. Preferably, maximal rejection classifier 400 identifies which analysis conducted by classifier 300 is correct. For example, classifier 300 can provide an analytical result from each of the analytical tools used for analysis of the signatures provided by signal processor 200. Maximal rejection classifier 400 selects the correct analysis provided by classifier 300. This information is provided to declarative decision module 500. In one preferred embodiment of the invention, the decision is transmitted to operator module 250. Alternatively, the decision can be transmitted to a computer or network of computers. In another preferred embodiments, an alarm can be triggered by the decision, for example, if an explosive or controlled substance is identified. Referring to FIG. 4, a preferred apparatus of the present invention comprises an enclosure 150, a shield layer 155 disposed within the enclosure, a cavity 145 disposed within the shield layer 155, a neutron source/gamma ray detection array 100 disposed within the cavity 145, and a transport mechanism 140 for moving objects through the cavity 145 and past the source/detection array 100. Previous enclosures housing apparatus for detecting controlled substances have limited use because of the need to reduce or eliminate contamination of the public outside of the enclosure by the radiation (e.g., stray neutron and/or gamma radiation) generated during the detection process. These enclosures must be sufficiently large and contain sufficient shielding to prevent the escape of stray radiation. The need for large enclosures holding high energy density sources needed to detect small size substances significantly limits practical application of previous apparatus. The preferred enclosure of the invention can be significantly smaller due to the configuration of cavity and the neutron generator/gamma ray detectors in a manner which prevents escape of stray radiation. In a preferred embodiment, the cavity comprises one or more turns (e.g., turns 132 and 134) which preclude a straight line trajectory through the cavity. Since stray radiation cannot travel past these turns, the stray radiation cannot escape the apparatus via the cavity. The turns can be of any shape or configuration suitable for preventing the stray radiation from traveling in a straight line trajectory through the cavity. In one embodiment, the cavity comprises at least three connected segments. The segments are connected in any suitable order or at any suitable angle. Preferably, at least two of the segments are connected to at least another segments at an angle less than or equal to 90 degrees. Alternatively, at least two of the segments are connected to at least another segments at an angle more than 90 degrees. By reducing or eliminating travel of stray radiation through the cavity, the enclosure used in the preferred apparatus can be significantly smaller than the enclosures of previous apparatus. Enclosures for use in the invention can be of any suitable shape (e.g., rectangle, circle, triangle, square etc.). In one embodiment, the enclosure is rectangular. The rectangular enclosure is relatively compact, and, in one preferred embodiment, has dimensions of no more than 6 meters in length, 3 meters in width, and 3 meters in height, which is practical for installation in airports and other transportation facilities. Referring to FIG. 4, a shield layer 155 is provided between the cavity and the enclosure. The shield layer prevents stray radiation from exiting the cavity 145 and the enclosure 150. The preferred material in shield layer 155 preferably includes water 156, which is especially useful in blocking neutron rays. However, any suitable material for blocking neutron rays can be used in the shield layer. The apparatus of the invention preferably includes a source/detection array 100 for detecting the presence of explosives and controlled substances inside an object. Referring to FIG. 5, source/detection array 100 is disposed within cavity 145 and permits transport mechanism 140 to move object 125 through source/detection array 100. Source/detection array 100 can be of any suitable shape. Preferably, source/detection array 100 has four sides (top, bottom, right, and left) such that object 125 is surrounded on four sides when it enters source/detection array 100. Alternatively, source/detection array 100 can have one or more sides. Source/detection array 100 comprises a plurality of neutron sources 110 which can be arranged in close proximity to object 125 as it passes through source/detection array 100. Neutron sources 110 can be arranged so that an equal number of neutron sources 110 are disposed on at least two sides of detection array 100. In one preferred embodiment of the invention, neutron sources 110 are small pulse neutron generators capable of emitting neutrons at 14.7 MeV (each with an energy of 107 neutrons/sec). and the array 100 is provided with 10 neutron sources, five on each of two opposing sides. Alternatively, neutron sources 110 can be located at several locations along the cavity. Significantly, by using a plurality (e.g., ten in this embodiment) of less powerful (107) neutrons/sec sources, rather than one more powerful (1011) neutrons/sec source, the size of the present invention can be significantly reduced to a practical level, while maintaining required sensitivity to detect small size substances. Source/detection array 100 further comprises a plurality of gamma detectors 120 which can be arranged in close proximity to object 125 as it passes through detection array 100. In one embodiment, the gamma detector is a NaI(TI) or other suitable scintillation detector. Gamma detectors 120 can be arranged so that an equal number of gamma detectors 120 are disposed on at least two sides of detection array 100, around the respective neutron sources 110, or the detectors 120 can be provided on all four sides of the cavity. Preferably, gamma detectors 120 are sensitive to gamma photons emitted by carbon, nitrogen, oxygen, chlorine or any other chemical composition. In a preferred embodiment, detection array 100 comprises a mix of at least 100 gamma detectors 120. FIG. 5 shows a side cut-away view of source/detection array 100 and depicts object 125 on transport mechanism 140 inside source/detection array 100 and aligned with neutron sources 110 and gamma detectors 120. For clarity, a number of the gamma detectors 120 are represented by ovals. FIG. 6 shows a front view of object 125 on transport mechanism inside source/detection array 100 and moving towards turn 132. Referring to FIG. 4, in a preferred method of the present invention, an object is transported on transport mechanism 140 into cavity 145 in enclosure 150. Cavity 145 comprises one or more turns (e.g., turns 132 and 134) which preclude a straight line trajectory through cavity 145. As stated previously, precluding a straight line trajectory through cavity 145 prevents stray radiation from escaping from the open ends of cavity 145. Therefore, the size of the enclosure or facility needed to shield the user and/or the general public from gamma radiation is significantly reduced. The object is transported inside of detector array 100 which is disposed around transport mechanism 140 inside of cavity 110. FIG. 5 depicts object 125 inside of detector array 100. Neutron sources 110 generate low intensity neutron particles and irradiate object 125. The neutron particles interact with nuclei in target substances in the object and generate prompt gamma ray photons resulting from inelastic scattering of neutrons from the target substances. The gamma ray photons are detected by gamma detectors 120. A gamma count ratio for substances in object 125 may be determined and compared to known gamma count ratios for elements (e.g., carbon, nitrogen, oxygen, and chlorine) in explosives or other controlled substances. For example, the ratio of nitrogen to oxygen in the object following irradiation with neutrons may be characteristic of the ratio of nitrogen to oxygen in an explosive which is bombarded with neutrons. Thus, components of explosives have characteristic or signature ratio of various elements (e.g., nitrogen/oxygen, carbon/nitrogen, carbon/oxygen, nitrogen/carbon). If the gamma ray ratio emitted by an object is the same or similar to the signature gamma ray ratio for an explosive or other controlled substance, the object is likely to contain the same substance. Alternatively, selected peaks of the energy spectrum of the detected prompt gamma rays are analyzed to provide a fingerprint or signature characteristic of the material in the object responsible for scattering the neutrons. The energy spectrum of the detected gamma rays can be compared to known energy spectra for elements (e.g., carbon, nitrogen, oxygen, and chlorine) in explosives and other controlled substances. The energy spectrum emitted from nuclei bombarded with neutrons produces characteristic gamma radiation patterns. Particular spectral lines are associated with, for example, carbon, nitrogen, oxygen, and chlorine. See, e.g., U.S. Pat. No. 5,098,640, hereby incorporated by reference in its entirety. The use of a plurality of low intensity neutron sources, rather than one high intensity neutron source, not only reduces size of the shield, but also advantageously produces a lower amount of stray radiation, further improving the safety characteristics of preferred embodiments of the invention. In one embodiment, the neutron sources are pulsed simultaneously. The pulsing of a plurality (e.g., ten or more) of neutron sources at a lower energy density (107 vs 1011) will provide neutrons for detection purposes (e.g., sufficient ringing resonance to detect controlled substances) while reducing the overall risks associated with using a radioactive source. Pulsing the plurality of neutron sources simultaneously provides sufficient power to detect the presence of an explosive or other controlled substance. Once a particular explosive or other controlled substance is detected, the plurality neutron sources can then be flashed, i.e., sequentially activated, to provide a lower power scan which however generates spatial information so the operator can more accurately identify the location of the explosive or controlled substance in the object of interest. While the invention has been described in detail in connection with the exemplary embodiment, it should be understood that the invention is not limited to the above disclosed embodiment. Rather, the invention can be modified to incorporate any number of variations, alternations, substitutions, or equivalent arrangements not heretofore described, but which are commensurate with the spirit and scope of the invention. Accordingly, the invention is not limited by the foregoing description or drawings, but is only limited by the scope of the appended claims. |
|
051006081 | claims | 1. A fast breeder reactor having a core, a control rod assembly positioned therein, and a first guide tube above said core, said control rod assembly having a control rod means with dash rams arranged for vertical movement therein along a plurality of second guide tubes having dashpots located at the bottom portion of said core, said fast breeder reactor comprising a neutron flux measuring unit arranged on one of said dash rams of said control rod assembly at a predetermined location substantially within at least one of said second guide tubes of said control rod means, said neutron flux measuring unit being pulled upward in said first guide tube during reactor operation and being located at the center of said core while said dashpots remain at the bottom portion of said core to thereby directly and precisely measure neutron flux in said reactor core, and to thereby preclude hampering the dropping of said control rod means during emergency reactor shutdown when said dash rams fall and are received by said dashpots. 2. The fast breeder reactor according to claim 1, wherein said control rod assembly is a backup shut-down rod. 3. The fast breeder reactor according to claim 1, further comprising an instrumentation cable for said neutron flux measuring unit which is passed through a central portion of the control rod assembly and lead out to an upper portion of the core. |
claims | 1. A neutron shielding material composition comprising:a hydrogenated bisphenol resin;a refractory material having higher density than that of the hydrogenated bisphenol resin;a density-increasing agent having higher density than that of the refractory material;a curing agent component;a boron compound,wherein said neutron shielding material composition maintains the density of a base resin comprising said curing agent component and the refractory material; andwherein density of the neutron shielding material composition is from 1.62 g/cm3 to 1.72 g/cm3. 2. A neutron shielding material composition comprising a hydrogenated bisphenol epoxy represented by the following structural formula (1):wherein each of R1 to R4 is independently selected from the group consisting of CH3, H, F, Cl and Br, and n is from 0 to 2;a refractory material having higher density than that of the hydrogenated bisphenol resin;a curing agent component having at least one ring structure and a plurality of amino groups;a density-increasing agent having higher density than that of the refractory material;a boron compound,wherein said neutron shielding material composition maintains the density of a base resin comprising said curing agent component and the refractory material; andwherein density of the neutron shielding material composition is from 1.62 g/cm3 to 1.72 g/cm3. 3. The neutron shielding material composition according to claim 1, further comprising one or more compounds selected from the group consisting of compounds represented by the structural formulas (2), (3), (6) and (9):wherein R5 is a (C1-10 alkyl group or H, and n is 1mm 1 to 24;wherein n is from 1 to 8;wherein each of R9 to R12 is independently selected from the group consisting of CH3, H, F, Cl and Br, and n is from 0 to 2; and 4. The neutron shielding material composition according to claim 1, comprising, as the curing agent component, a compound represented by the structural formula (4): 5. The neutron shielding material composition according to claim 1, wherein the curing agent component comprises one or more of compounds represented by the structural formulas (5) and (8):wherein R6, R7 and R8 each is independently a C1-18 alkyl group or H. 6. The neutron shielding material composition according to claim 1, further comprising a filler. 7. The neutron shielding material composition according to claim 1, wherein the refractory material comprises at least one of magnesium hydroxide and aluminum hydroxide. 8. The neutron shielding material composition according to claim 1 or claim 2, wherein the density-increasing agent is a metal powder having a density of 5.0 to 22.5 g/cm3, a metal oxide powder having a density of 5.0 to 22.5 g/cm3, or a combination thereof. 9. A neutron shielding material obtained from the neutron shielding material composition according to claim 1 or claim 2. 10. A neutron shielding container obtained from the neutron shielding material composition according to claim 1 or claim 2. 11. The neutron shielding material composition according to claim 7, wherein said magnesium hydroxide is obtained from sea water magnesium. |
|
claims | 1. A rotary actuator comprising:a driven element comprising an axis of rotation and a plurality of recesses, the recesses being spaced around the axis of rotation by a spacing angle; andfirst, second and third latch elements, each latch element being movable between a retracted position, and an extended position in which it engagingly locates against one or more of the plurality of recesses;wherein the first latch element is angularly offset from the second and third latch elements respectively in rotationally opposite directions around the axis of rotation by an offset angle, the offset angle being greater than the spacing angle;whereby sequential movement of the first, second and third latch elements between respective retracted and extended positions causes rotation of the driven element. 2. The rotary actuator as claimed in claim 1, wherein each of the plurality of recesses is positioned in a co-planar arrangement around the axis of rotation. 3. The rotary actuator as claimed in claim 1, wherein each of the first, second and third latch elements is biased towards the respective retracted position. 4. The rotary actuator as claimed in claim 1, wherein each of the first, second and third latch elements has an actuating portion being configured to move the latch element between retracted and extended positions. 5. The rotary actuator as claimed in claim 4, wherein each actuating portion is one of a mechanical, hydraulic or pneumatic actuator. 6. The rotary actuator as claimed in claim 1, wherein each of the first, second and third latch elements comprises a circular engaging element, the engaging element being arranged to engagingly locate in one of the plurality of recesses. 7. A control rod assembly for a light water nuclear reactor comprising:a control rod, having a plurality of lateral recesses, the recesses being spaced apart from one another along the control rod;a rotary actuator as claimed in claim 1; anda brake element configured to engage with at least one of the plurality of recesses to prevent linear movement of the control rod. 8. A method of using a rotary actuator, the rotary actuator comprising:a driven element comprising an axis of rotation and a plurality of recesses, the recesses being spaced around the axis of rotation by a spacing angle; andfirst, second and third latch elements, each latch element being movable between a retracted position, and an extended position in which it engagingly locates against one or more of the plurality of recesses;wherein the first latch element is angularly offset from the second and third latch elements respectively in rotationally opposite directions around the axis of rotation by an offset angle, the offset angle being greater than the spacing angle;the method comprising the steps of:(a) moving the first latch element from its retracted position to its extended position; and(b) moving the second latch element from its retracted position to a partially extended position in which it contacts the driven element; and(c) returning the first latch element from its extended position to its retracted position whilst simultaneously continuing the movement of the second latch element from its partially extended position to its extended position, thereby causing a first rotation of the driven element around the axis of rotation in a first direction. 9. The method as claimed in claim 8, comprising the further steps of:(d) moving the third latch element from its retracted position to a partially extended position in which it contacts the driven element; and(e) returning the second latch element from its extended position to its retracted position whilst simultaneously continuing the movement of the third latch element from its partially extended position to its extended position, thereby causing a second rotation of the driven element around the axis of rotation in a first direction. 10. The method as claimed in claim 9, comprising the further steps of:(f) moving the second latch element from its retracted position to its partially extended position in which it contacts the driven element; and(g) returning the third latch element from its extended position to its retracted position whilst simultaneously continuing the movement of the second latch element from its partially extended position to its extended position, thereby causing a first rotation of the driven element around the axis of rotation in a second direction. 11. The method as claimed in claim 10, comprising the further steps of:(h) moving the first latch element from its retracted position to its partially extended position in which it contacts the driven element; and(i) returning the second latch element from its extended position to its retracted position whilst simultaneously continuing the movement of the first latch element from its partially extended position to its extended position, thereby causing a second rotation of the driven element around the axis of rotation in a second direction. 12. A method of deploying a control rod for a light water nuclear reactor, the nuclear reactor comprising a control rod assembly having a control rod and a rotary actuator, the method comprising the step of:(1) using the rotary actuator according to the method of claim 8 to drivingly rotate a shaft, the shaft being coupled to the control rod, so as to control the insertion of the control rod into the nuclear reactor. 13. The method as claimed in claim 12, the control rod assembly comprising a brake element, the brake element being movable between a retracted position and an extended position, the method comprising the further step of:(2) moving the brake element from the retracted position to the extended position, thereby preventing rotation of the shaft and maintaining the control rod in a fixed position within the nuclear reactor. 14. The rotary actuator as claimed in claim 1, wherein when the first latch element is in the extended position to engagingly locate against one or more of the plurality of recesses, the second and third latch elements respectively are not in the extended position. |
|
048760617 | abstract | A pressurized water reactor of an advanced design comprises, in vertically spaced relationship, a lower barrel assembly having lower and upper core plates, an inner barrel assembly and an axially removable calandria assembly having a lower calandria plate. A plurality of rod guides are cantilever-mounted in parallel axial relationship within the inner barrel assembly and, specifically, are rigidly mounted at the lower ends thereof to the upper core plate. Axially extending sleeves are affixed to the upper ends of the rod guides and telescopingly receive therein generally cylindrical supports which are affixed to and depend downwardly from the lower calandria plate and define alignment axes for the respectively associated rod guides. Links are secured at first ends to the mount and extend radially therefrom at angularly displaced positions, second, free ends of the links being free to move in pivotal rotation from a normal, downward and radially inward position to an upward and radially outward position, when engaged by receiving means formed in the upper ends of the sleeves as the calandria assembly is moved into assembled relationship with the inner barrel assembly. In the assembled relationship, the links, moved fully to the upward and radially outward positions, produce resilient, lateral loading between the respective sleeves and cylindrical mounts and maintain alignment of the associated sleeves and rod guides with the respective alignment axes. |
abstract | A stimulable phosphor sheet includes a stimulable phosphor layer in contact with a protective layer which is rigid and transparent. Stimulating light is projected onto the stimulable phosphor sheet from the protective layer side, stimulated emission emitted from the stimulable phosphor layer upon exposure to the stimulating light is detected by imaging the stimulated emission on a line sensor by an imaging lens from the protective layer side while moving the stimulable phosphor sheet relatively to the line sensor in a direction intersecting the direction in which the line sensor extends. |
|
053965264 | abstract | An apparatus is presented for removing the inner and outer keys from a support grid of a fuel assembly automatically or by mechanical methods. The apparatus removes a line of keys from a loaded assembly inserted into a grid through an opening section of the grid to deflect the springs. Both ends of the keys are engaged with the engaging members, and one set of keys are rotated in one direction while the other set of keys are rotated in the opposite direction. The springs are then released to press on the fuel rods firmly in place between the springs and the dimples in the grid cell. The keys are removed from the grid by clamping or holding the keys and moving the keys together with the clamping/holding device in the key axis direction by operating the driving device until the keys are removed completely from the grid. |
051376830 | description | DETAILED DESCRIPTION The substrate to be coated with chromium oxide consists of the inner surface of a zirconium alloy (Zircaloy 4) tube whose external diameter is 9.5 mm and whose length is greater than 4 meters. As can be seen in FIG. 1, the device enabling the coating to be performed comprises a support and gasdistribution unit 1, an oven 2 in which the vaporization and the maintaining of the temperature of the organo metallic chromium compound are realized, a reaction tube 3 made from refractory glass such as pyrex and a motorized unit 4 permitting the rotational and translational displacement of the Zircaloy tube 5 placed inside the pyrex reactor 3. Nozzles 6 enable the residual gases to be removed during the coating operation. An induction-heating device 7 is placed on the support 1 and has a heating winding 7' surrounding the pyrex tube 3 over a certain length corresponding to the heating zone of the tube 5 during the coating operation. As can be seen in FIGS. 1 and 2, the support and gas-distribution unit 1 has an oxygen source 8, an argon source 9, ball flow meters 10 and 11 enabling the distribution and regulation of the oxygen and argon flow to be ensured, and connecting ducts. The front face of the support and distribution unit 1 carries control and display means enabling the physical parameters of the coating operation to be adjusted and monitored. In addition, inside the oven 2, the coating device has a bulb 14 containing the organometallic chromium compound 15 whose oxidation and thermal decomposition is performed. The bulb 14 is connected to the argon source 9 via the flow meter 11 and connecting ducts. The internal volume of the bulb 14, in which the vaporization of the organometallic compound 15 takes place, may thus be scavenged. The mixture of argon and vapor is removed through a duct 16 into which a certain flow of oxygen is sent from the source 8 via the flow meter 10 and a duct 17. The mixture of argon, oxygen and organometallic compound vapor is sent into the pyrex tube 3 constituting the reactor containing the Zircaloy tube 5 either via a duct 18 or via a duct 20, depending on the open or closed condition of two valves 19 and 21 placed on the ducts 18 and 20, respectively. This gaseous mixture is entirely homogeneous, the chromium compound being wholly in the vapor form. In the case where the valve 19 is open and the valve 21 closed, the gaseous mixture is sent via a pyrex tube 3' fixed inside the tube 3 in a coaxial and central arrangement and engaged inside the Zircaloy tube 5. A thermocouple 23 fixed on a stopper 22 closing the tube 3' and in the axis of this tube enables the temperature to be measured inside the Zircaloy tube 5 in the reaction zone heated by the induction winding 7'. In this configuration, the design enables the inner coating of the tube 5 to be performed. In the case where the valve 21 is open and the valve 19 closed, the gaseous mixture penetrates directly inside the casing of the pyrex tube 3 and comes into contact with the outer surface of a piece introduced into the tube 3. In this configuration, the device enables the outer coating of the fuel pellets, or optionally the tube 5, to be performed. The unit 4 for displacing the tube 5 has a motor 25 whose output shaft passes tightly through a lid 26 closing the pyrex reactor tube and is connected to the Zircaloy tube 5 which is fixed in its extension. The motor 25 enables the Zircaloy tube 5 to be rotated about its axis so as to obtain a deposit of uniform thickness and composition. The unit 4 also has a motor 27 connected to a screw and a nut system enabling a support 28 on which the motor 25 is fixed to be displaced. The support 28 is guided in axial translational movement by rods 29 fixed in the axial direction on the support unit 1. The support 28 capable of axial translational movement is connected to the Zircaloy tube 5 via the motor 25 and its output shaft. The motor 27 enables the Zircaloy tube 5 to be displaced translationally inside the pyrex reactor 3 so as to present successively the different zones of the tube along its length, at the level of the heating and reaction zone corresponding to the internal volume of the induction winding 7'. In order to deposit chromium oxide Cr.sub.2 O.sub.3 onto the inner (or outer) surface of a Zircaloy 4 tube, chromium acetylacetonate has been used as the organometallic compound. Before introducing the Zircaloy 4 tube inside the pyrex reactor 3, the surfaces of this tube are carefully cleaned by chemical attack for thirty seconds in a bath containing hydrofluoric acid, nitric acid and water. The tube is subsequently rinsed with distilled water and then dried. The pickling enables the layer of zirconium oxide present on the tube to be removed, zirconium being a metal which can be passivated. The Zircaloy tubes may also be subjected to a mechanical polishing and then to a degreasing with acetone before being introduced into the reactor. Scavenging with argon is then performed in the internal volume of the reactor before introducing therein the gaseous reaction mixture consisting of argon, oxygen, and chromium acetylacetonate vapor. The chromium acetylacetonate introduced into the oven 2 is brought to a temperature of the order of 180.degree. to 200.degree. C. permitting its vaporization; this temperature furthermore enables any decomposition of the chromium acetylacetonate to be prevented. Argon constituting the vector gas is sent into the capsule containing the chromium acetylacetonate vapor in order to entrain this vapor into the duct 16. A certain proportion of oxygen is added to the gaseous mixture by means of the flow meter 10 and the duct 17. In the case where the valve 19 is open and the valve 21 closed, the gaseous mixture reaches as far as the inside of the Zircaloy tube 5, in the region of the heating zone formed by the induction winding 7'. It is possible either for the induction winding 7' to be supplied with power prior to charging the reaction gas as soon as the argon scavenging has been performed, or for the winding 7' to be supplied with power only after the filling of the reactor tube 3 with the reaction mixture is complete. In all cases, heating by induction has the advantage of giving rise to a release of heat actually inside the piece on which the treatment is being carried out and, in the present case, inside the wall of the tube 5. The reaction mixture is thus prevented from being heated to a temperature causing the decomposition and oxidization of the organometallic chromium compound, in a zone remote from the wall of the Zircaloy tube on which the coating is being made. In particular, decomposition and oxidization of the organometallic chromium compound when it enters the pyrex reactor tube 3 is in this way prevented. Furthermore, heating by induction is very flexible and enables high heating speeds to be obtained. In the case of a Zircaloy tube with an external diameter of 9.5 mm, a pyrex reactor tube with a diameter of 20 mm and an induction winding 7, with a length of 60 mm have been used; this length corresponds to the length of the reaction zone in which the coating is performed. As can be seen in FIGS. 3, 4 and 5, different coating operations have been performed inside a Zircaloy tube using chromium oxide Cr.sub.2 O.sub.3, varying the operating conditions under which the decomposition and the oxidization of the chromium acetylacetonate (C.sub.5 H.sub.7 O.sub.2).sub.3 -Cr are carried out. Thermal decomposition of the chromium acetylacetonate gives rise to chromite Cr.sub.2 O.sub.3 which is deposited in the form of a thick and uniform layer on the surface of the Zircaloy tube brought to the temperature permitting the thermal decomposition, by induction heating. FIG. 3 is a diagram giving the variations in the speed of deposition of the chromium oxide Cr.sub.2 O.sub.3 as a function of the temperature of the substrate, in other words the temperature of the internal surface of the Zircaloy tube, for two different vaporization and heating temperatures of the organometallic chromium compound. The lower curve corresponds to a heating temperature of the chromium acetylacetonate inside the oven 2 of t=180.degree. C. The upper curve corresponds to a heating temperature of the chromium acetylacetonate of t=200.degree. C. In the case of the lower curve, the speed of deposition of the chromium oxide Cr.sub.2 O.sub.3 coating goes from a virtually zero value for a temperature of the substrate of 350.degree. C. to a value greater than 1 .mu.m/h for a temperature of the substrate slightly below 600.degree. C. The upper curve indicates that the speed of deposition of the chromite Cr.sub.2 O.sub.3 coating on the Zircaloy 4 goes from a virtually zero value for a temperature of the substrate of 350.degree. C. to a value of the order of 3 .mu.m/h for a temperature of the substrate slightly below 600.degree. C. FIG. 4 is a diagram showing the variations in the speed of deposition of the chromium oxide Cr.sub.2 O.sub.3 coating, as a function of the temperature of the substrate, in the case where the pressure of the reaction mixture inside the reactor 3 is maintained at a value substantially less than atmospheric pressure. In this case, the speed of growth of the chromium oxide layer goes from a virtually zero value for a temperature of the substrate of 350.degree. C. to a value slightly below 3 .mu.m/h for a temperature of the substrate slightly below 600.degree. C. FIG. 5 is a diagram showing the variations in the speed of deposition of the chromium oxide layer as a function of the temperature to which the chromium acetylacetonate is brought inside the oven 2. The speed goes from a virtually zero value for a temperature of the chromium acetylacetonate of 120.degree. C. to a maximum value above 2 .mu.m/h for a value close to 200.degree. C. The coating-formation speed then decreases very rapidly, which allows an optimum value to be determined for the heating temperature of the organometallic compound. FIG. 5 is obtained for a temperature of the substrate close to 500.degree. C. The other parameters whose value must be determined in order to employ the coating process according to the invention are the carrier gas (argon) flow rate and the oxidizing gas (oxygen) flow rate. Tests have been conducted for carrier gas (argon) flow rates ranging from 0 to 8 liters/hour and for oxidizing gas (oxygen) flow rates ranging from 0 to 6 liters/hour. It has been possible to show that the ratio of the argon and oxygen ratio could vary within very large proportions, but the argon flow rate always remains considerably greater than the oxygen flow rate. This argon/oxygen ratio has, for example, been fixed at the value 30 in a first series of coating operations and at the value 4 in a second series of operations. The speed of the translational movement of the Zircaloy tube inside the reactor is fixed at a value of a few centimeters/hour. In all cases, the organo metallic chromium compound in the form of vapor is entrained by the current of argon and mixed with a certain proportion of oxygen. The reaction medium enters the reactor at a temperature which does not permit oxidization and pyrolysis of the chromium acetylacetonate. When the reaction medium comes into contact with the zone of the Zircaloy tube brought by induction to a temperature very considerably greater than the temperature of the reaction mixture, however, oxidization, pyrolysis and Cr.sub.2 O.sub.3 formation take place in contact with the Zircaloy wall. A thick, uniform and resistant chromium oxide Cr.sub.2 O.sub.3 layer is deposited on this wall. This layer continues to grow over time at a speed dependent upon the operating conditions, as has been indicated above. It is by all means possible to deposit a layer whose thickness is very considerably greater than 5 .mu.m and less than 10 .mu.m without this layer being fragile. It has never been possible to obtain such a result using the processes according to the prior art. By way of example, a coating has been made with a thickness slightly greater than 5 .mu.m and less than 10 .mu.m inside a Zircaloy tube under the following conditions: temperature of the chromium acetylacetonate: 180.degree. C., PA1 temperature of the substrate: 450.degree. C., PA1 argon/oxygen ratio=30, PA1 speed of the translational movement of the tube: 3 to 5 cm/h. PA1 temperature of the substrate: 500.degree. to 600.degree. C., PA1 vector gas (argon) flow rate: 4 liters/hour, PA1 oxygen flow rate: 1 liter/hour. In this case, the speed of growth of the deposit is 0.8 .mu.m/h, which corresponds substantially to point A in the diagram in FIG. 3. The deposit is uniform and adherent and consists of chromium oxide Cr.sub.2 O.sub.3 with a hexagonal structure, as may be proved by X-ray diffraction and by Raman spectrometry. It has furthermore been possible to show the homogeneous composition in the thickness of the layer by fluorescent spectrometry. It should be noted that the speed of deposition of the chromium oxide Cr.sub.2 O.sub.3 coating depends equally on the features of the installation and on the depositing process. This speed depends, in particular, on the flow rate of the organometallic compound in vapor form, on the temperature of the reaction gases in contact with the substrate, and on the pressure of these gases (it has been possible to vary this pressure between 1 millibar and 1030 millibars). It is also possible to influence the conditions of the deposition by introducing reducing gases into the reactor, such as hydrogen, these gases accelerating the decomposition of the organometallic compound used, and by employing a plasma producing activated species such as ions, atoms or free radicals. Independently of these various influences beyond the conditions for implementing the process itself, it has been possible to determine optimum conditions for conducting the coating process. These conditions may be defined thus: heating temperature for the organometallic compound: 190.degree. to 200.degree. C., These conditions are, of course, valid in the case of the internal coating of a Zircaloy cladding tube. Under the above-defined conditions, the speed of deposition of the chromium oxide Cr.sub.2 O.sub.3 coating layer is of the order of 1.5 .mu.m/h. In order to prevent pellet/cladding interaction of the fuel elements, a chromium oxide coating layer may be deposited on the outer lateral surface of the sintered nuclear fuel pellets over a thickness less than 10 .mu.m using the above-described process and device. The pellets are then introduced into a zirconium alloy cladding which may or may not have an internal coating of a layer of chromium oxide. In all cases, the chromium oxide layer deposited, either on the inner surface of the cladding or on the lateral surface of the pellets, or on both the cladding and the pellets, allows the fuel material to be efficiently and permanently separated from the cladding during the use of the fuel element in the reactor, which enables the pellet/cladding interaction phenomenon to be prevented. It is clear that the process according to the invention is not limited to the embodiments which have been described. It is thus possible to use volatile organo metallic compounds other than chromium acetylacetonate (C.sub.5 H.sub.7 O.sub.2).sub.3 -Cr, and for example compounds such as RCr carbonyl and chromium trichlorotetrahydrofuran. It is clear that the oxidization of the organo metallic chromium compound may be obtained using an oxidizing gas other than oxygen, and for example using water vapor or carbon dioxide. The fuel element claddings obtained using the process according to the invention may also have an outer anti-wear chromium oxide layer formed by chemical vapor deposition using an organometallic compound. |
046631100 | claims | 1. A method of making fuel elements for a fission reactor by breeding fissile fuel in a tritium breeding fusion reactor having a blanket with an enrichment section comprising the following steps: (a) incorporating particles of fertile material and particles of a thermal neutron absorber into said enrichment section in such proportion that said particles of fertile maeterial make up less than 3% by volume of said enrichment section, said thermal neutron absorber functioning at least in part to suppress thermal fissioning of said bred fissile fuel, (b) bombarding said particles with neutrons generated by fusion, and adding additional particles of said thermal neutron absorber as the fissile material content of said enrichment section increases to continue to suppress thermal fissioning of said bred fissile fuel, (c) removing said particles from said blanket after sufficient time that the fuel particles are enriched to about 4%, said time being less than about eight months from the time the generation of neutrons by fusion is commenced, (d) after said step of removing, mixing said particles to compensate for nonuniformities in enrichment introduced as a function of location of particles within the enrichment section, and (e) fabricating said particles to form at least one fuel element. (a) sintering aggregates of said particles to form pellets, and (b) inserting aggregates of said pellets into fuel containers to form fuel elements for a fission reactor. 2. A method as set forth in claim 1 wherein said step of fabricating comprises the following substeps: |
summary | ||
039309380 | claims | 1. In a nuclear reactor of BWR type, having a fuel assembly and a finger control rod having a longitudinal axis movable between upper and lower positions with respect to said fuel assembly, at least one member movable in the direction of the longitudinal axes of the control rod, and means releasably securing said control rod to said member, said assembly and said control rod having cooperating means thereon responsive to the position of the control rod with respect to the fuel assembly to permit release of said locking means only when said control rod is moved to its upper position with respect to said fuel assembly. 2. In a nuclear reactor as claimed in claim 1, said fuel assembly having a single member, a plurality of control rods being connected to said member. 3. In a nuclear reactor as claimed in claim 1, said securing means including locking means releasable by turning of the control rod about its longitudinal axis, and means for preventing turning of the control rod when said rod is below its upper position. 4. In a nuclear reactor as claimed in claim 3, having a guide tube for the control rod, said means for preventing turning including cooperating means on the tube and the rod. 5. In a nuclear reactor as claimed in claim 4, said means for preventing turning including a sleeve slidably and non-rotatably mounted on the control rod and having a portion constituting a part of said cooperating means, said sleeve and tube having cooperating means thereon to prevent turning of the sleeve as long as it is engaged within the tube, said sleeve being movable out of the tube in the upper position of the control rod to release said prevention turning means. 6. In a nuclear reactor as claimed in claim 5, spring means urging said sleeve into the tube. 7. In a nuclear reactor as claimed in claim 5, said tube being noncircular and said sleeve having a portion fitting into the tube and non-turnable therein. 8. In a nuclear reactor as claimed in claim 5, said tube being circular and having inwardly directed ribs extending longitudinally thereof and said sleeve having projections engageable with the ribs. |
048308145 | claims | 1. An integrated head package for a nuclear reactor comprising: a pressure vessel closure head for sealing the reactor; control rod drive mechanisms for positioning control rods in the core of the reactor; a shroud for enclosing said control rod drive mechanism; a plurality of vertical lift rods secured to the pressure vessel closure head; spaced stop members adjacent the upper ends of each of said vertical lift rods; a missile shield plate extending between said lift rods above said control rod drive mechanisms, said plate being subject to possible impact from said control rod drive mechanisms, said plate being vertically slidably retained between the spaced stop members thereof when impacted by said control rod drive mechanism; and a lift rig secured to said missile shield plate. a pressure vessel closure head for sealing the reactor; control rod drive mechanisms for positioning control rods in the core of the reactor, displacer rod drive mechanisms for positioning displacer rods in the core of the reactor; a shroud for enclosing said control rod drive mechanisms and displacer rod drive mechanisms; a collar on each of said control rod drive mechanisms and displacer rod drive mechanisms, said collars being adjacent and aligned in a common horizontal plane such as to provide a seismic plate for said mechanisms; a plurality of vertical lift rods secured to the pressure vessel closure head; spaced stop members adjacent the upper ends of each of said vertical lift rods; a missile shield plate extending between said lift rods, said plate comprising a flat, solid, circular plate vertically slidably retained between the spaced stop members thereof; and a lift rig secured to said missile shield plate. 2. An integrated head package for a nuclear reactor as defined in claim 1 wherein displacer rod drive mechanisms are provided for positioning displacer rods in the core of the reactor, with the shroud enclosing both the control rod drive mechanisms and displacer rod drive mechanisms. 3. An integrated head package for a nuclear reactor as defined in claim 1 wherein said spaced stop members comprise a lower flanged member fixed to said lift rod and an upper nut threadedly secured to said lift rod. 4. An integrated head package for a nuclear reactor as defined in claim 1 wherein support blocks are permanently secured to the upper surface of the missile shield plate, said support blocks having apertures therethrough through which the lift rods extend. 5. An integrated head package for a nuclear reactor as defined in claim 4 wherein said support blocks are formed from a wide section and outwardly extending arms having an upper surface, with said apertures formed through said arm and area of the missile shield plate below, and said arm and area below are positioned between said upper and lower stop members. 6. An integrated head package for a nuclear reactor as defined in claim 5 wherein said missile shield plate has a recess in the lower surface thereof, and said lower stop member is seated in said recess. 7. An integrated head package for a nuclear reactor as defined in claim 6 wherein three said lift rods are provided and three said support blocks are provided, each said support block has a clevis thereon, and a tripod support system having a lift ring thereon is pin connected to said support blocks through said clevis. 8. An integrated head package for a nuclear reactor as defined in claim 7 wherein spreader support members extend between adjacent said support blocks. 9. An integrated head package for a nuclear reactor as defined in claim 1 wherein said missile shield plate has a downwardly depending skirt about the periphery thereof. 10. An integrated head package for a nuclear reactor as defined in claim 1 wherein each said control rod drive mechanism and displacer rod drive mechanism has a collar thereon, with said collars being adjacent and aligned in a common horizontal plane such as to provide a seismic plate for said mechanisms. 11. An integrated head package for a nuclear reactor comprising: 12. An integrated head package for a nuclear reactor as defined in claim 11, wherein said missile shield plate has a recess in the lower surface thereof with said lower stop member seated in said recess, and a downwardly depending skirt about the periphery of said missile shield plate. 13. An integrated head package for a nuclear reactor as defined in claim 12 wherein support blocks are permanently secured to the upper surface of the missile shield plate, said support blocks formed from a wide section and outwardly extending arm having an upper surface, with an aperture formed through each said arm, and area of the missile shield plate therebelow, through which the lift rods extend, and said arm and area of the missile shield plate therebelow is positioned between said upper and lower stop members. 14. An integrated head package for a nuclear reactor as defined in claim 13 wherein said spaced stop members comprise a lower flanged member fixed to said lift rod and an upper nut threadedly secured to said lift rods. 15. An integrated head package for a nuclear reactor as defined in claim 14 wherein three said lift rods are provided and three said support blocks are provided, each said support block has a clevis thereon, a tripod support system having a lift ring thereon is pin connected to said support blocks through said clevis, and spreader support members extend between adjacent support blocks. |
summary | ||
claims | 1. A method of fueling a nuclear reactor, the method comprising:a) opening a nuclear reactor vessel;b) moving a fully assembled nuclear fuel cartridge from a position outside of the nuclear reactor vessel to a position within an interior cavity of the nuclear reactor vessel, the nuclear fuel cartridge comprising a unitary support structure and a plurality of nuclear fuel assemblies preassembled in the unitary support structure and arranged to collectively form a fuel core, the fuel core mounted in the unitary support structure; andc) closing the nuclear reactor vessel;wherein the unitary support structure comprises: a bottom core plate, a top core plate, and a plurality of vertically-oriented longitudinal connecting rods interconnecting the top and bottom core plates together;wherein the nuclear fuel cartridge comprises a reflector cylinder coupled to the unitary, support structure and circumscribing the nuclear fuel core, the reflector cylinder comprising a plurality of arcuately shaped wall segments coupled together, the longitudinal connecting rods extending in a vertical direction through and inside the wall segments at a peripheral portion of the fuel cartridge;wherein the plurality of connecting rods extend axially between and are fixedly coupled to the top and bottom core plates to sandwich the wall segments of the reflector cylinder therebetween. 2. The method according to claim 1, wherein step a) further comprises removing a nuclear reactor vessel head from a nuclear reactor vessel body to provide an opening into the interior cavity of the nuclear reactor vessel; and wherein step b) further comprises lowering the nuclear fuel cartridge into the nuclear reactor vessel body; and wherein step c) further comprises securing the nuclear reactor vessel head to the nuclear reactor vessel body to enclose the opening into the interior cavity. 3. The method according to claim 2, wherein step b) further comprises:b-1) coupling a crane to the unitary support structure of the nuclear fuel cartridge;b-2) lifting the nuclear fuel cartridge with the crane;b-3) lowering the nuclear fuel cartridge into the nuclear reactor vessel body with the crane; andb-4) uncoupling the crane from the unitary support structure of the nuclear fuel cartridge. 4. The method according to claim 3, wherein step b-2) further comprises coupling the crane to a lifting ring of the unitary support structure. 5. The method according to claim 1, wherein the fuel core of the nuclear fuel cartridge comprises all nuclear fuel assemblies used to operate the nuclear reactor for a cycle life greater than 24 months. 6. The method according to claim 1, wherein the nuclear fuel cartridge forms a self-supporting assemblage that can be lifted as a single unit during step b). 7. The method according to claim 1, wherein step a) further comprises:a-1) setting a water level in a reactor containment enclosure to allow access to head bolts that secure a nuclear reactor vessel head to a nuclear reactor vessel body;a-2) removing the head bolts; anda-3) raising the water level and removing the nuclear reactor vessel head from the nuclear reactor vessel body to provide an opening into the interior cavity of the nuclear reactor vessel. 8. The method according to claim 1, wherein each of the plurality of nuclear fuel assemblies comprises a plurality of nuclear fuel rods. 9. The method according to claim 1, wherein the fuel core is sandwiched and compressed between the top and bottom core plates. 10. The method according to claim 1, wherein the top and bottom core plates each comprise a lattice structure defining a plurality of open cells, each of the open cells of the top and bottom core plates fluidly communicating with at least one of the plurality of nuclear fuel assemblies to form a fluid flow path therethrough. 11. The method according to claim 10, wherein each of the plurality of fuel assemblies is configured so as to be incapable of being removed from the unitary support structure through its respective open cells of the top and bottom core plates. 12. The method according to claim 11, wherein each of the plurality of fuel assemblies includes a top nozzle and a bottom nozzle formed at opposing ends of the fuel assembly, and wherein each of the top and bottom flow nozzles each include a stepped portion configured and sized to engage the respective top and bottom core plates around one of the open cells in an interlocked arrangement that prevents the fuel assemblies from passing completely through the open cells after the self-supporting assemblage is assembled. 13. The method according to claim 1, wherein the plurality of connecting rods comprises a plurality of peripheral connecting rods located outboard of the nuclear fuel core and a plurality of central connecting rods located inboard of the nuclear fuel core. |
|
046848100 | summary | BACKGROUND OF THE INVENTION Fluorescent lights have a cathode mounted near each terminal end thereof. The cathodes emit soft X-rays therefrom which propagate through the intervening space and impinge on anyone located in close proximity thereto. Tests and experiments show that absorption of these soft X-rays cause hyperactivity and learning disabilities in children. These emotional effects are sometime very pronounced, and as more advance studies are conducted, there is an indication that this radiation affects both children and adults. It is also known that plant life and animals are adversely effected by the soft X-rays. The term "X-rays" or "soft X-rays", as used in this disclosure, is intended to denote the particles or matter or energy which is radiated from the cathode ends of a fluorescent light tube. The widespread use of fluorescent lighting in schools, hospitals, offices, and public buildings indicates a need to protect animal and plant life from the soft X-rays emitted by the cathode end of the fluorescent lights. Such a desirable expedient is the subject of this invention. SUMMARY OF THE INVENTION A shield supported by the marginal opposed ends of a fluorescent light tube which intercepts soft X-rays and prevents propagation of the X-rays away from the light fixture thereof, thereby preventing the X-rays from adversely affecting animal and plant life which may be located in close proximity thereto. In one embodiment of the invention, a shield is provided for each marginal end of a fluorescent light tube of a light fixture. The shield comprises a circumferentially extending layer of metal through which soft X-rays cannot pass. The shield surrounds the cathode located in each marginal end of the fluorescent tube. One specific embodiment of the invention comprises a layer of metallic material applied as a coating to the interior surface of the marginal ends of the fluorescent tube. Another embodiment of the invention comprehends a layer of metallic material applied to the exterior surface of the marginal ends of the fluorescent tube. In each instance, the metallic material is finely divided and admixed with a suitable vehicle which enables it to be applied by painting the glass surface. Another embodiment of the invention comprehends a tubular support structure having a length greater than the diameter of the tube, with there being a sheet of metal applied to the support structure so that the cylindrical shield can be telescoped about the marginal ends of a fluorescent tube, thereby supporting the metal sheet radially spaced about the cathode in a removable manner. Another embodiment of the invention comprehends the before mentioned cylindrical, removable shield, which is provided with a longitudinally extending slot thereby providing spaced adjacent edge portions so that the cylindrical shield can be deformed and placed about the marginal ends of the fluorescent tube in intimate contact with the glass surface thereof. This embodiment of the invention enables the shield to be installed on a tube without removing the tube from the fixture. Accordingly, a primary object of the present invention is the provision of apparatus in combination with a fluorescent tube which shields animal and plant life from the harmful effects of X-rays emitted from the cathode of the fluorescent tube. Another object of the invention is the provision of a shield assembly in combination with the fluorescent light tube which forms a barrier for intercepting X-rays emitted by the cathode. A further object of this invention is the provision of a shield device which is removably received about the opposed marginal terminal ends of a fluorescent tube to prevent X-rays from being propagated from a fluorescent light fixture. These and various other objects and advantages of the invention will become readily apparent to those skilled in the art upon reading the following detailed description and claims and by referring to the accompanying drawings. The above objects are attained in accordance with the present invention by the provision of a combination of elements which are fabricated in a manner substantially as described in the above abstract and summary. |
051732521 | description | DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT Referring to FIG. 1A, a typical prior art fuel bundle is illustrated in perspective with the major sections between the top and bottom of the bundle removed. The fuel bundle has a lower tie plate 14, an upper tie plate 16, and a plurality of fuel rods F. Fuel rods F extend vertically the length of the fuel bundle from a position of support on lower tie plate 14 to the upper tie plate 16. Unlike the illustration here shown, the fuel bundle is elongated. Typically, it is in the order of 160 inches long with approximately a 5".times.5" cross-section. The fuel rods within the bundle assembly are flexible in the longitudinal direction. A 9.times.9 array of fuel rods is illustrated. Arrays of 10.times.10, 11.times.11, and 12.times.12 are known. It goes without saying that as the arrays become more dense, fuel rod diameter decreases and longitudinal flexibility increases. Referring to FIG. 1B, an entire spacer is shown having a 9 by 9 matrix. The particular spacer illustrated here is provided with a central aperture for a large water rod. It is the construction of such a spacer to which this invention is directed. In particular, this invention allows the entire spacer of FIG. 1B to be constructed before the insertion of any of the springs between the ferrules. Fuel rods F are constructed by placing fuel pellets within tubular metallic cladding. The metallic cladding is thereafter sealed at both ends, making the fuel rods sealed pressure vessels. During fission, the spacing between discrete fuel rods F is important for efficiency of the nuclear reaction as well as the generation of steam. Furthermore, any vibration on the fuel rods F is undesirable as such vibration can induce either rod abrading or cracking with resultant leakage of the radioactive materials within the fuel rods. To assure the proper spacing of the rods and to prevent them from vibrating, a plurality of spacers S are placed along the length of the fuel bundle F. Typically, 5 to 10 such spacers are utilized with 7 spacers being common. The spacers are placed at individual preselected elevations along the length of the fuel bundle. As shown in FIG. 1B, each spacer S consists of a grid of ferrules. The ferrules which make up spacer S in FIG. 1B are described in commonly-owned, co-pending patent application Ser. No. 07/623,828, entitled "Removable Springs for Ferrule Spacer" filed Dec. 6, 1990, now U.S. Pat. No. 5,078,961 issued Jan. 7, 1992. That specification is incorporated herein for all purposes. As an understanding of the construction and operation of the ferrules and springs described therein is necessary for an understanding of this invention, the following description relates to the ferrules described in that application. Accordingly, it what follows, FIGS. 2A, 2B, 3, 4, and 5 will describe the ferrule spacer of that disclosure. Referring to FIGS. 2A and 2B, a ferrule 20 has an "I" shaped aperture 90. Aperture 90 includes upper and lower rectangular sections 92 which sections form the respective upper and lower bars of the "I" section of the aperture 90. Respective stops 28 form the points against which fuel rods are biased. Fabrication of the spring can be easily understood. Spring metal, typically formed of Inconel, is stamped in the shape shown in FIG. 3. The spring includes upper and lower bars 102 with spring legs 48 and rod contacting portions 46 formed therebetween. This spring is bent about axis 104. Referring to FIG. 4, the respective portions of the spring have been bent about axis 104, and the ends 106 are welded together. Such bending causes upper and lower bars 102 to bend in loop configuration back upon themselves. These members are integral with spring legs 48 and expand the effective length of the spring. The rod contacting portions 46 contact the rods, holding them in place against stops 28 (FIG. 2A). Such a spring with an expanded effective length enables compression at the rod contacting portions 46 to occur without appreciable change in the force required for the compression. Such springs with an expanded effective length can be referred to as "softer" springs. Trapping of the spring into apertures 90 and 92 is shown in FIG. 5. Apertures 90 capture the main spring body. Apertures 92 both above and below aperture 90 capture arms 102. Since arms 102 are a part of the spring, these arms are required to extend into the tab receiving slots 112 defined by confronted aperture portions 92 in each of the ferrules. To construct the spacer, ferrules 20 are confronted with the spring shown in FIG. 4 trapped therebetween. When the ferrules are brought together and fastened together, self-centering trapping of the spring occurs. In the description that follows, the present disclosure will be set forth. Over my prior disclosure, it will be understood that spring insertion from the side can now occur. This has the advantage of allowing substantially complete assembly of the spacer before spring insertion, permitting the removal of damaged springs without spacer disassembly, and finally enabling partial spring insertion to compress the spring and yet maintain the spring out of the path of inserted fuel rods so that spring scratching of inserted fuel rods can be avoided upon fuel bundle assembly. Spring 200, fabricated according to the present invention, is illustrated in FIGS. 6A, 6B and 6C. Spring 200 is fabricated from sheet material of a known alloy, typically Inconel. After stamping, the spring blank is folded about a vertical axis and welded together. As shown in FIG. 6A, upper and lower loops 202 have semi-circular arcs at both ends. Ferrule 205, illustrated in FIGS. 8A and 8B, functions with spring 200 to hold the fuel rods in place. Aperture 210 is cut using circular cutters of different radii, the cuts made by these circular cutters being illustrated in FIG. 8A by dashed lines 215, 216, and 217. Rectangular central area 209 of aperture 210 is cut deepest (furthest) into the ferrule circumference (line 215, FIG. 8A). This allows rod contacting projections 201 in spring 200 (FIG. 6C) to pass between two confronted ferrules 205. Tabs 212 above and below central area 209 prevent lateral movement of spring 200 after it has been inserted into aperture 210. FIGS. 9A, 9B and 9C are cross-sections of a spring 200 being inserted into a ferrule 205, the cross-sections being taken, respectively, along lines A--A, B--B, and C--C in FIG. 8B. The shaded portions of the ferrule and spring in each figure show that when the spring is compressed, its insertion into aperture 210 is unobstructed by any projections near aperture 210. The figures clearly demonstrate that the different elevations of the spring will clear the ferrule slot (aperture 210) so that the spring can be inserted. FIG. 10 is a cross-section taken along line A--A in FIG. 8B, showing a spring 200 inserted into ferrule 205, with no compressive force applied to spring 200. Projections 201 extend into the central area of ferrule 205. FIG. 11 shows the same spring and ferrule, with the addition of fuel rods 230. These compress the spring, which in turn forces the fuel rods against supports 235 (FIG. 8A). FIG. 12 illustrates the use of the present invention in compressing of the partially inserted spring to prevent the scratching of fuel rods during fuel bundle assembly. Referring to FIG. 12, spring 200 has been compressed, partially inserted into aperture 210, and released. Spring 200 tries to open to its unloaded position (see FIG. 10) but is restrained by ferrule tabs 212. There is a gap between the spring and the normal position of a fuel rod. Fuel rods can then be inserted with no spring force present, greatly reducing the number and magnitude of scratches on the fuel rods. Dashed circled 251 in FIG. 12 indicates the limit position of a fuel rod as it is being inserted. Projections 201 have been added to the spring so that the edge of spring 200 when in contact the fuel rod has a reduced tendency to cause scratches. After the fuel bundle is completely assembled, the springs can be pushed fully into the apertures, where they will snap into position, pushing against the fuel rods, and holding them in position. The springs can be pushed inward with a tool which fits into the interstices between the ferrules. For example, a tool with a tapered end can enter the spaces between ferrules force the springs into position. If necessary, an additional procedure can be adopted to ensure complete protection against fuel rod scratches. This procedure involves coating each spacer, along with the partially inserted springs, with a gelatin coating. After the bundle is assembled and the springs locked into place, the gelatin is then dissolved in water. This procedure requires only minor modification to existing fuel rod assembly procedures. The spacers must be given a gelatin coating. Guides may be required to lead the fuel rods into the spacer ferrules, and to support the fuel rod weight, as the weight of the fuel rods may wear the gelatin off the stops and springs. A tool mounted on a long rod must be inserted through the interstitial space between the ferrules to nudge the springs into final position. Finally, the fuel bundles must be rinsed in water to remove the gelatin. It should be understood that the current fuel rod loading sequence, loading one row of rods at a time, starting at the bottom, need not be followed. Accordingly, automated machines having random loading sequences of the spacer matrix can be utilized. An alternative method for accomplishing the same end as the gelatin coating utilizes a low melting point metal alloy which can be removed with hot water. The springs are compressed and dipped in the molten alloy. The alloy hardens while the spring is compressed and holds the spring in the compressed state. The springs and the fuel rods are inserted into the spacers. After the fuel rod assembly is complete, it is rinsed in hot water, melting and removing the alloy and releasing the springs to hold the fuel rods. It will be appreciated that this disclosure will admit of modification. Any combination of a spring trapping aperture between a ferrule pair and a trapped spring capable of being inserted to the aperture of the of the ferrule pair is intended to be broadly covered in this disclosure. It will be understood that those having skill in the art by reviewing the disclosed design can carefully dimension springs and ferrules for the insertion process taught herein. The reader is advised that dimensions must be carefully anticipated in light of the density of the fuel rod matrix and the particular ferrule spacer under consideration. |
description | The present invention relates to methods for maintaining the fuel pellets within a nuclear fuel rod under compression and particularly relates to methods for installing a locking retainer in a nuclear fuel rod to maintain a predetermined axial preload on the fuel pellets within the fuel rod. In U.S. Pat. No. 4,871,509 issued Oct. 3, 1989, of common assignee herewith, there is illustrated a fuel column retainer using a coiled spring to maintain the fuel pellets under compression within the nuclear fuel rod. Two designs are disclosed in that patent. Both disclosed designs require features on a coil spring so that torsion can be applied by an installation tool to the upper coils of the retainer spring. The torsion reduces the coil diameter of the upper coils allowing the spring to be located inside the fuel rod. On the upper end, both designs of that patent have a tang formed by the end of the spring coil extending axially from the upper coils. On the bottom end, one design has a wafer with a slot that is welded to the bottom of the spring. The other design has a partial coil in between the upper and lower coils. These features however render the retainer difficult and expensive to produce and complicate the installation process. More particularly, the installation tooling in that patent includes a rod that extends through the upper coils with a feature on the bottom to engage either the slotted wafer or the partial coil. The rod extends through a sleeve that has a slot designed to engage the axial tang above the upper spring coils. With both the sleeve and the rod engaging the spring features, the sleeve can be rotated relative to the rod applying torsion to the upper coils and reducing their diameter to enable insertion in the fuel rod. This is a complex and time consuming process in that the spring must first be loaded onto the tool then the parts of the tool are rotated to allow insertion. The tool must also then be located in the proper axial position while the torsion between the rod and the sleeve is maintained. Torsion can then be released and the tool withdrawn. The process is cumbersome, time consuming and difficult to automate. It also typically slows down the assembly of the fuel rod. Accordingly, there is a need for a new and simplified design and installation process and which process includes a spring and tooling which are low cost and have the capacity for automation. In a preferred embodiment of the present invention, there is provided a method for installing a locking retainer in a tube to maintain internal components within the tube under compression comprising the steps of: a) providing an elongated retainer spring having large and small diameter sections with the large diameter section of a size for an interference fit with the interior diameter of the tube and the smaller diameter section of a size having a clearance with the interior diameter of the tube; b) inserting a smaller diameter section of an elongated tool into the larger diameter section of the elongated retainer spring; c) engaging a transition between the smaller and larger diameter sections of the tool against a transition between the larger and smaller diameter sections of the elongated retainer spring; d) inserting the combined tool and retainer spring into an open end of the tube containing internal components with an end of the smaller diameter section of the retainer spring entering the tube first; e) advancing the combined tool and retainer spring within the tube to compress the smaller diameter spring against an adjacent internal component until an end of the tool engages the adjacent internal component enabling the spring to apply a selected axial preload on the internal components in the tube; and f) withdrawing the tool from the retainer spring while maintaining the larger diameter section of the retainer spring in engagement with the interior diameter of the tube to maintain the axial preload on the internal components. In the exemplary embodiment, the tube is a nuclear fuel rod and the internal components are fuel pellets. Referring now to the drawings, particularly to FIG. 1, there is illustrated a fuel rod 10 containing a plurality of nuclear fuel pellets 12 stacked one against the other and against a closed end 14 of the fuel rod. Prior to closing the opposite end of the fuel rod by welding a fuel rod plug 16 to the fuel rod 10, it is necessary and desirable to axially preload the column of fuel pellets within the fuel rod. To accomplish the foregoing, a locking retainer spring 18 is provided for disposition within the fuel rod and to maintain a pre-selected preload against the stacked fuel pellets 12 within the fuel rod 10. FIG. 3 illustrates an installation tool 20 for use in installing the locking retainer spring 18 within the fuel rod 10 prior to sealing the pellets 12 within the fuel rod 10 by welding the plug 16 to rod 10. As illustrated in FIG. 2, the retainer spring 18 includes a plurality of upper coils 22, a transition section 24 and a lower coil section 26. The upper spring coil section 22 has a diameter corresponding to the interior diameter of the fuel rod 10 such that an interference fit exists between the upper coiled section 22 and the fuel rod upon installation of the retainer spring 18 into the fuel rod 10. The upper coil section 22 includes a pair of closely wound coils 26 at the upper end of the retainer spring 18 and a pair of closely wound coils 28 at the lower end of the upper coiled section 22. The latter coils 28 form the transition section 24. The transition section 24 separates the larger diameter upper coiled section 22 from the smaller diameter lower coiled section 26. Thus, the lower coiled section 26 has a natural clearance relative to the inner diameter of the fuel rod. The lower coiled section 26 also terminates at its far or lower end in a pair of closely wound coils 30. The closely wound coils 30 terminate in a ground flat to provide an interface with the upper most pellet 12 of the column of fuel pellets within the fuel rod 10. In summary, the retainer spring 18 includes an upper coiled section having an outer diameter corresponding to the interior diameter of the fuel rod 10 and a lower coiled section 26 having a diameter less than and clear of the interior diameter of the fuel rod 10. Referring to FIG. 3, there is illustrated an installation tool 20. The tool 20 includes a solid preferably metal rod having a first diameter section 40, a smaller diameter section 42 and a transition section 44 between the large and small diameter sections 40 and 42. The smaller diameter section 42 opposite transition 44 includes a further transition 46 enabling the rod to taper toward distal end 48. The larger and smaller diameter sections 40 and 42 respectively are cylindrical. Referring now to drawing FIGS. 4-7, the process for installing the locking retainer spring 18 within the fuel rod 10 will now be described. It will be appreciated that the fuel pellets 12 are located within the fuel rod through the open end and butt or stack against one another within the fuel rod. As is conventional, the fuel pellets extend within the fuel rod a distance short of the open end of the rod and also short of the plug 16 when applied to close the upper end of the fuel rod. Consequently, it is desirable to maintain the fuel pellets 12 continuously under a pre-selected compressive loading to maintain the pellets in appropriate position within the rods and to prevent movement of the pellets in an axial direction along the rods both when transporting the fuel rods and using the fuel rods in a nuclear reactor. To install the locking retainer spring 18 in a manner to accomplish that purpose, the smaller diameter end i.e., the distal end 48 of the tool 20 is inserted into the upper end of the locking retainer spring 18, particularly through the open free end of the larger diameter section 22. As the rod 20 is inserted into the retainer 18, the transition 44 on the rod 20 will engage the transition 24 on the retainer spring 18. That is, the tool transition 44 seats against the retainer spring transition 24. The combined tool and spring is then inserted into the open end of the fuel rod 10 as illustrated in FIG. 4. Since the diameter of the transition section 24 is the same or substantially the same diameter as the interior diameter of the fuel rod 10, the diameter transition of the spring 18 will start to interfere with the interior diameter of the fuel tube 10. A combination of axial force and torque is then applied to the tool 20 to force the combined tool and spring into further penetration within the fuel rod. This can be accomplished by a combination of axial force and torque applied to the tool 20. Note that the torsion applied to the tool is transmitted to the bottom of the upper coils 22 on the spring adjacent the transition section 24 and acts to reduce the diameter of the upper coils to further facilitate insertion. The torque and axial force on the tool is transmitted to the upper coils 22 of the spring such that those forces act to overcome the friction between the upper spring coils 22 and the interior surface to enable the tool and spring to advance into the fuel rod, i.e., into the interior diameter of the fuel rod. The balance of the upper coils are then advanced into the fuel rod by the axial force and torsion applied to the tool. The combined tool and spring are inserted into the fuel rod until the tip 30 of the lower coiled section 26 of the spring 18 engages against the uppermost fuel pellet 12. At this point, the lower coiled section 26 of the spring 18 is not yet compressed and lies its natural state within the fuel rod 10. (See FIG. 5). The length of the tool 20 is set to give the proper spring deflection to the lower coils and thereby the correct preload is maintained on the fuel column. The tool and spring are further advanced until such time that the distal end 48 of tool 20 engages the end of the fuel pellet 12. Once the distal end 48 engages the adjacent fuel pellet 12, the correct preload on the fuel column is obtained because of the dimensional relationships between the length of the tool and the lower coils. Once the tool seats against the fuel pellet, the tool is withdrawn from within the coil spring and the friction between the upper coils 22 and the interior diameter of the fuel rod maintains the axial preload on the fuel column. At this juncture, the end 26 of the upper coils of the spring is set back from the end of the fuel rod and is set back likewise from the plug 16 when the plug is welded to the end of the fuel rod. It will be appreciated that there is no necessity to reduce the diameter of the upper coils in this installation process when inserting the spring. Also, the spring can be readily manufactured by standard spring coiling equipment. Further the installation tool is very simple and the installation procedure is easily accomplished manually or by automated equipment. It will also be appreciated that the method described herein is also applicable to the retention of any internal components within a tube. While the invention has been described in connection with what is presently considered to be the most practical and preferred embodiment, it is to be understood that the invention is not to be limited to the disclosed embodiment, but on the contrary, is intended to cover various modifications and equivalent arrangements included within the spirit and scope of the appended claims. |
|
summary | ||
046769455 | summary | BACKGROUND OF THE INVENTION The present invention relates to the field of nuclear power reactors which are generally of the pressurized water type. Such nuclear power reactors typically have a plurality of individual elongated and generally vertical fuel bundle assemblies which comprise the reactor core. During refueling of such a reactor core, it is typical to replace one-quarter to one-third of the total number of fuel bundle assemblies at a given time. Typically, such re-fueling of a reactor core is carried out by removing the spent fuel bundles that are to be withdrawn from the reactor core and thereafter repositioning some of the existing fuel bundles to new locations within the core and finally inserting the new fuel bundles into their desired initial position. Typically, such fuel repositioning and management is effected while the reactor core fuel assemblies are sumberged in the cooling fluid within the reactor vessel and various means for accomplishing such repositioning are employed at the top of the reactor vessel. Such means may take the shape of a traveling crane mechanism such as shown in U.S. Pat. No. 3,603,634 or U.S. Pat. No. 4,385,028. In any event, occasionally some difficulty will be experienced in inserting a fuel bundle assembly into certain reactor core locations due to inadequate physical space to receive the fuel assembly being inserted. This space problem is generally due to a slight elastic bowing in one of the fuel assemblies bordering the core location being loaded. In some cases, the resulting rectangular opening is too small to readily receive the lower end of the fuel bundle assembly being inserted, in spite of there being small chamfers on the lower bottom tie plate of the fuel bundle assembly. When such is the case, a delay of one to two hours is typical to overcome such a problem over the time otherwise required to insert a fuel bundle wherein the empty core position is of the proper dimension to receive the fuel assembly being inserted. Such unnecessary time delay in carrying out the loading and reshuffling of position of the fuel bundle assemblies in the reactor core results in unnecessary out-of-service time for the utility reactor and delay in its subsequent startup. Such delay is at considerable economic cost to the operating utility, since it unnecessarily increases the out-of-service time of the generating facility. The problem of physical interference upon insertion of a fuel bundle assembly into a reactor core has been addressed in typical prior art patents, such as the aforementioned U.S. Pat. No. 3,603,634. In this patent, the fuel bundle assembly being inserted is lowered down through a tubular centering device which includes a plurality of enlarged lower edge portions which are adapted to physically displace the fuel bundles adjacent the core position being loaded away from the empty core position. While the solution presented by this prior art patent may be applicable to fuel loading operations where the fuel assembly is lowered down through a centering mast or device, it is unsuitable for the environment of applicant's invention wherein a fuel bundle is merely lowered directly into an empty core position. SUMMARY OF THE INVENTION In accordance with the present invention, use is made of the adjacent vertically arranged fuel bundles which surround the empty core position to be filled with the fuel bundle to be inserted. Such use of the adjacent bundles involves the placement upon the top tie plate of each bundle of a separate and individual centering device, each centering device being of a generally box-like cap configuration and engaging the upper tie plate of each fuel bundle. Preferably, each such centering device includes a pair of tapered dowel pins which engage aperatures formed in the upper surface of the fuel assembly tie plate so that lateral forces imposed upon the centering device will be transmitted to the upper end of the fuel bundle assembly that may be bowed into the empty core position. Each centering device also preferably includes an elongated handling pole uniquely connected to the top wall of the centering device so that each centering device may be lowered individually onto the upper tie plate of its respective associated fuel bundle. This elongated handling pole engages the top wall of the centering device through a novel ball and socket arrangement which permits the handling pole to swing well clear of the fuel insertion operating area after the centering device has been placed on its respective fuel bundle. The unique ball and socket arrangement also allows the centering device to self-align itself on the handling pole when the handling pole is lifted vertically or, alternatively, being lowered down on top of the fuel bundle during installation thereon. Each of the centering devices in accordance with the invention includes top and side wall means which cooperate with an inclined rear plate and an inclined front wall portion in a manner to provide a hollow, box-like cap on top of its associated fuel bundle assembly. When each centering device is in engagement to its respective fuel bundle assembly, the front inclined wall of the centering device will be positioned so as to cooperate with the lower end of the fuel bundle assembly being inserted into the empty core position so that contact with said fuel bundle assembly will be effective to laterally deflect the bowed fuel bundle to its proper position and allow easy insertion of the fuel assembly into the empty core position. Another aspect of applicant's novel overall design for each individual centering device is their ability, even though engaged to the upper tie plate of its respective fuel bundle, to permit reactor core coolant to circulate up through the fuel bundle assembly during installation of the new fuel. This is accomplished by providing each of the side walls and the front and rear plates of the centering device with cut-out portions to provide flow passages for any reactor coolant fluid to exit from the centering device. While ordinarily the vertical flow due to convection of the core fluid will not be great during this shutdown state of the nuclear reactor, there will, nevertheless, be thermal gradients within the reactor core fluid which create vertical convection currents which might otherwise displace the centering device from the tops of their respective fuel bundle assemblies. Accordingly, it is a principal object of the invention to provide a new and novel centering device arrangement to assist in the loading of fuel bundle assemblies into a reactor core. A further object of the invention is to provide a fuel bundle centering device design which is simple to construct, rugged in performance, and economical to manufacture. A still further object of the invention is to provide a new and novel system for the insertion of fuel bundle assemblies into an empty core position of a nuclear reactor employing a plurality of individual and separate centering devices which physically engage the upper surface of the adjacent fuel bundles adjacent the core position to be loaded. These and other objects and advantages of the invention will become apparent, and the invention will be fully understood from the following description and drawings in which: |
summary | ||
claims | 1. A method for measuring distribution of beam landing angles with a viewing field by use of a charged particle beam apparatus, the method comprising the steps of:imaging a test sample with a first magnification ratio sufficient for including polyhedral patterns formed a test sample within a viewing field by applying a charged particle beam to the test sample and by detecting secondary electrons generated from the test sample, the polyhedral patterns having a known shape;imaging each polyhedral patterns with a second magnification ratio which is higher than the first magnification ratio by sequentially moving and applying the charged particle beam;obtaining first relationships between a position irradiated with the charged particle beam within the viewing filed and the beam landing angle for each the polyhedral patterns using images obtained during the step of imaging each polyhedral pattern; andobtaining second relationships between other positions within the viewing field and beam landing angles through interpolation. 2. The method for measuring distribution of beam landing angles with a viewing field by use of a charged particle beam apparatus as defined in claim 1, further comprising the step of:displaying the distribution of beam landing angles obtained by the first relationships and the second relationships on a screen. 3. The method for measuring distribution of beam landing angles with a viewing field by use of a charged particle beam apparatus as defined in claim 2,wherein lengths and directions of the beam landing angles are indicated by length and direction of arrow on the distribution. 4. The method for measuring distribution of beam landing angles with a viewing field by use of a charged particle beam apparatus as defined in claim 1,wherein the polyhedral pattern with the known shape on the test sample has a shape of a quadrangular pyramid, a quadrangular fustum, or an almost quadrangular pyramid with a round top surface. 5. A charged particle beam comprising:a charged particle beam irradiation means for applying a focused charged particle beam to a sample to scan the sample;an image capturing means for capturing images of the sample by detecting secondary charged particles generated from the sample irradiated with a charged particle beam emitted from the charged particle beam irradiation means with a first magnification ratio and a second magnification ratio, the second magnification ratio being higher than the first magnification ratio;an image processing means for processing images captured by the image capturing means, and for obtaining first relationship between positions irradiated with the charged particle beam within a viewing field and a beam landing angle in a plurality of directions for polyhedral patterns detected with the first magnification ratio, and obtaining second relationships between other positions within the viewing field and beam landing angles through interpolation; anda display means for displaying distribution of beam landing angles with the viewing field. 6. The charged particle beam apparatus as defined in claim 5, wherein the distribution of beam landing angles are obtained by the first relationship and the second relationship. 7. The charged particle beam apparatus as defined in claim 5, wherein the display means indicates lengths and directions of the beam landing angles by using length and direction of arrow on the distribution. 8. The charged particle beam apparatus as defined in claim 5, wherein a plurality of polyhedral patterns with a known shape of a quadrangular pyramid, a quadrangular fustum, or an almost quadrangular pyramid with a round top surface are formed on the test sample. |
|
summary | ||
claims | 1. A method implemented on at least one machine, each machine of the at least one machine has at least one processor and at least one storage device for adjusting a multi-leaf collimator (MLC) in a treatment process, the MLC including a plurality of cross-layer leaf pairs, each cross-layer leaf pair of the plurality of cross-layer leaf pairs includes a first leaf located in a first layer of leaves and a second leaf opposingly located in a second layer of leaves, the method comprising:for each cross-layer leaf pair of at least one of the plurality of cross-layer leaf pairs,determining, according to a treatment plan, an effective cross-layer leaf gap to be formed between the first leaf in the first layer and the second leaf in the second layer;causing at least one of the first leaf in the first layer or the second leaf in the second layer to move to form the effective cross-layer leaf gap; andcausing, based on the effective cross-layer leaf gap, an in-layer leaf gap to be formed between the first leaf in the first layer and an opposing first leaf in the first layer of the MLC, the first leaf and the opposing first leaf forming an in-layer leaf pair in the first layer,wherein a size of the in-layer leaf gap is no less than a threshold. 2. The method of claim 1, wherein for each cross-layer leaf pair of at least one of the plurality of cross-layer leaf pairs, the causing, based on the effective cross-layer leaf gap, an in-layer leaf gap to be formed between the first leaf and an opposing first leaf that form an in-layer leaf pair in the first layer further comprises:comparing a size of the effective cross-layer leaf gap with 0. 3. The method of claim 2, wherein for each cross-layer leaf pair of at least one of the plurality of cross-layer leaf pairs, the causing, based on the effective cross-layer leaf gap, an in-layer leaf gap to be formed between the first leaf and an opposing first leaf that form an in-layer leaf pair in the first layer further comprises:in response to determining that the size of the effective cross-layer leaf gap is equal to 0,comparing the size of the in-layer leaf gap with the threshold; andin response to determining that the size of the in-layer leaf gap is less than the threshold, causing the in-layer leaf gap to be adjusted to no less than the threshold, by causing the opposing first leaf of the in-layer leaf pair in the first layer to move relative to the first leaf. 4. The method of claim 2, wherein for each cross-layer leaf pair of at least one of the plurality of cross-layer leaf pairs, the causing, based on the effective cross-layer leaf gap, an in-layer leaf gap to be formed between the first leaf and an opposing first leaf that form an in-layer leaf pair in the first layer further comprises:in response to determining that the size of the effective cross-layer leaf gap is equal to 0,comparing the size of the in-layer leaf gap with the threshold; andin response to determining that the size of the in-layer leaf gap is less than the threshold, causing the in-layer leaf gap to be adjusted to no less than the threshold and no larger than a second threshold, by causing the opposing first leaf of the in-layer leaf pair in the first layer to move relative to the first leaf. 5. The method of claim 2, wherein for each cross-layer leaf pair of at least one of the plurality of cross-layer leaf pairs, the causing, based on the effective cross-layer leaf gap, an in-layer leaf gap to be formed between the first leaf and an opposing first leaf that form an in-layer leaf pair in the first layer further comprises:in response to determining that the size of the effective cross-layer leaf gap is larger than 0,comparing the size of the effective cross-layer leaf gap with the threshold; andin response to determining that the size of the effective cross-layer leaf gap is no larger than the threshold, causing the in-layer leaf gap to be adjusted to no less than the threshold, by causing the opposing first leaf of the in-layer leaf pair in the first layer to move relative to the first leaf. 6. The method of claim 2, wherein for each cross-layer leaf pair of at least one of the plurality of cross-layer leaf pairs, the causing, based on the effective cross-layer leaf gap, an in-layer leaf gap to be formed between the first leaf and an opposing first leaf that form an in-layer leaf pair in the first layer further comprises:in response to determining that the size of the effective cross-layer leaf gap is larger than 0,comparing the size of the effective cross-layer leaf gap with the threshold; andin response to determining that the size of the effective cross-layer leaf gap is larger than the threshold, causing the in-layer leaf gap to be adjusted to no less than the effective cross-layer leaf gap, by causing the opposing first leaf of the in-layer leaf pair in the first layer to move relative to the first leaf. 7. The method of claim 2, wherein for each cross-layer leaf pair of at least one of the plurality of cross-layer leaf pairs, the causing, based on the effective cross-layer leaf gap, an in-layer leaf gap to be formed between the first leaf and an opposing first leaf that form an in-layer leaf pair in the first layer further comprises:in response to determining that the size of the effective cross-layer leaf gap is larger than 0,comparing the size of the effective cross-layer leaf gap with the threshold; andin response to determining that the size of the effective cross-layer leaf gap is no larger than the threshold, causing the in-layer leaf gap to be adjusted to no less than the threshold and no larger than a second threshold, by causing the opposing first leaf of the in-layer leaf pair in the first layer to move relative to the first leaf. 8. The method of claim 2, wherein for each cross-layer leaf pair of at least one of the plurality of cross-layer leaf pairs, the causing, based on the effective cross-layer leaf gap, an in-layer leaf gap to be formed between the first leaf and an opposing first leaf that form an in-layer leaf pair in the first layer further comprises:in response to determining that the size of the effective cross-layer leaf gap is larger than 0,comparing the size of the effective cross-layer leaf gap with the threshold and a second threshold; andin response to determining that the size of the effective cross-layer leaf gap is larger than the threshold but no larger than the second threshold, causing the in-layer leaf gap to be adjusted to no less than the effective cross-layer leaf gap and no larger than the second threshold, by causing the opposing first leaf of the in-layer leaf pair in the first layer to move relative to the first leaf. 9. The method of claim 2, wherein for each cross-layer leaf pair of at least one of the plurality of cross-layer leaf pairs, the causing, based on the effective cross-layer leaf gap, an in-layer leaf gap to be formed between the first leaf and an opposing first leaf that form an in-layer leaf pair in the first layer further comprises:in response to determining that the size of the effective cross-layer leaf gap is larger than 0,comparing the size of the effective cross-layer leaf gap with a second threshold; andin response to determining that the size of the effective cross-layer leaf gap is larger than the second threshold, causing the in-layer leaf gap to be adjusted to no less than the effective cross-layer leaf gap, by causing the opposing first leaf of the in-layer leaf pair in the first layer to move relative to the first leaf. 10. The method of claim 1, further comprising:causing, based on the effective cross-layer leaf gap, a second in-layer leaf gap to be formed between the second leaf and an opposing second leaf that form a second in-layer leaf pair in the second layer. 11. The method of claim 10, wherein the causing, based on the effective cross-layer leaf gap, a second in-layer leaf gap to be formed between the second leaf and an opposing second leaf that form a second in-layer leaf pair in the second layer comprises:in response to determining that a size of the effective cross-layer leaf gap is larger than 0,comparing the size of the effective cross-layer leaf gap with the threshold;in response to determining that the size of the effective cross-layer leaf gap is no larger than the threshold,causing the second in-layer leaf gap to be adjusted to no less than the threshold, by causing the opposing second leaf of the second in-layer leaf pair in the second layer to move relative to the second leaf; andcausing the in-layer leaf gap to be adjusted to no less than the threshold, by causing the opposing first leaf of the in-layer leaf pair in the first layer to move relative to the first leaf. 12. The method of claim 10, wherein the causing, based on the effective cross-layer leaf gap, a second in-layer leaf gap to be formed between the second leaf and an opposing second leaf that form a second in-layer leaf pair in the second layer comprises:in response to determining that a size of the effective cross-layer leaf gap is larger than 0,comparing the size of the effective cross-layer leaf gap with the threshold;in response to determining that the size of the effective cross-layer leaf gap is larger than the threshold,causing the second in-layer leaf gap to be adjusted to no less than the effective cross-layer leaf gap, by causing the opposing second leaf of the second in-layer leaf pair in the second layer to move relative to the second leaf; andcausing the in-layer leaf gap to be adjusted to no less than the effective cross-layer leaf gap, by causing the opposing first leaf of the in-layer leaf pair in the first layer to move relative to the first leaf. 13. The method of claim 10, further comprising:for the each cross-layer leaf pair of at least one of the plurality of cross-layer leaf pairs, causing at least one of the in-layer leaf pair in the first layer or the second in-layer leaf pair in the second layer to be adjusted before or during the treatment process by:causing, based on at least one of the in-layer leaf gap or the second in-layer leaf gap, the in-layer leaf pair in the first layer and the second in-layer leaf pair in the second layer to be adjusted synchronously. 14. The method of claim 1, further comprising:for the each cross-layer leaf pair of at least one of the plurality of cross-layer leaf pairs, causing the in-layer leaf pair in the first layer to be adjusted before or during the treatment process. 15. The method of claim 1, wherein the threshold is larger than 0. 16. The method of claim 1, wherein the threshold is within a range from 0.1 to 2 millimeters. 17. The method of claim 1, wherein in response to determining that a size of the effective cross-layer leaf gap is equal to 0, the in-layer leaf gap is no larger than a second threshold. 18. The method of claim 17, wherein the second threshold is within a range from 2 to 3 millimeters. 19. A system for adjusting a multi-leaf collimator (MLC) in a treatment process, the MLC including a plurality of cross-layer leaf pairs and a plurality of in-layer leaf pairs, each cross-layer leaf pair of the plurality of cross-layer leaf pairs includes a first leaf located in a first layer of leaves and a second leaf opposingly located in a second layer of leaves, and each in-layer leaf pair of the plurality of in-layer leaf pairs includes the first leaf located in the first layer and an opposing first leaf that is opposingly located in the first layer, the system comprising:at least one storage device storing a set of instructions; andat least one processor in communication with the at least one storage device, wherein when executing the set of instructions, the at least one processor is configured to perform operations including:for each cross-layer leaf pair of at least one of the plurality of cross-layer leaf pairs,determining, according to a treatment plan, an effective cross-layer leaf gap to be formed between the first leaf in the first layer and the second leaf in the second layer;causing at least one of the first leaf in the first layer or the second leaf in the second layer to move to form the effective cross-layer leaf gap; andcausing, based on the effective cross-layer leaf gap, an in-layer leaf gap to be formed between the first leaf in the first layer and the opposing first leaf in the first layer of the MLC,wherein a size of the in-layer leaf gap is no less than a threshold. 20. A non-transitory computer-readable medium storing instructions, the instructions, when executed by at least one processor, causing the at least one processor to implement a method comprising:for each cross-layer leaf pair of at least one of a plurality of cross-layer leaf pairs of a multi-leaf collimator (MLC), each cross-layer leaf pair of the plurality of cross-layer leaf pairs includes a first leaf located in a first layer of leaves of the MLC and a second leaf opposingly located in a second layer of leaves of the MLC,determining, according to a treatment plan, an effective cross-layer leaf gap to be formed between the first leaf in the first layer and the second leaf in the second layer;causing at least one of the first leaf in the first layer or the second leaf in the second layer to move to form the effective cross-layer leaf gap; andcausing, based on the effective cross-layer leaf gap, an in-layer leaf gap to be formed between the first leaf in the first layer and an opposing first leaf in the first layer of the MLC, the first leaf and the opposing first leaf forming an in-layer leaf pair in the first layer,wherein a size of the in-layer leaf gap is no less than a threshold. |
|
description | The embodiments of the present invention will now be explained. Throughout the drawings, the same components are designated with the same reference numbers. FIG. 1 shows the system structure of the reactor manual control system according to one embodiment of the present invention. In a reactor 1, plural control rods 2 for controlling the output power of the reactor are equipped, the number of which corresponding to the reactor output, which for example is 185 in the case of a nuclear plant of the 1100 MWe class. A control rod drive unit 3 of the rod control system controls the opening/closing of four direction control solenoid valves mounted to a control rod drive mechanism 21 via a transistor contact of a solenoid valve drive circuit 31 which is in the form of cards corresponding to each control rod. Insertion or withdrawal of the control rod 2 is performed corresponding to hydraulic pressure either continuously or by notches (movement unit of the control rod that is locked automatically). This operation is performed using a display/operation unit 72 and a switch-lamp circuit 73 equipped to the main console of the central control cabinet. For example, the display/operation unit 72 having a touch-operation function displays a rod selection switch for the full core, and one rod is selected as the object of operation by touching the screen. Thereafter, the switch of the switch-lamp circuit 73 existing as a hard switch is operated. In the case of a notch operation, the insertion or withdrawal switch is pressed down for any chosen period of time while observing the position information output from the control rod monitoring device 6. Further, when performing a continuous insertion operation, a switch for emergency insertion is pressed, and when performing a continuous withdrawal operation, the continuous withdrawal switch and the withdrawal switch are operated simultaneously. The above-mentioned operation information is transmitted as data to a rod control unit 4 through a display control unit 71, and each operation control means 41, which are duplicated data processing units, determines whether control is possible or control is rejected based on the interlock signal input from associated systems such as a neutron monitoring system and the like. Thereafter, operation command is output in the form of a timing sequence pattern for controlling the opening/closing of the direction control solenoid valve based on the above mentioned operation information. Based on this timing sequence pattern, the transmission control means 42 creates a frame of the transmission data having the address information of the control rod being the object of operation and the excitation information of the direction control valve (withdrawal supply valve excitation command, insertion valve excitation command, withdrawal discharge valve excitation command) as the command word. The frames of the transmission data are mutually transmitted between duplicated transmission control means 42a and 42b, and when they coincide upon parameter comparison, they are cyclically transmitted by high speed to the transmission unit 32 of the control rod drive unit 3 from each transmission control means 42a, 42b. In the transmission unit 32, protocol conversion is performed to the received transmission data, and serial signals corresponding to each control rod are generated including the transmitted synchronizing bit information, the address information for identifying the solenoid valve drive circuit that is in a one-to-one relation with the control rod, and the excitation information of the solenoid valve, which are put into the transmission frame that is a special protocol for down stream transmission, before transmitting the same to a solenoid valve drive circuit 31 equipped with a card corresponding to each control rod. The solenoid valve drive circuit 31 selects a card corresponding to the address information of the control rod being the object of operation that is included in the serial signal. The solenoid valve drive unit 31 outputs a transistor contact signal for controlling the opening/closing of the direction control solenoid valve based on the excitation information (withdrawal supply valve excitation command, insertion valve excitation command, withdrawal discharge valve excitation command) of the direction control valve included also in the serial signal. Next, the control rod monitor system is explained. The position detection mechanism 22 of the control rod 2 comprises a total of 54 reed switches including the 25 reed switches designating the even positions of the notches. The contact signals of each switch are input to the position input circuit 51 of the position input unit 5, each contact signal being the coded information corresponding to the 11-wire cable. This position information goes through a protocol conversion into an address of a resister in the position input circuit 51 corresponding to each rod based on a control rod coordinate map of the full core equipped to the position transmission unit 52, to which thereafter is taken in the coded information of the reed switch being accessed as serial signals. This position information is transmitted as data to the duplicated transmission control unit 62, and it is taken into the position monitor unit 61 which is a duplicated data processing unit. Further, this data is transmitted to the display control unit 71 where it is edited as screen data before being displayed in position for example on the display/operation unit 72 equipped to the main console of the central control room. The operation and status display mentioned above is performed using the display/operation unit 72 equipped to the main console of the central control room and the switch-lamp circuit 73. Further, the cabinets of the rod control unit 4 or the rod monitor unit 6 are also equipped with a display control unit 8 and a display/operation unit 9 having a function similar to that of the main console of the central control room, so these can also be used. FIG. 2 shows one example of a control command data of the data processing unit. The present embodiment shows a one-notch operation. In a one-notch withdrawal operation, the withdrawal switch is pressed for any desired period of time while monitoring the position information output from the rod monitor unit 6. The information is input to the data processing unit of an operation control unit 4 comprising two systems, and the sequence movement of a control rod drive timer is started so as to control the opening/closing of the direction control solenoid valve corresponding to the one-notch withdrawal of the control rod. FIG. 2(a) shows an example of the one-notch withdrawal operation, and according to the sequence, an insertion timer is operated for a predetermined short period of time in order to remove the latch mechanically at the present position. Next, a withdrawal timer corresponding to a single notch is operated. Then, a settle timer is operated in order to mechanically latch the control rod position. According to this sequence, the timing is controlled by software. As shown in FIG. 2(b), only during the time the insertion timer, the withdrawal timer and the settle timer are operating, the excitation information of the direction control valve (withdrawal supply valve excitation command, insertion valve excitation command, withdrawal discharge valve excitation command) corresponding to the address information of the control rod being the object of operation is set in the memory. The above-mentioned operation is similar for other operation patterns such as the continuous withdrawal, and the sequence movement of the control rod drive timer is set in advance so as to enable the movement of the machine system according to the operation pattern. Similarly, the excitation information of the direction control valve is set in the memory, the timing of which is controlled by software. FIG. 3 shows the hardware structure of the rod operation control system according to one embodiment of the present invention. Operation control means 41a and 42a which are duplicated data processing units of the rod control unit 4 are connected to transmission control means 42a and 42b, and transmission control means 42c and 42d, respectively. The related devices of the control rod drive mechanism 21 are divided into two groups, which are positioned respectively on opposite areas of the plant with the reactor in the center. Therefore, in order to facilitate cable connection, the control rod drive unit 3 is also divided into two groups and positioned accordingly. The structure of the cabinet mounting the control rod drive unit 3 is explained later with reference to FIG. 17, and in the actual plant they are divided into arrangements of group A and group B. FIG. 3 shows only the transmission from the transmission control unit 42a, 42c via two optical transmission lines to one group of the control rod drive unit 3. Actually, there exist other connections from the transmission control unit 42b, 42d via optical transmission lines to the other group of the control rod drive unit 3. Upon receiving the data from the transmission control unit 42, a transmission unit 321 with transmission/reception ports performs protocol conversion, and creates serial signals having a transmission frame structure that is a special protocol for downstream transmission, and transmits the signals to each solenoid valve drive circuit 31 connected through a multi-drop connection. The transmission units 322a through 322n is a branch unit that relays the signals from the transmission unit 321 to the transmission units positioned downstream, and also branches and is connected to a plurality of solenoid valve drive circuits that belong to its own group. The following is an explanation on the method for transmitting data from the operation control means 41 and transmission control means 42a, 43c to one group of the control rod drive unit 3. FIG. 4 shows the internal memory and inter-system connection of the transmission control unit. The transmission control means 42a (first system) and 42c (second system) each comprises an internal memory. The internal memory of the transmission control means 42a stores a first system transmission data that is the control command data of the data processing unit of its own system, and a second system transmission data that is the control command data transmitted via the inter-system connection from the internal memory of the transmission control means 42c. The data processing units of the transmission control means 42a and 42c perform an AND (and logic) process to the two logical control command data of the transmission data of these two systems, and since these data are actually generated a synchronously, if it is determined after removing the displacement caused by the asynchronisity that the data contents coincide, one of the two means 42a or 42c is selected, which is transmitted cyclically by optical data transmission via the optical transmission line connected to the transmission control means 42a to the transmission unit 321 for example by an HDLC communication protocol. When an error occurs for example at the upstream data processing unit according to this AND logic, the transmission data will not be transmitted to the transmission unit 321. In this case, a bypass operation is performed according to the present embodiment, in which the transmission data which is a control command data generated at the normally operating system is transmitted to the transmission unit 321. The bypass information is also monitored at the transmission unit 321, and the data transmitted corresponding to the bypass operation is monitored. The transmission unit 321 with ports that is equipped with two transmission/reception ports receive the data (command word) transmitted from a system selected from the two transmission units 42a and 42c, or the data transmitted corresponding to the bypass operation. After performing a protocol conversion process to the received command word, the data is transmitted as a serial signal to transmission units 322a through 322n and solenoid valve drive circuits 31a1 through 31an connected thereto through multi-drop connection. FIG. 5 shows a flowchart of the AND (AND logic) process of the first system. The transmission data of the first system is set to the internal memory (s101), the first system data is transmitted via the inter-system connection to the second system (s102), the second system data is received via the inter-system connection (s103), and the first system transmission data and the second system transmission data are compared (s104). If as a result of the comparison the first system transmission data correspond with the second system transmission data the timer is stopped and the timer value is cleared (s105-(1)), but if the data do not correspond, the timer is started if the timer has been stopped (s105-(2)), and the timer is continued when the timer has been operating when the data did not correspond (s105-(3)). Next, the timer value is compared with a determined period of time set in advance (s106), and if the timer value is still within the defined time the first system transmission data is transmitted to the transmission unit 321 (s107-(1)). If the timer value exceeds the defined time, abnormality process is performed (s107-(2)). That is, the first transmission data is transmitted to the transmission unit 321 via the transmission line connected to the transmission control means 42a of FIG. 4. In this case, data is not transmitted to the transmission line connected to the transmission control means 42c. When the first system transmission data and the second system transmission data do not correspond to each other within the defined time, abnormality is notified to the data processing unit of the first system, and an alarm is output by a self test process. The sequential AND process mentioned above is performed similarly for the second system. FIG. 6 shows the format of the transmission data transmitted between a rod control unit and a rod drive unit. Data having this format is cyclically transmitted optically between the transmission control unit 42a and the transmission unit 321 by a communication protocol of HDLC and the like. This format includes the transmission data from the transmission control unit 42a to the transmission unit 321 and the transmission data transmitted in the opposite direction. The data transmitted from the transmission control unit 42a to the transmission unit 321 includes a command word for controlling the direction control solenoid valve of the rod drive mechanism 21 and the test word for sequentially checking the disconnection of the direction control solenoid valve of all the control rods based on the operation at the display/operation unit 72 and the switch-lamp circuit 73. Moreover, the data transmitted in the opposite direction includes in the frame as the information on the status corresponding to the selected control rod of the command word an acknowledge word including the bit information showing the address of the control rod or the bit information showing the excitation status of the direction control solenoid valve, and a scan word including the status information of the equipment related to the scram movement of the rod drive mechanism 21 scanned and taken in based on the coordinate map information of all the control rods. FIG. 7 shows the frame structure of the serial signal between the transmission unit and the solenoid valve drive circuit. The frame of the command word basically includes a synchronizing bit for synchronizing the transmission, the bit information designating the address of the control rod, and the bit information showing the excitation command of the direction control solenoid valve. The acknowledge word has a similar frame structure, wherein the frame basically includes a synchronizing bit for synchronizing the transmission, the bit information showing the address of the control rod, the bit information showing the excitation status of the direction control solenoid valve, and the status information of the equipment related to the scram movement of the control rod drive mechanism 21. FIG. 8 shows the circuit structure of the transmission unit equipped with ports. The frame of the serial signal is performed of the protocol conversion process by the transmission unit 321 with ports. For example, the data transmitted from the transmission control means 42a by the HDLC protocol is received at the optical transmission port before being transferred to the RAM memory through a communication interface. The associated data on the memory is transferred to the protocol conversion circuit at a cyclic timing determined according to data units such as bytes. In the protocol conversion circuit, the array of bit information is reconstituted corresponding to the frame structure of the serial signal. The protocol-converted data is transmitted as serial signals to the transmission units 322a . . . 322n and the solenoid valve drive circuits 31a1 . . . 31an positioned downstream. The protocol conversion performed in the transmission unit 321 with ports will now be explained. FIG. 9 shows the structure of the transmission unit, and FIG. 10 shows the structure of the reception unit. The protocol conversion/serial transmission process of the transmission unit 321 is performed at the MPU (micro-processing unit) based on a program stored in the ROM of the transmission unit 321. FIG. 11 shows the process flow of this program. The transmission units 322a through 322n positioned downstream from the transmission unit 321 and each having a function of branching the connection to solenoid valve drive circuits that belong to its own group and that are connected through multi-drop connection and a function of relaying the connection to transmission units positioned downstream there from are each equipped with three serial transmission ports similar to the example shown in FIG. 10. The command word which is a control command transmitted from upstream is received through one of the three ports, which passes through a branch circuit and transmitted to plural solenoid valve drive circuits that belong to its own group through another port, while being transmitted through the other port to a transmission unit 322 positioned downstream. Further, the acknowledge word and the scan word transmitted in the opposite direction are received from the plural solenoid valve drive circuits that belong to its own group via one of the three serial transmission ports, and are further received from a transmission unit positioned downstream through another port. These words are passed through a composite (theoretically, an OR) circuit, then transmitted to the transmission unit 322 or 321 positioned upstream via the other port. When transmitting the data to the same circuit as 322a of FIG. 8, after designating the nth control rod (s210), the direction control valve excitation command code for the nth control rod is input from a RAM corresponding to the row/column address of the control number, and the column address, the row address and the direction control valve excitation command code are set to the shift register (s212). Then, the data is transmitted from the shift register to the same circuit serial as 322a (s213), and the response reception wait timer is started (s214). That is, the direction control valve excitation command code for each control rod is loaded simultaneously at a determined timing of a clock cycle to the shift register corresponding to the transmission data format as shown in FIG. 7, and at the same time, the bit data as the element is shifted corresponding to the clock movement, and is transmitted to the same circuit as 322a as serial signals. On the other hand, when receiving data from the same circuit as 322a, upon receiving the response, the response reception wait timer is stopped, and the column address, the row address, and the direction control valve excitation response are taken in from the shift register (s221). Then, the direction control valve excitation response is stored in the RAM corresponding to the row/column address of the control rod number (s222), and the process returns to s211 after designating the next control rod (s223). Further, abnormality process is carried out when no response is received during the determined wait time for response reception (s224). As explained, the serial signals of the transmission data format shown in FIG. 7 is taken into the shift resister in order according to the clock movement. At the timing when the data corresponding to the transmission data format is set to the shift register by the synchronizing bit detection circuit, the data having meaning corresponding to the transmission data format is stored in the RAM of the transmission unit 321 corresponding to each control rod as the direction control rod excitation command code for each control rod. The serial signals transmitted to the transmission branch unit has a data structure that is compatible with a special-purpose electronic circuit formed without including any software processes, so the interface with the control rod drive mechanism 21 can be the same as the prior art system. In other words, the protocol of the interface is the same as the conventional system. In a protocol conversion transmission process, the transmission process and the reception process is executed sequentially corresponding to all the control rods, and when the data from the downstream side exceeds the determined wait time for response reception, the abnormality process is carried out. The abnormality information is transmitted to the data processing unit positioned upstream, and an alarm is output according to a self test. The present system basically characterizes in generating a control command data at each of the duplicated data processing units, and an AND operation is performed to select one control command data, which is finally utilized for controlling the operation of each of the control rods. However, the present invention also takes into consideration the malfunction of the duplicated portion, and it also comprises a function to generate the control command data based on a single system while bypassing the other system, and controlling the operation of each control rod according to this data. FIG. 12 shows a bypass function according to a transmission control unit 42. The bypass switch is equipped within the rod control unit 4, and the selected state of the bypass switch is input to the transmission control means 42a of the first system and the transmission control means 42c of the second system (and similarly to 42b and 42d) (s311). The selection of the bypass switch implies the input of a forced transmission request, and when the system is normal, the switch is at a neutral position and neither of the systems take in the forced transmission request input signal (s312-(1)), and an AND (AND logic) process is performed. We will now consider the case where abnormality has occurred to the operation control means 41a (first system). The occurrence of abnormality is detected through a self test, and an alarm is output thereby notifying the situation to the operator, and a bypass operation (forced transmission request input to the second system) is performed. The forced transmission input process to the second system is input to the transmission control means 42c of the second system, and only the second system transmission data stored in the internal memory as shown in FIG. 4 is transmitted to the transmission unit 321 through the transmission line connected to the transmission control means 42c. The bypass state set to the transmission control means 42a and 42c (similarly to 42b and 42d) is also monitored by the transmission unit 321 as shown in FIG. 13(b). During the normal state, the reception status from the first system is monitored by a first system reception monitor timer (s321), and when the transmission data is renewed at every determined cycle according to a cyclic transmission, the monitor timer restarts repeatedly (s322-(1)). When error occurs to the operation control means 41a, the first system transmission data is interrupted, and the time of the monitor timer is elapsed (s322-(2)). In this state, the second system reception monitor timer starts (s331), and from the time the reception data is acknowledged as the second system, the second system reception monitor timer repeatedly restarts (s322-(1)). The monitoring of the first system reception and the monitoring of the second system reception are in crossed relations, and when for example the switch selection is restored from the forced transmission request input position of the second system to the neutral position, the system starts monitoring the first system transmission again. FIG. 14 shows the composition of the rod position monitor system. Within the control rod monitor unit 6, transmission control units 62a, 62b and transmission control units 62c, 62d are respectively connected to each of the position monitor units 61a and 61b, which are duplicated data processing units. The devices associated with the control rod drive mechanism 21 are divided into two groups as mentioned above, and in order to facilitate the cable connection, the position input unit 5 is also often divided into two groups and arranged accordingly. The transmission control unit 62 that performs optical transmission with the position input unit 5 is also divided into two groups, the transmission control unit 62a (first system) and 62c (second system), and the transmission control unit 62b (first system) and 62d (second system). The transmission control units 62a (first system) and 62c (second system) each include an internal memory similar to the example shown in FIG. 4, with inter-system connection created therebetween. The internal memory of the transmission control unit 62a receives a coded reed switch information of the rod position information as a position word through an optical transmission route connected to the transmission control unit 62a from a position transmission unit 521 equipped with two transmission/reception ports, the data being in the format shown in FIG. 6, which is optically transmitted cyclically by an HDLC communication protocol. The internal memory of the transmission control unit 62a stores the first system transmission data as the position word, and the same data is also stored in the internal memory of the transmission control unit 62c through the inter-system connection. The position word data transmitted from the position transmission unit 521 and stored in the internal memories of both the transmission control unit 62a of the first system and the transmission control unit 62b of the second system is input to the data processing units of both the first system position monitor unit 61a and the second system position monitor unit 61b, and are stored in the internal memory of each system. According to the control rod monitor unit and the position input unit according to the present embodiment, the basic data flow is opposite at the rod control unit and the rod drive unit, but since the basic idea is to distribute and process the positional information received at the duplicated position-monitoring data-processing unit from the transmission unit 52xe2x80x94position input circuit 51 having a single system, the bypass function enabling a single system to process the rod position data while bypassing the other system in case malfunction (abnormality) occurs at the duplicated portion is similar. The bypass switch is equipped within the rod position monitor unit 6, and according to a function similar to that shown in FIG. 12, the selection state of the bypass switch is input to both the transmission control means 62a of the first system and the transmission control means 62c of the second system (and similarly to 62b and 62d). The selection of the bypass switch relates to the input of the forced transmission request. During normal state where the system is operating normally, the switch is at a neutral position and neither system takes in the forced transmission request input signal. During this state, the data transmitted from the transmission unit 521 via an optical transmission line connected to the transmission control means 62a is received by the transmission control means 62a, and through communication between the transmission control means 62a and the transmission control means 62c, the data is also transmitted to the transmission control means 62c. When abnormality occurs to the position monitoring means 61a (first system), the occurrence of abnormality is detected through a self test and an alarm is output thereby notifying the operator of the situation, at which point the bypass operation (input of forced transmission request to the second system) is performed. The forced transmission input process of the second system is input to the transmission control means 62c of the second system, and through an optical transmission line connected between the transmission unit 521 and the transmission control means 62c, the position word data as shown in FIG. 15 is received by the transmission control means 62c, and stored in the internal memory thereof. The bypass state set to the transmission control means 62a and 62c (similarly to 62b and 62d) is monitored by the transmission unit 521, similar to the state shown in FIG. 13(b), and the optical transmission line of the first system or the second system is selected. On the other hand, the probe word (position information) having a frame structure shown in FIG. 16 serially scanned based on the coordinate map information of all the control rods stored in the internal memory and including the bit information showing the address of the control rod and the synchronizing bit for synchronizing the transmission is transmitted as serial signals from the downstream side of the transmission unit 52 and the position input circuit 51 connected through a multi-drop connection is transmitted to the position transmission unit 521 at the side of the position input unit 5. The frame structure of the probe word (position information) is basically similar to that of the command word explained above. The transmission frame of the probe word (position information) transmitted as serial signals from the downstream side of the position information circuit 51 and the transmission unit 52 goes through a protocol transmission process at the position transmission unit 521 before being transmitted to an upper transmission control unit 62. Similar to the rod control system, according to this protocol transmission process, the serial signals of the transmission data format shown in FIG. 16 are sequentially input to the shift register, and when the data corresponding to the transmission data format is set to the shift register by the synchronizing bit detection circuit, the data with meaning corresponding to the transmission data format is stored to the RAM of the transmission unit 521 for example as a coded position information corresponding to the 11-wire cable for each control rod, including a code for the H-axis probe data and V-axis probe data. The above-mentioned sequence of protocol conversion/serial transmission process is executed by the micro-processing unit based on the control of the program stored in the ROM of the position transmission unit 521. The H-axis probe data and the V-axis probe data are converted into the position word as contact signals for the 54 reed switches based on the control of the MPU. The position monitor units 61a and 61b store the control rod position data in each internal memory as the identical position data transmitted from the position transmission unit 52. The data are respectively transmitted to a display control unit 71, 8 of the upper level by respective processes, and an asynchronous OR process of the two position data is performed theoretically. Actually for example, when no malfunction is detected by a self test according to a priority control of the master/slave defined in advance, the master system data is selected, and when malfunction is detected in the master system the data of the slave system is selected, and the selected position data is displayed on the display/operation unit 72, 9. According to the reactor manual control system of the present embodiment explained above, the system is equipped with a rod control unit having duplicated data processing units, and the control command is duplicated and the output is performed based on the AND logic data process, so the reliability of the system is greatly improved. Moreover, during an abnormal state where the AND logic is not fulfilled, only the normal data is output according to a bypass process, so the availability of the system is improved. Moreover, since protocol conversion is performed to the transmission control means so that the data will correspond to each of the control rods, the interface with the control rod drive unit is simplified. The replacement (equipment renewal) of the cabinet composition based on the present embodiment will now be explained. First, the conventional cabinet composition will be explained. The conventional system is realized by a special-purpose electric circuit, and there are around 39 kinds of cards (printed circuit board) that realize the system. The rod selection control panel corresponding to the display/operation unit 72 and the switch-lamp circuit 73 of the present embodiment comprises a transmitter card, a data driver card, a resistance card, a diode array card, and a full core LED (A-C) card. On the other hand, the present embodiment realizes the system by a different hardware basically composed for example of a display/operation unit having a touch-operation function, so a whole set of associated hardware is to be replaced. The portion corresponding to the rod control unit 4 is composed for example of an input isolator card, an activity control (A, B) card, a rod motion timing card, an analyzer (A-D) card, a fault map (A-C) card, and an output isolator (A-C) card. The rod motion timing card generates the sequence timing for opening/closing the direction control solenoid valve for the insertion or withdrawal of the control rod. On the other hand, the present embodiment realizes the system by a different hardware basically composed of a data processing unit, so a whole set of associated hardware is to be replaced. The portion corresponding to the control rod drive unit 3 is composed for example of a branch junction card and a transponder card. The present embodiment is identical to the conventional system except for the transmission unit connected with the upper level, so application of the replacement method explained later is possible. The portion corresponding to the display control unit 8 is composed for example of a buffer card, a display clock card, a source selector card, a data memory card, a file monitor card, a lamp test PI card, and a resistance card. The present embodiment realizes the system by a different hardware basically composed of a data processing unit, so a whole set of associated hardware should be replaced. The portion corresponding to the control rod monitor unit 6 is composed for example of a file interface card, a scan control card, a sampling buffer card, a computer memory card, a DO card with isolate latch, and a probe data processor card. The present embodiment realizes the system by a different hardware basically composed of a data processing unit, so a whole set of associated hardware is to be replaced. The portion corresponding to the position input unit 5 is composed for example of a file control card and a probe multiplexer card. The present embodiment is identical to the conventional system except for the transmission unit between the upper level, so application of a replacement method explained later is possible. On introducing the system of the present embodiment to the conventional system having the above-mentioned structure, it is presupposed that the method of the control rod drive unit of the boiling water reactor (BWR) is basically invaried. When replacing an existing facility in an instrumented control system, it is common to replace the whole existing control cabinet with the new control cabinet. However, according to the present system, the electronic circuit portion 31 for driving the control rod drive mechanism 21 and the electronic circuit portion 51 for inputting the control rod position are the same as the conventional system. Therefore, it is possible to partially replace the control cabinet according to the existing facility. In other words, according to the system of the present embodiment, there is basically no need to technically renew the control cabinet (rod drive control cabinet) equipped with the electronic circuit portion for driving the control rod drive unit. FIG. 17 shows the cabinet structure of the whole system after performing the replacement according to the present embodiment. Each of the rod control unit 4 and the rod monitor unit 6 is a duplicated system composed based on a data processing unit, and they are all new cabinets, respectively. The rod drive control cabinet corresponding to the control rod drive unit 3 and the multiplexer cabinet corresponding to the position input unit 5 are divided into two groups, configuration A and configuration B. In each cabinet, only the transmission unit 321 with ports and the position transmission unit 521 with ports are newly introduced and connected through transmission lines with the rod control unit 4 and the rod monitor unit 6. Two methods for replacement will now be explained using as example the control cabinet (rod drive control cabinet) equipped with an electronic circuit portion for driving the rod drive unit 3. The method is similar for the control cabinet (multiplexer cabinet) equipped with an electronic circuit portion for inputting the control rod position. According to the first method, within the control cabinet equipped with an electric circuit portion for driving the rod drive unit 3, instead of renewing the whole control cabinet including the electronic circuit portion, only the electronic circuit portion (the transmission control unit and the solenoid valve drive circuit in the system block diagram of FIG. 1) for interfacing the data transmission with a data processing unit positioned upstream is renewed (basically excluding the control cabinet case and the electric circuit portion). FIG. 18 shows the mounted structure of the rod drive control cabinet. The whole set of printed circuit boards (electronic circuits) mounted on a transponder unit is replaced to newly manufactured boards 321, 32a1, 32a2, . . . 32an, 322a, 32b1, 32b2, . . . 32bn, . . . . FIG. 19 shows the mounted structure of the multiplexer cabinet. Cards for realizing the necessary functions are mounted to the cabinet, and there exists a unit for providing power voltage to cards and connecting the electric signals between cards. The whole set of printed circuit boards constituting the electronic circuit portion mounted on a unit called a multiplexer unit is the conventional system is replaced to newly manufactured boards 521, 52a1, 52a2, . . . 52an, 522a, 52b1, 52b2, . . . 52bn, . . . . The second method is the case where only the transmission unit with ports is replaced in the control cabinet to which is mounted an electronic circuit portion for driving the control rod drive unit 3. According to this method, in FIG. 18 showing the mounting structure of the rod drive unit cabinet, only the printed circuit board 321 corresponding to the transmission unit 321 with ports and constituting the electronic circuit unit mounted to the transponder unit is replaced with a newly manufactured board. Similarly, in the position input unit cabinet of FIG. 19, only the printed circuit board 521 constituting the electronic circuit unit mounted in the multiplexer unit is replaced with a newly manufactured board. According to the present embodiment, the replacement can be performed at low cost since the control cabinet case and the electric circuit portion are not refreshed. FIG. 20 shows the cabinet structure of the whole system after the replacement according to another embodiment. The rod control unit 4 and the rod monitor unit 6 are duplicated systems basically composed of data processing units, and all the cabinets are new. Further, the multiplexer cabinet corresponding to the position input unit 5 is also a new cabinet. The branch junction box and the transponder box corresponding to the rod drive unit 3 are divided into two groups, arrangement A and arrangement B, and the transponder unit is mounted in the hydraulic control unit which is a part of the control rod drive mechanism. Further, the branch junction unit is positioned near the hydraulic control unit. In the example, only the transmission unit 32 with ports is newly introduced and connected with the rod control unit 4 via a connection line. Now, two methods are explained for replacing the branch junction box and the transponder box equipped with an electronic circuit unit corresponding to the control rod drive unit 3 without replacing the whole set of boxes. The first method is the case where the electronic circuit portion for interfacing the data transmission with the data processing unit positioned upstream is replaced in the transponder box and the branch junction box equipped with the electronic circuit unit corresponding to the control rod drive unit 3 (basically excluding the box case and the electric circuit portion), without replacing the whole set of boxes including the electronic circuit unit. FIG. 21 shows the mounted structure of the control rod drive unit. The whole set of printed circuit boards of the electronic circuit unit equipped within the branch junction box and the transponder box is replaced with newly manufactured boards 321, 32a1, 32a2, . . . 32an, 322a, 32b1, 32b2, . . . 32bn, . . . . The second method is the case where only the transmission unit with ports is replaced in the branch junction box and the transponder box equipped with an electric circuit unit corresponding to the control rod drive unit 3. In this method, only the printed circuit board 321 of the electronic circuit portion mounted within the branch junction box and corresponding to the transmission unit 321 with ports, or only the box equipped with the printed circuit board 321, is replaced with a newly manufactured one. According to this method, the replacement can be performed at low cost since not all the box cases or the electric circuit portion are refreshed. Next, the embodiment of the reactor control system according to the present invention will be explained. The above-mentioned embodiment describes the reactor manual control system presupposing that the control rod is hydraulically driven. The control rod drive unit is controlled through digital on/off signals. Therefore, the above-mentioned embodiment is not limited to manual operation but can also be operated automatically, and the embodiment can be applied to a rod control unit where the rod is driven delicately by controlling the on/off of the motor. As for the interlock of the rod control in a boiling water reactor (BWR), only one rod is allowed to be driven at a time. Therefore, in the conventional system that does not include a data processing unit for realizing the function through software processes but where only electronic circuits are used to realize the function, the data frame of the control command only includes data corresponding to a single rod, and the electronic circuit for driving and controlling the direction control solenoid valve does not hold the control output signal. On the other hand, in an advanced boiling water reactor (ABWR), the interlock of rod control allows plural rods to be driven simultaneously (gang drive). The following two methods are considered for the rod control system according to the present invention. The first method enables to transmit data related to a number of rods driven simultaneously to the frame of the control command data, and at the same time, enables the electronic circuit for driving the direction control solenoid valve to hold the control output signal, and to constitute the drive circuit corresponding to the specification of the circuit to be driven. The second method only enables to transmit data corresponding to one rod being driven simultaneously in the frame of the control command data. The data corresponding to the plural rods being driven simultaneously is mounted onto the transmission frame cyclically by software operation, and the electronic circuit for controlling the direction control solenoid valve holds the control output signals corresponding to the plural rods being driven simultaneously, thereby composing the drive circuit to correspond to the specification of the circuit to be driven. |
|
description | The present invention relates to an underwater remote surface inspection method and apparatus for a reactor constituting member, and particularly, to an underwater remote surface inspection method and apparatus for a reactor constituting member which are suitable for inspecting a surface shape of a reactor constituting member of a boiling water reactor. With respect to a reactor constituting member such as a reactor core internal structure installed inside a reactor pressure vessel of a nuclear power plant, various methods have been proposed for inspecting a surface state of the reactor constituting member. As a typical surface state inspection method, there is known a method which inspects a surface shape of a reactor constituting member by transferring the surface shape to a replica agent (see JP-B2-3921573 and JP-32-3890239). JP-B2-3921573 discloses a surface state inspection method which picks a replica of a surface of a control rod used in the nuclear power plant. An underwater surface inspection apparatus used in this method has a configuration in which a replica mechanism probe attached to a driving unit vertically moving along the control rod disposed under the water is fixed to the control rod while being pressed thereto. JP-B2-3890239 discloses an underwater remote surface inspection apparatus. The underwater remote surface inspection apparatus has a configuration in which a medical fluid is supplied into a seal chamber coming into press contact with an inspection target surface as a surface of a reactor core internal structure so as to perform etching on the inspection target surface and a replica agent is supplied into the seal chamber so as to pick a replica of the inspection target surface. JP-A-2005-351733 discloses a method which nondestructively inspects a defect of a mechanical component or the like. This method includes the steps of: applying a solvent to an inspection region of a surface of an inspection target so as to attach a replica film to the inspection region; applying ultrasonic vibration to the attached replica film; and detaching the replica film from the inspection target. When the ultrasonic vibration is applied to the attached replica film, the replica film melted by the action of the solvent easily infiltrates the deepest portion of a defect existing in the inspection region. In the underwater remote surface inspection apparatus using the replica agent, when gas bubbles or liquid bubbles remain between the replica agent and the surface of the inspection target upon picking the replica having the surface shape of the core internal structure after supplying the replica agent into a chamber pressed against the surface of the core internal structure, a part of the surface shape of the core internal structure may not be transferred to the replica. Since unevenness in a surface of a welded portion and a machined surface of the inspection target is large, gas bubbles or liquid bubbles easily remain between the replica agent and the surface of the inspection target. As a result, the precision in the operation of inspecting the machined surface and the welded portion, which are frequently required to be inspected in the surface shape of the core internal structure, deteriorates. An object of the invention is to provide an underwater remote surface inspection method and apparatus for a reactor constituting member capable of improving the precision in an operation of inspecting a surface shape of a reactor constituting member. In order to achieve the above-described object, the invention is characterized in that a replica picking head having a replica agent supply region provided with an opening is pressed against a surface of a reactor constituting member in the state where the opening faces the surface of the reactor constituting member disposed in a reactor vessel, a replica agent is supplied to the replica agent supply region inside the pressed replica picking head so that the replica agent contacts with the surface of the reactor constituting member, and then an ultrasonic wave is applied to the replica agent inside the replica picking head. When the ultrasonic wave is applied to the replica agent filled inside the replica agent supply region, it is possible to remove gas bubbles or liquid bubbles remaining inside the replica agent supply region from the inside of the replica agent supply region. The operation of removing the gas bubbles or the liquid bubbles is performed on the basis of the fact that the eigenfrequency of each of the gas bubbles or the liquid bubbles is different from the eigenfrequency of the replica agent. Since the amount of the gas bubbles or the liquid bubbles remaining in the surface of the reactor constituting member as the inspection target decreases, it is possible to decrease the amount of the gas bubbles or the liquid bubbles remaining in the surface of the hardened replica agent, and to highly precisely transfer the surface shape of the reactor constituting member to the replica agent. Accordingly, it is possible to improve the precision in the operation of inspecting the surface shape of the reactor constituting member by observing the surface image of the reactor constituting member transferred to the surface of the hardened replica agent. According to the invention, since it is possible to remove the gas bubbles or the liquid bubbles existing between the replica agent and the surface of the inspection target, it is possible to improve the precision in the operation of inspecting the surface shape of the reactor constituting member. Other objects, features and advantages of the invention will become apparent from the following description of the embodiments of the invention taken in conjunction with the accompanying drawings. Hereinafter, embodiments according to the invention will be described. An underwater remote surface inspection apparatus for a reactor constituting member according to a first embodiment as an exemplary embodiment of the invention will be described with reference to FIGS. 1A and 1B. As illustrated in FIG. 1B, an underwater remote surface inspection apparatus 1 according to the embodiment includes a body 2, a replica picking head 7, an ultrasonic vibration unit (ultrasonic transmitting device) 10, and a replica agent supply unit (replica agent supply device) 18. The body 2 is attached to a lower end of a manipulation pole 22. The replica picking head 7 has therein a replica agent supply region 38 corresponding to a chamber and provided with an opening facing core internal structures 40. The replica picking head 7 is attached to the body 2 through support members 3 and 4. An air extracting hole (through hole) 8 is formed in the upper end of the replica picking head 7, and the air extracting hole 8 is formed such that a sectional area of a passageway of the upper portion of the air extracting hole 8 is larger than that of the lower portion thereof. The ultrasonic vibration unit 10 includes an ultrasonic vibrator 11, an ultrasonic vibration plate 12, a cylindrical housing member 13, and an ultrasonic transmitter (ultrasonic transmitting device) 17 of FIG. 1A. The housing member 13 is attached to the replica picking head 7 so as to penetrate the replica picking head 7, and the ultrasonic vibration plate 12 is disposed inside the replica agent supply region 38. The ultrasonic vibration plate 12 disposed inside the replica agent supply region 38 faces the replica agent supply region 38, and is water-tightly attached to the housing member 13 by entire circumferential welding or the like. The ultrasonic vibrator 11 is disposed inside the housing member 13 so as to be attached to the housing member 13. A water-resistant cover 15 is attached to the housing member 13 by a flange 14 so as to prevent water from entering the housing member 13. The ultrasonic vibration plate 12, the housing member 13, and the water-resistant cover 15 constitute a casing having a sealing structure of surrounding the ultrasonic vibrator 11. A cable 16 connected to the ultrasonic vibrator 11 is connected to the ultrasonic transmitter 17 through the water-resistant cover 15. The replica agent supply unit 18 includes a replica agent supply pipe 19, a replica agent cartridge 20, a water-pressure cylinder 21, and a hand pump 23. The replica agent supply pipe 19 is attached to the replica picking head 7 so as to be opened to the lower portion of the replica agent supply region 38. The replica agent cartridge 20 is separably attached to the support member 5 attached to the body 2. A replica agent discharge pipe 20A disposed in the replica agent cartridge 20 is separably connected to the replica agent supply pipe 19. The water-pressure cylinder 21 is installed in the body 2 through a support member 6. A piston (not illustrated) is disposed inside the water-pressure cylinder 21, and a rod 21A connected to the piston is connected to a pressing member 21B for pressing the replica agent cartridge 20. A high-pressure hose 22 connected to the water-pressure cylinder 21 is connected to the hand pump 23. At the time when the surface of the inspection target existing under water is remotely inspected by the underwater remote surface inspection apparatus 1, a monitor device 33 and a fixing device 25 are used as assisting devices. The monitor device 33 includes an underwater camera (photographing device) 34 and a television monitor 36. The underwater camera 34 is attached to the manipulation pole 37. A cable 35 connected to the underwater camera 34 is connected to the television monitor 36. The fixing device 25 includes a water-pressure cylinder 26, a piston 27, a rod 28, and pressing members 29 and 30. The piston 27 is inserted into the water-pressure cylinder 26. The pressing member 29 is attached to the front end of the rod 28 attached to one end of the piston 27. The pressing member 30 is rotatably attached to the cylinder 25. The high-pressure hose 31 is connected to the water-pressure cylinder 26 and the hand pump 32. An underwater remote surface inspection method for a reactor constituting member which is performed on a boiling water reactor by using the underwater remote surface inspection apparatus 1 will be described in detail with reference to FIG. 2. The underwater remote surface inspection for the reactor constituting member is performed during a periodic inspection performed after stopping the operation of the boiling water reactor. After the operation of the boiling water reactor stops, a lid of a reactor containment vessel surrounding a reactor pressure vessel is removed, and cooling water is filled into a reactor well 41 located above the reactor pressure vessel. In this state, a lid of the reactor pressure vessel is removed. Subsequently, a steam dryer, a steam-water separator, and a shroud head installed inside the reactor pressure vessel are removed and are delivered to the outside of the reactor pressure vessel. All fuel assemblies loaded on a reactor core are extracted by using a fuel exchanger 43. The fuel assemblies extracted from the reactor core are transported in the inside of a reactor building having the reactor containment vessel into a fuel storage pool disposed above the reactor containment vessel by using the fuel exchanger 43. After all fuel assemblies are extracted from the reactor core, the underwater remote surface inspection for the reactor constituting member is performed. The ultrasonic transmitter 17 and the hand pumps 23 and 32 of the underwater remote surface inspection apparatus 1 are disposed on an operation floor 42. The television monitor 36 is disposed on, for example, the fuel exchanger 43. The underwater remote surface inspection apparatus is moved to an inspection region of the reactor constituting member as the inspection target (Step S1). At the time when the underwater remote surface inspection is performed, the manipulation pole 24 is attached to the body 2 of the underwater remote inspection apparatus 1 by an operator. The operator riding in the fuel exchanger 43 inserts the underwater camera 34 from the reactor well 41 into the reactor pressure vessel by using the manipulation pole 37 attached with the underwater camera 34. The other operator riding in the fuel exchanger 43 moves the underwater remote surface inspection apparatus 1 from the reactor well 41 into the reactor pressure vessel by using the manipulation pole 24 attached to the body 2 of the underwater remote surface inspection apparatus 1. The image information on the underwater remote surface inspection apparatus 1 and the periphery thereof inside the reactor pressure vessel photographed by the underwater camera 34 is transmitted to the television monitor 36 through the cable 35, and is displayed on the television monitor 36. The operator having the manipulation pole 24 manipulates the manipulation pole 24 while seeing the image displayed on the television monitor 36 so as to move the underwater remote surface inspection apparatus 1 to the inspection region of the reactor constituting member as the inspection target. In this embodiment, the inspection target is the core internal structures 40 as the reactor constituting member (in detail, the reactor core shroud). The reactor core shroud is a cylindrical member which is installed inside the reactor pressure vessel so as to surround the reactor core. In addition, the inspection region is the inner surface of the reactor core shroud. The underwater remote surface inspection apparatus 1 is disposed inside the reactor core shroud. The ultrasonic vibration unit is made to face the surface of the inspection target (Step S2). The operator adjusts the direction of the underwater remote surface inspection apparatus 1 by manipulating the manipulation pole 24 so that the opening of the replica picking head 7 and the ultrasonic vibration plate 12 face the inner surface of the reactor core shroud. When the opening of the replica picking head 7 and the ultrasonic vibration plate 12 face the inner surface of the reactor core shroud, the manipulation pole 24 is fixed to the fuel exchanger 43 so as to maintain this state. Whether the opening of the replica picking head 7 faces the inner surface of the reactor core shroud can be observed by the image photographed by the underwater camera 34 and displayed on the television monitor 36. The underwater remote surface inspection apparatus 1 is held in the state where a sponge 9 disposed in the opening-side front end of the replica picking head 7 is separated from the inner surface of the reactor core shroud. Solid materials such as clad materials adhered to the inspection target are removed (Step S3). An excitation signal is output from the ultrasonic transmitter 17 to the ultrasonic vibrator 11. The ultrasonic vibrator 11 as a vibration source starts to be vibrated upon receiving the excitation signal so as to allow the ultrasonic vibration plate 12 to resonate. As a result, an ultrasonic wave 39 is transmitted from the ultrasonic vibration plate 12. The ultrasonic wave 39 is transmitted to the reactor core shroud (core internal structure 40) as the inspection target for a predetermined period of time. The transmitted ultrasonic wave 39 is applied to the surface of the inspection region of the reactor core shroud so as to remove the solid materials such as the clad materials adhered to the surface. At this time, when the frequency of the transmitted ultrasonic wave 39 is modulated by changing the frequency of the excitation signal output from the ultrasonic transmitter 17 to the ultrasonic vibrator 11, it is possible to efficiently remove the solid materials such as the clad materials having different sizes attached to the inspection region of the reactor core shroud. In addition, the period of the transmission of the ultrasonic wave 39 is dependent on the thickness of the clad materials adhered to the surface of the inspection region. The ultrasonic wave 39 is continuously transmitted until the solid materials such as the clad materials adhered to the inner surface (the surface of the inspection region) of the reactor core shroud are removed so as not to negatively influence a surface transfer using a replica agent. The removed solid materials such as the clad materials drop through a gap between the inner surface of the reactor core shroud and the sponge 9. After the operation of removing the solid materials ends, the transmission of the ultrasonic wave stops. Since the ultrasonic wave 39 is applied to the inner surface of the reactor core shroud, it is possible to remove the solid materials adhered to the inner surface and the clad materials existing in a crack in the case that the crack is formed in the inner surface. The replica picking head is pressed against the surface of the inspection target (Step S4). After the solid materials such as the clad materials are removed from the surface of the inspection region of the reactor core shroud, the operator presses the replica picking head 7 against the inner surface of the reactor core shroud by manipulating the manipulation pole 24 while observing the image in the periphery of the underwater remote surface inspection apparatus 1 photographed by the underwater camera 34 through the television monitor 36. The sponge 9 disposed in the front surface of the front end of the replica picking head 7 comes into contact with the inner surface of the reactor core shroud. Accordingly, the opening of the replica agent supply region 38 formed inside the replica picking head 7 is blocked by the inner surface of the reactor core shroud, and the replica agent supply region 38 becomes a closed region. In this state, the replica picking head 7 is fixed by fixing the manipulation pole 24 to the fuel exchanger 43 or using the fixing device 25. The fixing device 25 is delivered into the reactor core shroud through one square portion formed in an upper lattice plate by the manipulation pole (not illustrated) of the operator. The high-pressure water pressurized by the hand pump 32 is supplied to the water-pressure cylinder 26 through the high-pressure hose 31. When the high-pressure water is supplied, a part of the piston 27 is pressed out from the water-pressure cylinder 26 so as to move the rod 28 toward the rear surface of the body 2 of the underwater remote surface inspection apparatus 1. Accordingly, the pressing member 29 disposed in the front end of the rod 28 comes into contact with the rear surface of the body 2. The pressing member 30 of the fixing device 25 comes into contact with a pillar 65 of which an upper end is fixed to the upper lattice plate and a lower end is fixed to the reactor core support plate. When the pressing member 29 presses the body 2, the sponge 9 disposed in the opening-side front end of the replica picking head 7 is pressed against the inner surface of the reactor core shroud. The underwater remote surface inspection apparatus 1 is held while being pressed against the reactor core shroud by the fixing device 25. The replica agent is supplied into the replica picking head (Step S5). The replica agent is supplied to the replica agent supply region 38 inside the replica picking head 7 pressed against the inner surface of the reactor core shroud with the sponge 9 interposed therebetween. When the hand pump 23 is manipulated, the high-pressure water is supplied into the water-pressure cylinder 21 through the high-pressure hose 22. By means of the action of the high-pressure water, the piston inside the water-pressure cylinder 21 moves down so that the pressing member 21B disposed in the rod 21A presses the replica agent cartridge 20. As a result, the replica agent inside the replica agent cartridge 20 is supplied to the lower portion of the replica agent supply region 38 inside the replica picking head 7 through the replica agent discharge pipe 20A and the replica agent supply pipe 19. The sponge 9 coming into contact with the inner surface of the reactor core shroud prevents the replica agent supplied to the replica agent supply region 38 from leaking to the outside of the replica picking head 7 and serves as a buffer for preventing the inner surface of the reactor core shroud from being damaged by the contact with the front end of the replica picking head 7. The replica agent is injected to the lower portion of the replica agent supply region 38, and a liquid level thereof increases to the upper portion thereof. In accordance with an increase in the liquid level, water and gas bubbles existing in the replica agent supply region 38 are discharged to the outside of the replica picking head 7 through the air extracting hole 8. The amount of the replica agent supplied into the replica agent supply region 38 is set to be equal to the volume of the replica agent supply region 38. The operation of supplying the replica agent to the replica agent supply region 38 is continuously performed until the replica agent rises inside the air extracting hole 8. During a time when the replica agent is supplied, the air extracting hole 8 is photographed by the underwater camera 34, and the image is displayed on the television monitor 36. When the image illustrating the replica agent rising inside the air extracting hole 8 is displayed on the television monitor 36, the operation of supplying the high-pressure water from the hand pump 23 to the water-pressure cylinder 21 stops. The operation of supplying the replica agent from the replica agent cartridge 20 to the replica agent supply region 38 stops. In addition, it is possible to supply a predetermined amount of replica agent to the replica agent supply region 38 in such a manner that the replica agent having the same volume as that of the replica agent supply region 38 is filled into the replica agent cartridge 20 in advance. The ultrasonic wave is transmitted from the ultrasonic vibrator to the replica agent (Step S6). After stopping the operation of supplying the replica agent to the replica agent supply region 38, in the same manner as Step S3, the ultrasonic vibrator 11 receiving the excitation signal starts to be vibrated so as to vibrate the ultrasonic vibration plate 12. Accordingly, the ultrasonic wave 39 is transmitted from the ultrasonic vibration plate 12 to the replica agent inside the replica agent supply region 38. When the ultrasonic wave 39 is transmitted to the replica agent filled in the replica agent supply region 38, the replica agent inside the replica agent supply region 38 is vibrated so as to vibrate gas bubbles and liquid bubbles remaining between the replica agent and the inner surface of the reactor core shroud. Since the eigenfrequency of each of the gas bubbles and the liquid bubbles is different from the eigenfrequency of the replica agent, the gas bubbles and the liquid bubbles move into the replica agent filled inside the replica agent supply region 38 so as to be removed from the region where the replica agent contacts with the inner surface of the reactor core shroud. In addition, the gas bubbles and the liquid bubbles moving into the replica agent move up in the inside of the replica agent so as to be discharged to the outside of the replica agent supply region 38, that is, the outside of the replica picking head 7 through the air extracting hole 8. When the ultrasonic wave 39 is applied to the replica agent, it is possible to remove the gas bubbles contained in the replica agent. The operation of transmitting the ultrasonic wave 39 to the replica agent is continuously performed for a predetermined period of time during which the gas bubbles or the liquid bubbles remaining on the surface of the reactor core shroud as the inspection target are removed. As described above, when the frequency of the transmitted ultrasonic wave 39 is modulated, it is possible to efficiently remove the gas bubbles having different sizes contained in the replica agent. In addition, the operation of transmitting the ultrasonic wave 39 to the replica agent promptly starts after the replica agent is filled into the replica agent supply region 38, and is continuously performed for an appropriate time determined in consideration of a hardening time of the replica agent and the unevenness state on the surface of the inspection target. In addition, in the case where the temperature of the cooling water inside the reactor pressure vessel is high and the time required for the transmission of the ultrasonic wave is not sufficiently ensured, the replica agent having a long hardening time is used as the replica agent supplied into the replica agent supply region 38. A predetermined time is spent until the replica agent inside the replica agent supply region 38 is hardened (Step S7). The hardening time of the replica agent changes in accordance with the specification of the replica agent to be used and the temperature of the cooling water existing in the periphery of the replica picking head 7. For this reason, a sufficient hardening time for the replica agent inside the replica agent supply region 38 is spent in the state where the replica picking head 7 is pressed against the inner surface of the reactor core shroud. The hardened replica agent is collected (Step S8). The high-pressure water is supplied to the water-pressure cylinder 26 by manipulating the hand pump 32 so that the piston 27 moves in a direction separating from the body 2. Accordingly, the pressing force acting on the replica picking head 7 by the fixing device 25 is released. In addition, the manipulation pole 24 fixed to the fuel exchanger 43 is separated therefrom. The operator takes out the body 2, the replica picking head 7, and the like of the underwater remote surface inspection apparatus 1 from the inside of the reactor pressure vessel by using the manipulation pole 24 so that the body 2 is lifted above the liquid level of the cooling water inside the reactor well 41. In this manner, the hardened replica agent is collected from the inside of the reactor pressure vessel. At the time when the replica agent is collected, it is desirable that the underwater remote surface inspection apparatus 1 is lifted up to the operation floor 42 so that the transferred surface of the replica agent does not contact with the core internal structures existing in the periphery thereof while observing the image in the periphery of the underwater remote surface inspection apparatus 1 photographed by the underwater camera 34 by the television monitor 36. The surface shape of the inspection target transferred to the collected replica agent is observed (Step S9). The hardened replica agent lifted up to the operation floor 42 is separated with the sponge 9 from the replica picking head 7. In the case where it is difficult to separate the hardened replica agent from the replica picking head 7 due to the replica agent hardened in the replica agent supply pipe 19 and the air extracting hole 8, the hardened replica agent is cut at the corresponding portion by a knife or the like, and the hardened replica agent is separated from the replica picking head 7. The operator observes the surface of the replica agent coming into contact with the inner surface of the reactor core shroud, and checks whether there is a defect in the inner surface of the reactor core shroud on the basis of the surface state. In this embodiment, it is possible to remove the gas bubbles contained in the replica agent in such a manner that the ultrasonic wave 39 is transmitted from the ultrasonic vibration unit 10, that is, the ultrasonic vibrator 11 to the replica agent supplied to the replica agent supply region 38 inside the replica picking head 7 having the opening facing the surface of the inspection region of the inspection target. Accordingly, since the gas bubbles contacting with the surface of the inspection region of the reactor core shroud as the inspection target are removed, the shape of the surface of the inspection region is highly precisely transferred to the surface of the hardened replica agent contacting with the inspection region. In this embodiment, it is possible to improve the precision in the operation of inspecting the surface of the reactor core shroud (inspection target). In this embodiment, in order to supply the replica agent into the replica picking head 7, the ultrasonic wave 39 transmitted from the ultrasonic vibrator 11 is applied to the inner surface of the reactor core shroud so as to remove the solid materials such as the clad materials adhered to the inner surface of the reactor core shroud, before the replica picking head 7 is pressed against the inner surface of the reactor core shroud as the inspection target. For this reason, instead of the shape of the inner surface of the reactor core shroud to which the solid materials are adhered, it is possible to highly precisely transfer the real shape of the inner surface of the reactor core shroud on which the solid materials is removed to the hardened replica agent. Accordingly, it is possible to further improve the precision in the operation of inspecting the surface of the reactor core shroud. At the time when the ultrasonic wave 39 is applied to the inner surface of the reactor core shroud, since the replica picking head 7, that is, the sponge 9 disposed in the front end of the replica picking head 7 is allowed to be separated from the inner surface of the reactor core shroud, the solid materials removed from the inner surface of the reactor core shroud are dropped downward, and thus, it is possible to remarkably decrease the amount of the radiated solid materials such as the clad materials entering the replica agent supply region 38 of the replica picking head 7. Accordingly, it is possible to remarkably decrease the amount of the solid materials such as the clad materials existing in the region of the replica agent contacting with the inner surface of the reactor core shroud. As a result, it is possible to highly precisely transfer the shape of the inner surface of the reactor core shroud to the hardened replica agent without the influence of the solid materials such as the clad materials. In this embodiment, since the air extracting hole 8 is photographed by the underwater camera 34, it is understood that the replica agent is filled in the replica agent supply region 38 of the replica picking head 7 pressed against the inner surface of the reactor core shroud at the time when the image that the replica agent supplied to the replica agent supply region 38 rises inside the air extracting hole 8 is displayed on the television monitor 36. In the air extracting hole 8, the sectional area of the passageway at the upper portion thereof is set to be larger than that at the lower portion. When the replica agent rising inside the air extracting hole 8 arrives at the upper portion having the passageway of a large sectional area, the rising speed of the replica agent becomes slow. Accordingly, it is possible to prevent the replica agent from overflowing from the upper end of the air extracting hole 8. Since the replica picking head 7 is first positioned to the inner surface of the reactor core shroud by using the manipulation pole 24 and then the replica picking head 7 is pressed against the inner surface of the reactor core shroud by using the fixing device 25, the replica picking head 7 does not move during a time when the replica agent is hardened. Accordingly, it is possible to improve the precision in the operation of transferring the surface shape to the replica agent. When it is found that the solid materials such as the clad materials are not adhered to the surface of the inspection region upon observing the inspection region of the inner surface of the reactor core shroud by using the underwater camera 34, after the operation in Step S2 ends, the operation in Step S4 may start instead of performing the operation of removing the solid materials such as the clad materials in Step S3. When it is found that the unevenness on the surface of the inspection region is large and a large amount of the gas bubbles and the liquid bubbles remain during the replica agent injecting operation upon observing the inspection region of the inner surface of the reactor core shroud by using the underwater camera 34, first, the ultrasonic wave 39 is transmitted from the ultrasonic vibration plate 12 to the replica agent supply region 38. During a time when the ultrasonic wave 39 is transmitted, the replica agent is supplied into the replica agent supply region 38. During a time when the replica agent is supplied, the ultrasonic wave is transmitted from the ultrasonic vibration plate 12 to the replica agent supply region 38. Accordingly, it is possible to suppress the gas bubbles or the liquid bubbles from remaining between the replica agent, supplied into the replica supply region 38, and the inner surface of the reactor core shroud. Even after a small amount of the replica agent is supplied into the replica agent supply region 38, when the ultrasonic wave 39 is transmitted to the replica agent supply region 38 during a time when the replica agent is supplied into the replica agent supply region 38, it is possible to obtain the same advantage. This embodiment may be applied to the reactor constituting members other than the reactor core shroud of the boiling water reactor. For example, this embodiment may be used to inspect the shape of the inner surface of the nozzle formed in the reactor pressure vessel. In addition, this embodiment may be used to inspect the shape of the inner surface of the nozzle and the shape of the surface of the core internal structure which are the reactor constituting members for the pressurized water reactor. An underwater remote surface inspection apparatus for a reactor constituting member according to a second embodiment as another embodiment of the invention will be described with reference to FIGS. 3 to 6. An underwater remote surface inspection apparatus 1A according to this embodiment has a configuration in which a moving device 55 is installed in the underwater remote surface inspection apparatus 1. The other configurations of the underwater remote surface inspection apparatus 1A are the same as those of the underwater remote surface inspection apparatus 1. The moving device 55 includes a longitudinal housing 56, a pair of motors 57, rotation rods 58A and 58B, moving members 59A and 59B, and support arms 60A and 608. The housing 56 is formed in a cylindrical shape and has an opening 101 which is elongated in the axial direction. The housing 56 may be a cylindrical body having a rectangular cross section. The rotation rods 58A and 58B having male screws are disposed in parallel inside the housing 56 so as to extend in the axial direction of the housing 56. The lower ends of the rotation rods 58A and 58B are rotatably attached to the lower end of the housing 56, and the upper ends of the rotation rods 58A and 58B are separately connected to a pair of motors 57 installed in the upper end of the housing 56. The moving members 59A and 59B have perforation holes, provided with female screws, formed in the inner surfaces thereof. The rotation rod 58A is inserted into the perforation hole formed in the moving member 59A, and the male screw formed in the rotation rod 58A meshes with the female screw formed in the perforation hole. The rotation rod 58B is inserted into the perforation hole formed in the moving member 59B, and the male screw formed in the rotation rod 58B meshes with the female screw formed in the perforation hole. The support arm 60A is rotatably attached to the moving member 59A and the body 2. The support arm 60B is rotatably attached to the moving member 592 and the body 2. The underwater remote surface inspection method for the reactor constituting member performed on the boiling water reactor by using the underwater remote surface inspection apparatus 1A will be described in detail. First, the schematic structure of the boiling water reactor will be described with reference to FIG. 3. The boiling water reactor as a target according to the first embodiment has the configuration illustrated in FIG. 3. In the boiling water reactor, a reactor core shroud 51 is installed inside a reactor pressure vessel 50 so as to surround the reactor core. A reactor core support plate 53 supporting the lower end of the fuel assembly (not illustrated) loaded on the reactor core is installed in the reactor core shroud 51, and an upper lattice plate 52 supporting the upper end of the fuel assembly is installed in the reactor core shroud 51 so as to be located above the reactor core support plate 53. As described in the first embodiment, the steam dryer, the steam-water separator, and the shroud head are delivered to the outside of the reactor pressure vessel during a periodic inspection after stopping the boiling water reactor. And, all the fuel assemblies loaded on the reactor core are extracted. Even in the underwater remote surface inspection method for the reactor constituting member according to this embodiment, the processes in Step S1 to Step S9 illustrated in FIG. 2 are performed. Since the underwater remote surface inspection apparatus 1A includes the moving device 55, the detailed operations in Step S1, Step S2, Step S4, and Step S8 illustrated in FIG. 2 are different from those in the first embodiment. The operations of the processes will be mainly described. The operation of moving the underwater remote surface inspection apparatus 1A in Step S1, that is, the operation of moving the surface inspection device 54 to the inspection region of the reactor core shroud (reactor constituting member) 51 as the inspection target is performed as below. The underwater remote surface inspection apparatus 1A is gripped by the fuel exchanger 43 (see FIG. 1), and is inserted into one square portion of an upper lattice plate 52, where four fuel assemblies are inserted into one square portion. Subsequently, the underwater remote surface inspection apparatus 1A is lifted down inside the reactor core shroud 51. The lower end of the housing 56 of the underwater remote surface inspection apparatus 1A arrives at the reactor core support plate 53 and is held therein. The one square portion is located in the vicinity of the inner surface of the reactor core shroud 51. The upper end of the housing 56 is held by the upper lattice plate 52. Likewise, the underwater remote surface inspection apparatus 1A is disposed inside the reactor core shroud 51 of the reactor constituting member as the inspection target. The elongate opening formed in the housing 56 faces the inner surface of the reactor core shroud 51. The upper end of the opening is located in the vicinity of the upper lattice plate 52, and the lower end of the opening is located in the vicinity of the reactor core support plate 53. In addition, when the pair of motors 57 is driven, the rotation rods 58A and 58B are rotated at the same speed in the same direction. The moving member 59A meshing with the rotation rod 58A and the moving member 59B meshing with the rotation rod 58B move toward the lower end of the housing 56 along the rotation rods 58A and 58B at the same speed without changing a gap therebetween. Accordingly, the surface inspection device 54 attached to the support arms 60A and 60B moves down in the inside of the housing 56. When the surface inspection device 54 arrives at the inspection region of the reactor core shroud 51, the operation of driving the pair of motors 57 stops, and the surface inspection device 54 stops so that the opening of the replica picking head 7 faces the inspection region (see FIGS. 3 and 4). The surface inspection device 54 corresponds to the part which is attached to the body 2 of the underwater remote surface inspection apparatus 1 used in the first embodiment. That is, the surface inspection device 54 includes the body 2, the replica picking head 7, the ultrasonic vibrator 11 and the ultrasonic vibration plate 12 of the ultrasonic vibration unit 10, the housing member 13, the water-resistant cover 15, the replica agent supply pipe 19 of the replica agent supply unit 18, the replica agent cartridge 20, and the water-pressure cylinder 21. The operation of allowing the ultrasonic vibration unit to face the surface of the inspection target in Step S2 is performed as below. In the case where a distance between the inspection region of the inner surface of the reactor core shroud 51 and the ultrasonic vibration plate 12 of the ultrasonic vibration unit 10 is long, it is necessary to move the ultrasonic vibration plate 12 to be close to the inner surface of the reactor core shroud 51 in order to efficiently remove the solid materials such as the clad materials, adhered to the inspection region, by using the ultrasonic wave. This operation will be described in detail with reference to FIGS. 5 and 6. The pair of motors 57 rotates at the same speed in a direction opposite to each other. Accordingly, the rotation rod 583 rotates in a direction opposite to a direction of the rotation rod 58A at the same speed as that of the rotation rod 58A, and hence the moving members 59A and 593 move close to each other so that a gap therebetween becomes narrow. Accordingly, the body 2 moves toward the inner surface of the reactor core shroud 51 in the horizontal direction. The surface inspection device 54 moves toward the inner surface of the reactor core shroud 51 so that a gap between the inner surface and the sponge 9 becomes narrow. When the gap is equal to a predetermined width, the operation of driving the pair of motors 57 stops. Next, the solid materials such as the clad materials are removed by using the ultrasonic wave in Step S3. Since the sponge 9 is separated from the inner surface of the reactor core shroud 51, the removed solid materials drop to the bottom of the reactor pressure vessel 50. The operation of pressing the replica picking head 7 against the inner surface of the reactor core shroud 51 in Step S4 is performed as below. In the same manner as Step S2 in this embodiment, the rotation rod 58B rotates in a direction opposite to a direction of the rotation rod 58A at the same speed as that of the rotation rod 58A. The replica picking head 7 moves toward the reactor core shroud 51 farther than the position set in Step S2. The sponge 9 disposed in the front end of the replica picking head 7 contacts with the inner surface of the reactor core shroud 51 so that the replica picking head 7 is pressed against the inner surface of the reactor core shroud 51 by the support arms 60A and 60B. In the state where the replica picking head 7 is pressed against the inner surface of the reactor core shroud 51, the processes in Step S5, Step S6, and Step S7 are performed. The operation of collecting the replica agent after hardening the replica agent inside the replica supply region 38 of the replica picking head 7 in Step S8 is performed as below. When the motors 57 are driven, the rotation rods 58A and 58B rotate at the same speed in directions opposite to the rotation directions of the rotation rods 58A and 588 in Step S4. When the rotation rods 58A and 58B rotate, a gap between the moving members 59A and 59B becomes wide, and the surface inspection device 54 moves in the horizontal direction so as to be separated from the reactor core shroud 51. In addition, the surface inspection device 54 is accommodated inside the housing 56. Subsequently, the underwater remote surface inspection apparatus 1A is lifted up by the fuel exchanger 43 so as to be taken out from the inside of the reactor core shroud 51 and the inside of the reactor pressure vessel 50. The underwater remote surface inspection apparatus 1A is lifted up to a position above the operation floor 42 through the reactor well 41. The hardened replica agent is extracted from the replica picking head 7 of the lifted underwater remote surface inspection apparatus 1A, and the observation in Step S9 is performed. In this embodiment, it is possible to obtain the advantages excluding the advantages obtained by the manipulation pole 24 and the fixing device 25 among the advantages in the first embodiment. In this embodiment, since it is possible to press the replica picking head 7 against the reactor core shroud 51 by using the support arms 60A and 60B instead of the fixing device 25, it is possible to more reduce a time required for the pressing operation than a time required for the operation of pressing the replica picking head 7 by using the fixing device 25 in Step S4 in the first embodiment. Since it is not necessary to perform the operation of moving the fixing device 25 by using the manipulation pole and the operation of moving the surface inspection device 54 by using the manipulation pole 24, it is possible to reduce a burden of the operator. Even in this embodiment, when it is found that the solid materials such as the clad materials are not adhered to the inner surface of the reactor core shroud, after the operation in Step S2 ends, the operation in Step S4 may start instead of performing the operation of removing the solid materials such as the clad materials in Step S3. Even in this embodiment, when it is found that the unevenness in the inner surface of the reactor core shroud is large and a large amount of the gas bubbles and the liquid bubbles remain during the replica agent injecting operation, the ultrasonic wave may be transmitted from the ultrasonic vibration plate 12 into the replica agent supply region 38 during a time when the replica agent is supplied into the replica agent supply region 38. This embodiment may be used to inspect the shape of the inner surface of the nozzle formed in the reactor pressure vessel of the boiling water reactor, the shape of the surface of the core internal structure as the reactor constituting member of the pressurized water reactor, and the shape of the inner surface of the nozzle thereof. An underwater remote surface inspection apparatus for a reactor constituting member according to a third embodiment as another embodiment of the invention will be described with reference to FIGS. 7A and 7B. An underwater remote surface inspection apparatus 1B according to this embodiment has a configuration in which the underwater camera 34 of the underwater remote surface inspection apparatus 1 according to the first embodiment is changed to an image photographing device 34A, and the image photographing device 34A is attached to the replica picking head 7. The image photographing device 34A includes a camera and an illumination unit. The camera is connected to the television monitor 36 through the cable 35. The illumination unit is connected to a power source through another cable. When the camera is configured as a remote focus camera using a liquid lens and the illumination unit is configured as a light emitting diode, it is possible to decrease the size of the image photographing device 34A and to reduce the heat emission amount thereof. The other configurations of the underwater remote surface inspection apparatus 1B are the same as those of the underwater remote surface inspection apparatus 1. Even in the underwater remote surface inspection method for the reactor constituting member performed on the boiling water reactor by using the underwater remote surface inspection apparatus 1B, the processes in Step S1 to Step S9 illustrated in FIG. 2 are performed. In this embodiment, since the replica picking head 7 is provided with the image photographing device 34A, it is possible to directly observe the surface state of the inspection region of the inner surface of the reactor core shroud, pressed by the replica picking head 7, by using the camera. Since it is possible to easily check the state of the solid materials such as the clad materials adhered to the inner surface, it is easy to determine whether the process in Step S3 is performed. In addition, it is possible to improve the precision in the operation of positioning the replica picking head 7 to the inspection region of the inner surface of the reactor core shroud by using the image photographing device 34A disposed in the replica picking head 7. Since it is possible to photograph the state of the replica agent filled into the replica agent supply region 38 by using the camera of the image photographing device 34A upon supplying the replica agent into the replica agent supply region 38 of the replica picking head 7 pressed against the inner surface of the reactor core shroud, it is possible to check the filling state of the replica agent on the basis of the obtained image. Accordingly, it is possible to reduce an error in the operation of transferring the surface shape of the inspection region to the replica agent due to the insufficient filling state of the replica agent inside the replica agent supply region 38. As a result, since it is possible to improve the precision in the operation of picking the replica, it is possible to decrease the number of the repetitive operations of extracting the replica of the inspection target. In this embodiment, it is possible to obtain the advantages according to the first embodiment. In the same manner as the first embodiment, even in this embodiment, when it is found that the solid materials such as the clad materials are not adhered to the inner surface of the reactor core shroud, it is not necessary to perform the operation of removing the solid materials such as the clad materials in Step S3. In addition, this embodiment may be used to inspect the shape of the surface of other reactor constituting members such as the nozzle formed in the reactor pressure vessel of the boiling water reactor, or the shape of the surface of the reactor constituting member of the pressurized water reactor. Even in this embodiment, when it is found that the unevenness on the surface of the reactor core shroud is large and a large amount of the gas bubbles and the liquid bubbles remain during the replica agent injecting operation, the ultrasonic wave may be transmitted from the ultrasonic vibration plate 12 into the replica agent supply region 38 during a time when the replica agent is supplied into the replica agent supply region 38. The underwater remote surface inspection apparatus according to the invention may be used to inspect the surface state of the reactor constituting member in the nuclear power plant, that is, the surface state of the reactor constituting member in the reactor pressure vessel. It should be further understood by those skilled in the art that although the foregoing description has been made on embodiments of the invention, the invention is not limited thereto and various changes and modifications may be made without departing from the spirit of the invention and the scope of the appended claims. |
|
abstract | A method for intervention in a radioactive zone includes production of a digital model representing the three-dimensional topography of the radioactive zone (1); and intervention of the at least one operator in the radioactive zone (1). The intervention step includes repeated measurement of the radioactive radiation intensity by a portable detector (3), and determination of the spatial coordinates of the portable detector (3) at the time of the measurement; recording of a plurality of said measurements and the corresponding spatial coordinates in the digital model; materialisation of the recorded measurements in an augmented reality device (5) worn by the at least one operator, by a plurality of discrete holographie symbols (7). |
|
summary | ||
abstract | A post-accident fission product removal system may include an air mover, a filter assembly, and/or an ionization chamber. The air mover may be configured to move contaminated air through the filter assembly to produce filtered air. The ionization chamber may be connected to the filter assembly. The ionization chamber may include an anode and a cathode. The ionization chamber may be configured to receive the filtered air from the filter assembly and to ionize and capture radioisotopes from the filtered air to produce clean air. |
|
description | This application is a divisional of and claims priority to U.S. application Ser. No. 16/117,510, filed Aug. 30, 2018, which application claims priority to and the benefit of provisional application No. 62/552,726, filed Aug. 31, 2017, which are both incorporated herein by reference in their entireties. The embodiments of the present disclosure generally relate to safely transporting and storing drums that contain radioactive hazardous waste. There is a need for an inexpensive transportation and storage containment cask for a small modular Type B fissile waste that is capable of shipping and storing at least the following contents: (a) DOE-EM legacy wastes, including contact-handled (CH) and remote-handled (RH) TRU wastes in U.S. standard 55-gal, 85-gal and 110-gal drums and other containers of similar or smaller dimensions; and (b) Canada deuterium uranium (CANDU) spent fuel in basket configurations from the Atomic Energy of Canada Limited (AECL) facilities. Any such containment cask must follow the extensive applicable regulations for the transport and storage of fissile and radioactive contents in the U.S. and Canada. Embodiments of containment casks (and methods making same) are provided for safely transporting and storing drums that contain radioactive hazardous waste. One embodiment, among others, is a containment cask for safely transporting and storing radioactive hazardous waste in a dry air environment. The cask comprises a single drum containing the radioactive hazardous waste, a sealed and shielded containment vessel containing the drum, and an outer container. The outer container can take a plurality of forms. It can be in the form of an outer shield vessel (OSV) made from iron to provide further shielding. This outer container is appropriate for a drum having higher activity waste. The outer container can also be in the form of an overpack assembly that adds protection for hypothetical accident conditions (e.g., free drop, puncture, and fire), but adds little in terms of shielding. This outer container is appropriate for a drum having lower activity waste. Another embodiment, among others, is a method for providing, designing, and/or constructing a containment cask for safely transporting and storing radioactive hazardous waste. The method comprises: (a) providing, designing, and/or constructing a common containment vessel (CCV), the CCV having an elongated cylindrical body extending between a top end and a bottom end, the body having an elongated cylindrical side wall, a circular planar bottom plate mounted to the side wall at the bottom end, and a circular planar lid mounted to the side wall at the top end, wherein the body defines an interior region that contains a single drum containing the radioactive hazardous waste and provides shielding to inhibit radiation emitted from the single drum; (b) providing, designing, and/or constructing an outer shield vessel (OSV), the OSV having an elongated cylindrical body extending between a top end and a bottom end, the body having an elongated cylindrical side wall, a circular planar bottom plate mounted to the side wall at the bottom end, and a circular planar lid mounted to the side wall at the top end, wherein the body defines an interior region that can contain the CCV having the single drum containing the radioactive hazardous waste, the OSV comprising supplemental shielding designed to reduce the external radiation dose rates from the radioactive hazardous waste within the drum, the supplemental shielding comprising ductile cast iron; (c) providing, designing, and/or constructing an overpack assembly, the overpack assembly being lighter in weight than the OSV, the overpack assembly having an elongated cylindrical body extending between a top end and a bottom end, the body having an elongated cylindrical side wall, a circular planar bottom plate mounted to the side wall at the bottom end, and a circular planar lid mounted to the side wall at the top end, wherein the body defines an interior region that contains the CCV having the single drum containing the radioactive hazardous waste, the overpack assembly having shielding inserts that inhibit nuclear radiation, the inserts enabling flexibility in terms of a degree of shielding; (d) selecting either the OSV or overpack assembly for use in combination with the CCV in order to create the containment cask, based at least in part upon the radioactive hazardous waste contained within the single drum. Other vessels, apparatus, methods, apparatus, features, and advantages of the present invention will be or become apparent to one with skill in the art upon examination of the following drawings and detailed description. It is intended that all such additional systems, methods, features, and advantages be included within this description, be within the scope of the present invention, and be protected by the accompanying claims. FIG. 1 is a perspective view of a first embodiment of a containment cask, denoted by reference numeral 10, with cutaway showing an outer shield vessel 12 (OSV; outer container) that contains a common containment vessel (CCV) 14 that is designed to contain a single stainless steel drum 16 (FIG. 9) having radioactive hazardous waste, including but not limited to, non-compliant remote handled transuranic (RH-TRU) waste (e.g., RH-TRU waste containing items that are not permitted by the waste isolation pilot plate (WIPP) acceptance criteria, such as aerosol cans, small liquid containers, etc.), Canada deuterium uranium (CANDU) waste, radioactive debris, experimental spent nuclear fuel, irradiated fissile materials, nuclear fuel debris, high level waste (HLW), greater than Class C waste (GTCC), etc. The drum 16 can be any one of the following: a U.S. standard 110-gallon drum 16a (FIG. 9A), 85-gallon drum 16b (FIG. 9B), or 55-gallon drum 16c (FIG. 9C). The design of the containment cask 10 is simple and low cost. The first embodiment of the containment cask 10 is designed with more shielding than the second embodiment, which will be described in detail later in this document, in order to handle drums having higher radioactive waste content. The CCV 14 has an elongated cylindrical body 18 extending between a top end and a bottom end. The CCV body includes a cylindrical side wall 25, a planar bottom plate 22 at the bottom end and welded to the side wall 25, a flared bolt flange 23 with an open top welded to the side wall 25 at the top end, and a circular planar lid 24 mounted to the top of the flared bolt flange 23 and over the open top. The side wall 25, the bottom plate 22, the flared bolt flange 23, and the lid 24, together in combination, define an interior region that contains the single drum 16 and provides leak-tight containment of the radioactive materials within the CCV 14. The CCV 14 is made from stainless steel and is the primary shielding mechanism for the contained drum 16. When in use for transport and storage, the CCV 14 is in a completely sealed configuration. FIG. 2 is an exploded view of the OSV 12. The OSV 12 has an elongated cylindrical OSV body 26 extending between a top end and a bottom end. The OSV body 26 includes a side wall 27, a planar bottom plate 28 at the bottom end that is integral to the side wall 27, and a circular planar lid 32 mounted to the side wall 27 at the top end and over the open top of the OSV 12. The OSV body 26 defines an interior region that contains the CCV 14 having the single drum 16 containing the radioactive hazardous waste. The OSV 12 is not a pressure maintaining assembly, but merely a structure to protect the CCD 14 from external events, such as potential drops, punctures, fire, etc. The OSV 12 comprises supplemental shielding required to reduce the external radiation dose rates to acceptable levels. In the preferred embodiment, the side wall 27, bottom plate 28, and lid 32 of the OSV 12 is made from ductile cast iron. In the preferred embodiment, the thickness of the iron side wall 27 is (a) about 7 inches between the impact limiters 56, (b) about 6.5 inches where the impact limiters 56 overhang the ends of the OSV, and (c) about 6 inches at the bottom end. The containment cask 10 can be used to transport and store a drum 16 having RH-TRU waste and/or irradiated fuel waste. Moreover, RH-TRU and irradiated fuel waste can exhibit a decay heat of no greater than 200 Watts and 1500 Watts, respectively. The lid 32 at the top end is bolted to the OSV body 26 via a plurality of alloy steel bolts 34 with steel washers 36 and an elastomeric gasket weather seal to prevent water intrusion. Alignment pins are also used to facilitate OSV lid alignment and installation operations. The OSV 12 includes one or more drain ports 38, preferably one, with corresponding drain port plugs 42 for enabling and disabling drainage. The drain port 38 is provided to allow the OSV cavity to be checked for the presence of liquids, and drained if needed, during storage or site operations. The drain port may also be used for continuous monitoring, if required by the site and/or the governing regulations. The drain port 38 can enable free draining, when the containment cask 10 is in storage mode, to prevent trapping of water in the interior region of the OSV 12 that is outside of the sealed CCV 12. A plurality of diametrically opposed lifting trunnions 44 are positioned on opposing sides and extend outwardly from the surface of the OSV body 26 to enable vertical handling of the containment cask 10 and securing of the containment cask 10. The lifting trunnions 44 are cast into the OSV body, are a simple lift yoke design that can be operated without special equipment, and comply with ANSI-N14.6 industry standards. The lifting trunnions 44 can also be used to tie down the containment cask 10 for transport. A plurality of tie-down lugs 46 are also positioned to extend outwardly from the surface of the OSV body 26 to enable the containment cask 10 to be secured. As an example, the tiedown lugs 46 enable the containment cask 10 to be secured to a trailer bed. Because of the light weight of the containment cask 10 (i.e., CCV weight of between 2650 lb. and 6200 lb. and gross cask weight of between 26,100 lb. and 30,000 lb.), up to 3 of the containment casks 10 can be shipped per road shipment, and the tie down arms 46 can be used to secure them to a trailer bed. The OSV 12 includes a plurality of upper impact limiter attachment lugs 52 extending outwardly from the OSV body 26 so that an upper impact limiter 56 can be situated at the top end of the OSV 12. The OSV 12 further includes a plurality of lower impact limiter attachment lugs 56 extending outwardly from the OSV body 26 so that a lower impact limiter 56 can be situated at the bottom end of the OSV 12. In the preferred embodiment, each of the upper and lower impact limiters 56 are identical in construction. The impact upper and lower impact limiters 56 are symmetric and interchangeable. As shown in FIG. 3, each impact limiter 56 has a pocket on the inside that fits over the respective end of the OSV 12. Each impact limiter 56 has a stainless steel shell 58 encapsulating a rigid polyurethane foam 62. In the preferred embodiment, the shell has a thickness of about 0.075 inches. Each impact limiter 56 includes a plurality of attachment lugs 64 that engage and attach to attachment lugs 54 (FIG. 2) associated with the OSV 12 using preferably T-bolt type connections. A drain tube 66 enables water to exit the annular gap region between the bottom impact limiter 56 and the OSV 12. For the top impact limiter 56, the drain tube 66 is capped to prevent water intrusion. A bottom rub ring 68 and a plurality of radial rub strips 72 are designed to engage the outside of the OSV 12. A shear ring 74 provides a shearing effect, if needed. Other suitable types of impact limiters are known and could be utilized instead of the one associated with the preferred embodiment. In the preferred embodiment, the containment cask 10 measures about 74.5 inches in diameter and about 84.5 inches in vertical height. Moreover, the robust design enables storage of the containment cask 10 in an existing building or outdoors. A second embodiment of the containment cask, denoted by reference numeral 10′, will now be described with reference to FIGS. 4 through 6. The containment cask 10′ (second embodiment) is designed to be smaller and lighter in terms of weight than the containment cask 10 (first embodiment) in order to maximize the number of containment casks that can be transported in a single consignment. FIG. 4 is a perspective view of the containment cask 10′. FIG. 5 is a perspective view of the second embodiment with cutaway showing an unshielded overpack assembly 76 (outer container) that contains the CCV 14 (FIG. 1) that is designed to contain a single drum 16 (FIG. 7) having radioactive hazardous waste, for example, contact handled transuranic (CHTRU) waste that exhibits a decay heat no greater than 200 Watts. FIG. 6 is a cross sectional view of the containment cask 10′. The overpack assembly 76 generally provides minimal supplemental shielding to assist with the primary shielding provided by the CCV 14. The overpack assembly 76 has a cylindrical base assembly 75 that is covered by a cylindrical lid assembly 78. The lid assembly 78 is bolted to the base assembly 75 via a plurality of equally spaced bolts 80 to secure the CCV 18 within its internal cavity. The base assembly 75 and lid assembly 78 are generally made of stainless steel shells that are filled with rigid polyurethane foam. There is flexibility in connection with the shielding. Shielding inserts can be optimized for different contents, eliminating the need to repackage some drums that have non-compliant TRU waste and thereby resulting in fewer shipments. The lid assembly 78 has a plurality of lifting tabs 81 to enable vertical handling of the lid assembly 78 and loaded package 10′ using standard rigging. The base assembly 75 is equipped with a plurality of tie down arms 82 to enable the overpack assembly 76 (and containment cask 10′) to be secured to a support structure 83. Because of the light weight of the packaging 10′ and contents (i.e., CCV weight of about 3100 lbs. and gross cask weight between about 6,000 lb. to 8,200 lb.), up to 10 of the containment casks 10′ can be shipped per road shipment, and the tie down arms 82 can be used to secure them to a trailer bed. As shown in FIG. 6, the overpack assembly 76, when assembled, has an elongated cylindrical body extending between a top end and a bottom end. There is a planar bottom plate 83 at the bottom end that is welded to the body of the base assembly 75, and there is a planar top plate 84 at the top end that is welded to the body of the lid assembly 78. As for foam inserts, the sides of the base assembly 75 has outer and inner stainless steel shells 75a, 75b with side foam 85 between them. The sides of the lid assembly 78 also has outer and inner stainless steel shells 78a, 78b with side foam 86 between them. The bottom end of the base assembly 75 includes corner foam 87 and center foam 87. A thermal spider may also be situated in the center foam 87 for heat dissipation. The top end of the lid assembly 78 includes corner foam 88 and center foam 89. The thickness of the outer and inner shells are designed for optimal crushing properties, and in the preferred embodiment, are 3/16 inches and 14 gauge, respectively. In terms of dimensions, in the preferred embodiment, the containment cask 10′ measures about 47 inches in diameter and about 64.5 inches in vertical height. FIG. 7 is a perspective view and FIG. 8 is an exploded view of the CCV 14 (of FIGS. 1 and 4) that is stored within the first and second embodiments of the containment cask 10, 10′. As shown in FIGS. 7 and 8, the CCV 14 has an elongated cylindrical body 18 extending between a top end and a bottom end. The CCV body includes a cylindrical side wall 18, a planar bottom plate 22 at the bottom end and welded to the side wall 18, a flared bolt flange 23 with an open top welded to the side wall 18 at the top end, and a circular planar lid 24 mounted to the top of the flared bolt flange 23 and over the open top. The side wall 18, the bottom plate 22, the flared bolt flange, and the lid 24, together in combination, define an interior region that contains the single drum 16 and provides sufficient shielding to contain radiation within the CCV 14. In the preferred embodiment, the drum 16 can have a fissile gram equivalent (FGE; i.e., grams of plutonium 239) up to 390. The lid 24 is mounted to the flared bolt flange 23 via a plurality of captured closure bolts 99 with corresponding washers 101. The captured bolts 99 facilitate remote lid installation and removal operations that are required for certain payloads. Alignment pins are used to facilitate CCV lid alignment and installation operations. A plurality of spaced-apart, concentric O-rings 102 (elastomeric gasket weather seal; inner for containment; outer for test) are situated between the lid 24 and the bolt flange 23 of the CCV 14. A plurality of threaded holes 103 in the lid 24 enable the CCV 14 to be vertically lifted and lowered using standard rigging (wires ropes, shackles, swivel hoist rings). In the preferred embodiment, the CCV 14 has a diameter of about 32.5 inches and a vertical height of about 47.38 inches. The CCV 14 includes a test port assembly 104 that can be used to test the sealing capability (vent and leak) of the CCV 14 using known techniques. In essence, the test port assembly 104 is used to evacuate the CCV 14, backfill the CCV 14 with an inert gas, such as Helium, and then check for leaks. The test port assembly 104 has a port cover 106 that is mounted within a circular lid aperture 108 via a plurality of port cover bolts 110. Dual O-rings 112 (inner for containment; outer for test) are used between the port cover 106 and a donut shaped bottom associated with the circular lid aperture 108. A quick connect valve 114 is mounted over a circular lid hole 116 to enable access to the inner atmosphere of the CCV 14. The quick connect valve 114 is accessed by removing the port cover 106. One or more modular supplemental shields may be added to the CCV 14, or a separate shield liner (e.g., the payload liner described later) may be added to the interior cavity of the CCV 14. These additional shields may be added as liners to the CCV 14. Each shield can be optimized for a specific set or type of radioactive hazardous waste. Depending on the size and shielding requirements of the various payloads, a payload liner may be used inside the CCV cavity to shore the contents within the CCV cavity and provide additional shielding. A payload liner may be made from various materials and sizes, depending on the type and amount of shielding that is required. FIGS. 9A, 9B, and 9C are cross sectional views of the CCV 14 containing different size drums 16a, 16b, and 16c, respectively, having radioactive hazardous waste by using different size payload liners 118a, 118b, and 118c, respectively. Specifically, FIG. 9A shows a U.S. standard 110-gallon drum 16a. FIG. 9B shows a U.S. standard 85-gallon drum 16b. FIG. 9C shows a U.S. standard 55-gallon drum 16c. With reference to FIG. 9A, the payload liner 118a has a circular platform 122a upon which the drum 16a rests. A cylindrical lower part 124a with a cylindrical internal region supports the platform 122a over the bottom plate 22 of the CCV 14. Referring to FIG. 9B, the payload liner 118b has an elongated body having a top part 126b with a cylindrical internal region, a lower part 124b with a cylindrical internal region, and a circular planar platform 122b between and separating the top and lower parts 126b, 124b. The cylindrical lower part 124b supports the platform 122b over the bottom plate 22 of the CCV 14. The drum 16b is contained in the internal region of the top part 124b between the top of the CCV 14 and the platform 122b of the liner 118b. The top part 126b is also designed to generally center the single drum 16b within the CCV 14 along a vertical axis extending between the top end and the bottom end of the CCV 14. Referring to FIG. 9C, the payload liner 118c has an elongated body having a top part 126c with a cylindrical internal region, a lower part 124c with a cylindrical internal region, and a circular planar platform 122c between and separating the top and lower parts 126c, 124c. The cylindrical lower part 124c supports the platform 122c over the bottom part 22 of the CCV 14. The drum 16c is contained in the internal region of the top part 124c between the top of the CCV 14 and the platform 122c of the liner 118c. The top part 126c is also designed to generally center the single drum 16c within the CCV 14 along a vertical axis extending between the top end and the bottom end of the CCV 14. The payload liner 118 may be made from a variety of different materials. In some embodiments, the payload liner 118 may comprise supplemental shielding to assist with containing the radioactive hazardous waste within the drum 16. In one embodiment, among others, the payload liner 118 is made of stainless steel, which is itself, a shielding material. In another embodiment, among others, the payload liner 118 is made from a polyurethane foam, which is not shielding but absorbs neutrons. FIG. 10 is a perspective view with cutaway showing the first embodiment of the cask, denoted by reference numeral 10, which has the single drum 16 situated within the containment vessel 14 (common containment vessel; CCV), which is situated within the outer container 12 (shielded, outer shield vessel; OSV). FIG. 11 is a perspective view with cutaway showing the second embodiment of the cask, denoted by reference numeral 10′, which has the single drum 16 situated within the containment vessel 14 (common containment vessel; CCV), which is situated within the outer container 76 (overpack assembly with shielding inserts for shielding flexibility; lighter in weight than the OSV). It should be emphasized that the above-described embodiments of the present invention, particularly, any “preferred” embodiments, are merely possible nonlimiting examples of implementations, merely set forth for a clear understanding of the principles of the invention. Many variations and modifications may be made to the above-described embodiment(s) of the invention without departing substantially from the spirit and principles of the invention. All such modifications and variations are intended to be included herein within the scope of this disclosure and the present invention. As an example, the containment casks 10 and 10′ can accommodate drum sizes that are different than those described. As another example, an impact limiter that is different than the impact limiter 56 may be utilized in connection with the OSV 12. |
|
050911422 | summary | FIELD OF THE INVENTION The invention relates to a method for extracting a locking sleeve from a demountable guide tube of a fuel assembly of a nuclear reactor cooled by light water. BACKGROUND OF THE INVENTION Water-cooled nuclear reactors, and in particular pressurized-water nuclear reactors, comprise assemblies consisting of a bundle of fuel rods of considerable length, arranged parallel to each other and held inside a framework formed by guide tubes, struts and two end blocks. The guide tubes are arranged in the longitudinal direction of the assembly and are connected to transverse struts regularly spaced along the length of the assembly. The guide tubes are also connected at each of their ends to one of the two end blocks forming parts for rigidifying and closing the assembly. The fuel rods of the assembly form a bundle in which the rods are parallel to each other and arranged, in the transverse sections of the assembly, in a regular latticework determined by the struts. Certain positions of the latticework are occupied by guide tubes which are generally rigidly connected to the struts. The guide tubes are lower than the fuel rods and are positioned inside the bundle, so as to comprise a part projecting relative to the bundle of fuel rods at each of their ends. The end blocks are fixed onto these projecting parts of the guide tubes so as to ensure closure of the assembly at each of its ends. The fuel rods consist of sintered pellets of nuclear fuel material stacked inside a metal sheath isolating the pellets from the fluid surrounding the fuel assembly. In the event of rupture of a sheath of a fuel assembly rod, this rod must be replaced very rapidly in order to avoid leakages of radioactive product into the cooling fluid of the reactor. In order to gain access to the fuel rods and carry out replacement thereof, one of the end blocks of the assembly must be demounted, by disconnecting the corresponding ends of the guide tubes from the end block. The end blocks comprise through-holes reproducing the latticework of the guide tubes, in each of which a guide tube is engaged and fixed. So as to be able to replace defective rods in the fuel assemblies, new fuel assemblies have been designed and developed, comprising guide tubes, the connection of which with at least one of the end block is demountable. In order to carry out replacement of the defective fuel rods, the assembly is placed under water in the vertical position, inside a well such as a storage well; the assembly rests on the bottom of the well via its bottom end block. The other end block, i.e., the top end block, is accessible at a certain water depth from the top of the well. In prior art demountable fuel assemblies, the parts of the guide tubes engaged in the top end block of the assembly comprise a radially expandable part which may, for example, be mounted on the end of the guide tube. This expandable part may consist of a slit bush having a part radially projecting outwards which is intended to be accommodated inside a cavity of corresponding shape machined inside the end block, in the through-hole of the guide tube. A locking sleeve introduced inside the guide tube effects radial expansion of the slit bush and securing of the guide tube, the radially projecting part of which is accommodated inside the cavity machined in the end block. Only a certain length of the guide tube is engaged in the hole passing through the adapter plate of the end block, the remaining part of the hole, above the guide tube, emerging on the upper surface of the adapter plate of the end block. A demountable connection for the guide tube of a fuel assembly of the type described above is known, comprising a locking sleeve having a part ensuring expansion of the guide tube extended axially by a fixing ferrule which is accommodated, when the locking sleeve is arranged in position inside the guide tube, in the part of the hole situated above the guide tube and emerging on the upper surface of the adapter plate. Radial cavities are provided in this part of the hole of the adapter plate and the fixing ferrule is deformed, after arranging the locking sleeve in position inside the guide tube, such that the deformed parts of this fixing ferrule fit inside the cavities so as to achieve axial and rotational locking of the locking sleeve. Effective fixing of the guide tube is thus possible by means of operations which can be carried out, without difficulty, from the top of the assembly. However, demounting of the guide tube requires that, initially, the locking sleeve which is held inside the end block by the fixing ferrule be extracted. This operation may be performed by a tool which is introduced into the sleeve and which comprises parts, movable in radial directions, which are positioned under the bottom end of the sleeve. A pulling force is exerted on the tool so as to allow the fixing ferrule to be unlocked and the sleeve of the guide tube to be extracted. This operation of extracting the locking sleeves before demounting the top end block of the assembly requires the use of a complex tool and the application of pulling forces which are all the greater the more effectively the sleeve is fixed by means of the ferrule. Generally speaking, the methods for extracting locking sleeves known from the prior art make use of large pulling forces and/or perform extraction by means of striking a tool placed against the bottom part of the locking sleeve, which may result in damage to the assembly during demounting of its top end block. SUMMARY OF THE INVENTION The object of the invention is therefore to propose a method for extracting a locking sleeve from a guide tube in a demountable end block of a fuel assembly of a nuclear reactor cooled by light water comprising a bundle of parallel fuel rods held inside a framework formed by guide tubes, struts and end blocks fixed onto the ends of the guide tubes, at least one of the end blocks being fixed onto one of the ends of each of the guide tubes in a demountable manner, by means of an end part of the guide tube deformable radially and having a securing part projecting radially outwards, engaged inside and over a part of the length of an opening passing through the end block and comprising, in its part receiving the guide tube, an annular enlargement intended to receive the securing part of the guide tube, radial expansion of the end of the guide tube and holding of its securing part inside the annular enlargement of the opening of the end block being ensured by a locking sleeve comprising a bush for expanding the guide tube and a ferrule for fixing in the end block projecting at the end of the guide tube, in the locked position of the sleeve, inside a part of the opening of the end block not receiving the guide tube, this part of the opening of the end block comprising at least one radial cavity inside which at least one deformed part of the fixing ferrule is introduced by means of radial deformation of at least one zone of the ferrule positioned so as to coincide with the cavity, so as to ensure fixing of the locking sleeve in the end block, it being possible for this extraction method to be applied without subjecting the assembly to repeated impacts and without exerting a large pulling force on the sleeve. To this end: the zone or zones of the fixing ferrule comprising a radially projecting deformed part are deformed by inward folding inwards, so as to extract the deformed part from the corresponding cavity, PA1 then the locking sleeve is extracted by exerting a pulling force in the axial direction of the guide tube. |
description | This application claims priority under 35 U.S.C. §119(e) from provisional application Ser. No. 61/776,592 filed Mar. 11, 2013. This application is also a continuation-in-part of copending application Ser. No. 12/850,633 filed Aug. 5, 2010, which is a continuation-in-part of application Ser. No. 12/783,550 filed on May 19, 2010, which claims priority under 35 U.S.C. §119(e) from provisional application Ser. No. 61/179,625 filed on May 19, 2009, the entire contents of which are incorporated herein by reference in their entirety. 1. Field of the Invention This invention describes an energy technology which utilizes neutrals to undergo fusion. It relates to the field of energy production from nuclear fusion in which two atoms fuse together into a third atom with the resultant release of energy, a consequence of mass being converted into energy. This invention provides a new approach to the production of fusion energy using neutrals instead of charged particles. It describes how neutrals can be accelerated in a compact rotating configuration, thereby achieving repeated interactions among themselves. 2. Background Fusion research has been going on since 1950's and the prospect for a commercial reactor is still many years away. The confinement of charged particles, the presence of instabilities and the large amount of energy required to sustain the reacting system at high temperatures all make this into one of the most challenging world-wide efforts. Many configurations have been proposed and tried to confine charged particles which are accelerated by electromagnetic means. No simple low-cost reactors have been realized today. The present invention chooses to pursue fusion among neutrals in order to achieve very high density of particles for interactions, e.g. four orders of magnitude higher than is possible with charged particles. It uses the strong magnetic force (several thousands of newtons) on a current element to drive neutrals through the principle of ion-neutral coupling. The simple geometry and the compactness of the device makes it a breakthrough in the concept on fusion. Unlike charged particles, neutrals do not experience Coulomb repulsion as they approach each other until they reach subatomic dimensions. The cross sections of neutral-neutral interactions are therefore higher. The high density of neutrals makes it possible to produce energy at a significant rate for commercial application. The rate of fusion is proportional to the square of the density. This technology is different from the present day usage of charged particles for fusion, where it is difficult to achieve high density due to the energy requirement on ionization and instabilities of a charged medium. The high density of interacting particles makes it possible to attempt clean fusion where neutrons are not in the products. The advantages of such a fusion reactor are numerous, one of which is the siting of reactors in urban areas. Others are environmental considerations including low amount of nuclear wastes, low cost of fuels and the replacement of hydrocarbons as fuels, thereby eliminating the emission of greenhouse gases. This device operates at high neutral densities in order to increase the rate of fusion reactions even for low cross sections of interacting elements. This rate is proportional to the square of neutral densities. In one embodiment these neutrals are driven to high velocities by a non-mechanical plasma rotor in an annular region bounded by two concentric electrodes in an axial magnetic field. A DC voltage is imposed between these electrodes to impart a radial DC current I which produces a force F=IL×B in the azimuthal direction where L is the radial vector of length L along which the current flows. The repeated interactions between hydrogen and boron atoms in the annular region produce sufficient fusion reactions to yield energetic helium nuclei which can be used in a direct conversion to electricity or a source of heat for energy production. The low % ionization, the high driving force F in thousands of newtons and the repeated interactions at high neutral densities combine to make this a system without pollution and minimal radioactive wastes. Hydrogen and boron are both plentiful and non-radioactive stable elements. The fusion product, energetic doubly-charged helium nuclei, lend themselves to direct conversion to electricity with high efficiency. This device requires only a simple capital outlay consisting of a superconducting magnet and a DC power supply. It can operate in various sizes from 50 cm size to 10's meters, depending on the application. Another aneutronic reactor uses the proton lithium (p-Li6) reactions with products of He3 and He4. The ease of coating of Li on electrodes inside chamber might be an advantage of sources and sinks in certain applications. The above technology of using a predominant amount of neutrals can also be applied to D-T, D-D fusion where the products include neutrons. The capital investment and operation cost will be higher because of requirements for shielding and handling of radioactive materials. However the larger cross sections at lower energies of these fusion reactions compensate somewhat for this higher capitalization and operational cost. Typical designs of pulse supplies and CW supplies used to produce pre-ionization and sustained rotation of the plasma are illustrated in FIGS. 2-4. FIG. 1 shows a configuration of a p-B11 fusion device with concentric electrodes. A superconducting magnet 11 is provided capable of generating an axial magnetic field. The chamber 5 has a cooling input 1. The chamber 5 also has a gas input 2. An electrical power supply 12 is connected to discharge rod 3. An expanded discharge rod 8 is provided in chamber 5. Element 4 is an insulator. Element 6 is an external discharge rod. Element 7 denotes Boron discs. Element 10 illustrates a Boron target. Element 9 illustrates a plasma. Multiple pulse supplies are triggered sequentially to produce a sequence of pulses for sustaining a high rotation rate. The timing of the pulses is such that before the conductivity of the plasma decays to a low value the next pulse is turned on to impart another radial current for rotation. After the initial breakdown to create the plasma current the voltage required to maintain the flow is lowered such as shown in FIG. 6, thereby lowering the power requirement. In this scheme only a low % ionization (10−5) is required. The recombination rate between ions and electrons is minimum because of ions and electrons are surrounded by neutrals. The power to maintain such low % ionization is many times less than what is needed to maintain a fully ionized medium. The rotations of neutrals and ions are diagnosed using a camera with fast shutter speeds up to 100,000/s. By following a given inhomogeneity the rotation rate can be estimated. Another method is to use “laser tagging”. A laser is tuned to a given wavelength which matches either an ion line or a neutral line. The resonant scattering at a different wavelength is monitored in space and time using the fast camera with a filter. Alternately a spectrometer and a fiber tuned to a given wavelength can also be used. Each element has both rotating and stationary distributions such that the rotating boron species collides with the stationary hydrogen species and vice versa. The stationary component of B11 is provided at the inner and outer electrodes, while the rotating component B11 is provided by J×B force. A continuous stream of hydrogen is fed from a pressure tank to produce background pressures of 1-10 Torr. The repeated interactions between these rotating boron and stationary hydrogen and rotating hydrogen and stationary boron give rise to a high rate of fusion as represented in the following equation:dW/dt=npnbσvY rate of fusion/cm3 sec where np, nb are the densities of protons and borons respectively; σ is the fusion cross section at a particular energy E v is the relative velocity between proton and boron, Y is the energy yield per fusion reaction=8.7 MeV It should be noted that np represents both hydrogen ions and neutrals because for fusion reactions either neutrals or ions can participate in fusion. The fusion break-even condition is given by the fusion output being greater than the energy input per unit volume:dW/dt>VinIin/V where Vin=Voltage applied between two concentric electrodes Iin=Radial current due to the applied voltage Vin V=Volume of rotating region where neutrals and ions are being driven by J×B force; energy input comes from the DC voltage and current applied between the two electrodes. The operating magnetic field is usually between 0.5-3 T. Initial ionization by electrons along the axial magnetic field might be used to provide electrons and ions for pre-ionization. The plasma impedance between the two concentric cylinders is lowered such that a radial current flows between the concentric cylinders. This radial discharge current across the magnetic field takes place primarily via ion transport across the strong magnetic field because ions have much larger orbit than electrons. The force J×B causes ions to rotate in the azimuthal direction. At high densities frequent collisions between ions and neutrals make them rotate together. In our laboratory plasma a 0.1 ohm resistance and a radial current of 10 KA were observed for a voltage of 1 KV. This current gives rise to a force of 10,000 newtons in a field of 2 T and a radius of 50 cm. Under this strong driving force Boron ions and neutrals can attain an energy of 100 KeV in 10 ms. This range of energy allows fusion to take place. Boron atoms rotating at 3×105 revolutions/s at a radius of 50 cm will reach the energy of 100 KeV. Hydrogen-Boron fusion reaction can occur when high-pressure hydrogen gas is puffed in towards the rotating annular region of Boron. The high densities (1018/cm3) of neutral boron and hydrogen atoms help sustain a significant fusion yield even though the cross section is only 3×10−28 cm2. In the rotating region where all the particles rotate at the same rate, assuming a solid body rotation, there will be low relative velocities among elements for fusion unless the Coulomb barrier is reduced by electron screening as explained below. However without such reduction the relative velocities between rotating Boron and ambient hydrogen atoms would be required to be high enough for fusion to take place. A rate of reaction depends on the energy of B11 and hydrogen. The device can be operated at high neutral densities of hydrogen and boron because instabilities due to space charges are not present. A high voltage is applied either in pulses or steady state or a combination of both pulses and steady voltages, with a resultant radial current flowing between the discharge rod 8 and the discharge rod 6, which function as electrodes. The radial current produces a strong torque to push ions in the azimuthal direction, causing collisions with neutrals and co-rotation of the neutrals with the ions. The power supply further produces a continuous chain of pulses, such that the radial current is sustained so as to produce a continuous driving force to rotate ion and neutrals. A combination of pulses and CW voltages are used to maximize the efficiency between rotating energy and the input electrical energy; pulses are used to sustain the number of ions in the system and CW voltages are used to maintain the rotation. The fusion reaction produces energetic alpha particles (He4), which are used for direct conversion to electrical energy; and the slowing down of these alphas yields a charging current in a power supply. If we take np, nb=1018/cm3 and σ=3×10−28 cm2 (assumed 100 KeV of energy for Boron) and relative speed between hydrogen and boron v=108 cm/s we have dW/dt=3×1016/s cm3×8.7 MeV=5×103 J/s cm3 Our proof-of-principle experiment lasts for 1 ms in a volume of 3×103 cm3 the power released is estimated to be 15 KJ. The energy input is 2.5 KV and 4000 A or 10 MW for 0.1 ms which is equal to 1 KJ. If we can accelerate borons to 200 KeV the cross section is increased to 1.5×10−26 cm2 or 30 fold increase in cross section. If the energy input is doubled then the energy multiplication is estimated to be approximately 200. Number of He Nuclei to be Detected The number of total reactions in 1 ms in a volume of 3×103 cm3 is equal to 9×1016. The product of reactions in He nuclei is 2.7×1017. The density of He particles is 0.9×1014/cm3 or 10−3 Torr/ms pulse. This density of He is detectable by a quadrupole mass spectrometer of RGA (residual gas analyzer). The population of He particles is increased with the number of pulses, when the volume is not pumped. A method of estimating the maximum velocity of rotation of neutrals gained during the acceleration by J×B force or I L B where I is the radial current, L is the length of the current and B is the field perpendicular to I is as follows: For our current pulsed experiments where helium is to be observed optically the following parameters are used: I=104 A, L=0.5 m, B=3 T F=1.5×104 N Acceleration is F/m=0.5×109 m/s2, where m is the mass of borons and hydrogen at density of 1018/cm3 and is equal to 3.3×10−5 kg. For 2 ms of acceleration v=½ at=106 m/s. This justifies the assumption of v=108 cm/s assumed above in our calculation of fusion events. This velocity corresponds to Boron energy of 100 KeV. For hydrogen-boron fusion the cross sections “sigma” are: At 200 KeV sigma is 1.6×10−2 Barn At 100 KeV sigma is 3×10−4 Barn At 50 KeV sigma is 10−6 Barn 1 barn is 10−24 cm2. For DD reactions the fusion cross section is: At 50 KeV sigma is 104 barns For DT reactions the fusion cross section is At 10 KeV sigma is 105 barns The embodiments above primarily consider the p-B11 fusion reaction, involving hydrogen nuclei (protons) and boron nuclei, as described by the equation:p+B11→3He4+8.68 MeVThe reactants (e.g., hydrogen and boron) may be in solid (powder, nanoparticles, or other), liquid, or gaseous state, may be mixed in a solution with water or any other solvent, and may be present in elemental form or in any chemical compound. For example, boron is often found in borate minerals, including borax, kernite, ulexite, colemanite, and boracite, any of which could be used to provide boron fuel into the fusion reactor described above (hereinafter referred to as the “Alpha Unit”). In addition, other boron compounds which are not borate minerals, including but not limited to elemental boron, lanthanum hexaboride, and boron nitride, could be used. Additionally, the Alpha Unit is suitable for use with all other fusion reactions, both neutronic and aneutronic, including (but not limited to): D+T→He4+n+17.59 MeV D+D→T+p+4.04 MeV D+D→He3+n+3.27 MeV D+D→He4+γ+23.85 MeV T+T→He4+2n+11.33 MeV D+He3→He4+p+18.35 MeV p+Li6→He4+He3+4.02 MeV p+Li7→2He4+17.35 MeV p+p→D+e++v+1.44 MeV D+p→He3+γ+5.49 MeV He3+He3→He4+2p+12.86 MeV p+C12→N13+γ+1.94 MeV [N13→C13+e++v+γ+2.22 MeV] p+C13→N14+γ+7.55 MeV p+N14→O15+γ+7.29 MeV [O15→N15+e++v+γ+2.76 MeV] p+N15→C12+He4+4.97 MeV C12+C12→Na23+p+2.24 MeV C12+C12→Na20+He4+4.62 MeV C12+C12→Mg24+γ+13.93 MeVContinuous vs. Batched Operation Because all fusion reactions involve the consumption of fuel, to continue operating indefinitely the Alpha Unit must have its fuel supply replenished. There are two ways of achieving this: 1) Continuous operation, whereby fuel is added and fusion products are removed continuously. In this mode of operation, the Alpha Unit would only need to be shut down for maintenance, or in cases of operational failure. 2) Batched operation, whereby fuel is added prior to operation, the Alpha Unit is run, and operations are ceased when a certain proportion of the fuel (up to 100%) has been consumed. Once the device has stopped operating, the fusion products would be removed, new fuel added, and, as needed, maintenance performed. This mode of operation would require more operational cessations than the continuous mode of operation, but would simplify the fuel loading and fusion product removal processes. Pulsed vs. Continuous Voltage In past operation, the reactions in the Alpha Unit have been prompted by a series of short-duration pulses of voltage on the inner electrode to induce a plasma current between the inner and outer electrodes and cause the fluid inside the Alpha Unit to rotate. However, as an alternative, the Alpha Unit could be run with a continuous supply of voltage to the inner electrode. Fusion/Fission Hybrids Some fission reactions, for example the thorium fission cycle, rely on a large flow of high-energy particles (e.g., neutrons, protons, alpha particles) to drive the reaction. Such reactions may have advantages over conventional nuclear fission fuel cycles in that they involve only trace amounts of radioactive material, which are insufficient to drive a nuclear chain reaction The Alpha Unit could be used to drive these fission reactions by providing the supply of high-energy particles. For example, when using the p-B11 reaction, a mixture of doubly-charged He4 (α particles), and charged and neutral boron and hydrogen nuclei could be directed out of the Alpha Unit and into a separate reactor containing the fission fuel. The energy generated by the fission reaction could be used independently from, or in combination with, energy extracted from the Alpha Unit (for electricity generation, industrial heat, or other useful purposes). Materials of Construction A key component of the Alpha Unit is a magnet which could be a superconducting magnet (including use of same from retrofitted MRI machines), a permanent magnet, an electromagnet or other suitable type of magnet. The other components consist of a chamber wall, and an outer and an inner electrode. Auxiliary components such as a power supply, fuel input rod, and cooling systems may also be present. In general, structural integrity and tolerance to high temperatures will be important criteria in selecting materials of construction. In the case of the electrodes, high conductivity will also be a critical factor. As a result, metals are likely to be ideal for some or all of the components. However, alternatives such as composites, ceramics, or plastics may also be useful in some cases. The design of the Alpha Unit is not specific to any one set of materials. Elimination of Components The design of the Alpha Unit described above includes an inner and outer electrode to conduct a plasma current, as well as a superconducting magnet to create an axial magnetic field. However, it is possible to eliminate one or more of these components by using a current drive. For example, rotation could be induced by creating an AC magnetic field with a rotating current, causing ions to rotate via resonant coupling, and eliminating the need for a magnet and inner electrode. Geometry and Scale The embodiments above envision the Alpha Unit as a cylinder. While this may well be an optimal design, the Alpha Unit could also be operated with other geometries, such as an oval cross-section, or a torus, so long as particles are able to rotate around the device. Since fusion reactions happen on a nuclear level (˜10-15 m), there is almost no fundamental limit to the scale (large and small) at which an Alpha Unit could be implemented. For example, an Alpha Unit might be applied on a nano-level, such that it could be used to provide power to electronic circuitry, or for other purposes; or implemented on a very large scale where it could, for example, satisfy the electricity requirements of entire cities, regions or countries using one or more Alpha Units. Changes in scale could be achieved by increasing or decreasing the length of the Alpha Unit, increasing or decreasing its diameter, doing both, or (in the case of scaling up) by using multiple modules. Similar adjustments could be made to versions of the Alpha Unit with non-cylindrical geometries. Energy Extraction Direct Energy Conversion Many fusion reactions produce high-energy charged particles, which can be directly converted to usable electricity using electromagnetic means (e.g., by inducing an electrical current in a nearby wire).). Charged particles from fusion have energy in the MeV range and have low collision frequencies with background medium and therefore undergo motion dictated by the background electric and magnetic fields, even in a normally collisional environment. One notable concept developed by researchers at Lawrence Livermore National Laboratory involves charged particles being selectively removed, guided away from the plasma in which fusion reactions are taking place using a magnetic field, and decelerated by retarding electric fields. The energy given up by the particles during deceleration is converted to an electrical current. Such a concept could be used with the Alpha Unit, either independently or in combination with other direct energy conversion techniques and/or thermal energy conversion techniques. The direct energy conversion could be significantly more efficient at producing electrical energy than the maximum efficiency of a thermal energy conversion technique. Several novel adaptations of the Alpha Unit to create direct energy conversion are proposed herein, and are listed and described below. Charged particles (for example, doubly-charged He4 (α particles) move axially, as a result of their high energy, in addition to high-speed azimuthal rotation induced by the magnetic field and plasma current in the Alpha Unit. Charged particles created as a product of fusion reactions have much higher energy than other charged particles or neutrals which are not produced by fusion reactions. Thus, these high-energy charged particles (such as a particles in the case of the p-B11 reaction) move axially at much higher average speeds than other particles in the Alpha Unit. This axial movement of charged particles may be directly converted to electricity, for example by creating an electric field opposing the flow of charges outward from the electrodes. Additionally, the kinetic energy of charged particles rotating azimuthally can be captured by similar means. For example, the batteries or electric fields referred to above can be used to create an electric field opposing the rotation of charged particles. These batteries could be placed about the section of the Alpha Unit containing the electrodes and/or about the sections without the electrodes. This could be done separately from, or in conjunction with, the system described above. To optimize direct energy conversion, it is desirable to control the path of the charged fusion products (e.g., alpha particles). One way to do this is to overlay the cyclotron frequency of the alpha particles on top of a DC voltage created on the inner electrode, generating an electromagnetic wave at the cyclotron frequency. By tuning the phase of this electromagnetic wave at the cyclotron frequency, it is possible to adjust the paths of the charged fusion products such that they rotate in a controlled fashion, allowing direct energy conversion to be optimized. Similarly, resonance with the intrinsic nuclear spin of the fuel or product nuclei (for example, hydrogen, boron, and helium in the case of the p-B11 reaction) may be used to increase or decrease the number of fusion reactions or control the paths of the particles in such a way as to increase the efficiency of energy recovery. The radius of the chamber to either side of the electrodes may be kept the same as in the section containing the electrodes, or it may be larger or smaller. For example, the radius of the chamber might be increased in the direction axially away from the section containing the electrodes, and the resonant frequency of fusion products (for example, alpha particles in the case of the p-B11 reaction) could be used to excite them to rotate in increasingly large orbits as they move axially away from the electrodes. This could result in enhanced efficiency and efficacy of the direct energy conversion. In any direct energy conversion scheme, it is likely to be desirable to minimize the density of neutrals near charged fusion products (for example, in the case of the p-B11 reaction, minimizing the density of neutrals near the charged alpha particles) to reduce the transfer of charged particle energy to neutrals (since the reduced charged particle energy will reduce the energy available for recovery at higher efficiencies by means of direct energy conversion rather than at lower efficiency with a thermal process). However, it is desirable to increase the density of neutrals near charged fuel particles (for example, hydrogen/protons in the case of the p-B11 reaction) so as to induce the reaction in the first place. Several configurations, listed below, may be used to optimize this situation, either independently or in combination with one another. Fuel (for example, hydrogen) can be introduced directly into the annular space between the two electrodes in controlled amounts during operation. Much of this fuel will be consumed before it escapes the section of the Alpha Unit containing the electrodes, or is able to enter the annular space between the outer electrode and the chamber wall. Charged fusion products (e.g., alpha particles) which enter these portions of the Alpha Unit will thus encounter few fuel particles (the vast majority of which are neutral). Fuel (for example, hydrogen) can be introduced into the Alpha Unit in a short, controlled burst, perhaps injected in the radial direction. A vacuum could be drawn, perhaps from the annular space between the inner and outer electrodes, to remove particles. Because highly charged fusion products (e.g., alpha particles) are more likely to exit this annulus than lower-energy fuel particles, the vacuum would draw out a disproportionately low fraction of fusion products. As a result, the fusion products remaining in the Alpha Unit would encounter few neutrals, allowing for greater direct conversion of energy. A schematic drawing of a potential Alpha Unit configuration, including a chamber of varying radius as described above, is shown in FIG. 7. The drawing assumes the use of a p-B11 reaction, although other reactions could be used. The drawing also includes vacuum pumps and safety valves on either side of the chamber, which could be used to avoid unsafe pressure buildup within the Alpha Unit. Since the proportion of charged fusion products relative to neutrals within the annular space between the two electrodes is likely to be different from that proportion in other spaces within the Alpha Unit, the dimension of the inner electrode, outer electrode, and chamber wall may be modified to change the volumes of these spaces relative to one another and reduce the incidence of charged fusion products colliding with neutrals. Control systems and outer annular space geometry may be optimized to facilitate gas evacuation so as to minimize charged particle collisions with neutral particles thereby minimize otherwise avoidable energy transfer. Thermal Energy Conversion The energy produced during fusion reactions which is not captured using direct energy conversion will become thermal energy. Capture of this thermal energy can be independent from, or performed in combination with, direct energy conversion. Thermal energy capture is a common practice in commercial applications (for example, fossil fuel-fired power plants), and it could be done on the Alpha Unit in much the same way. A working fluid (e.g., water, helium, sodium) could be passed through thermal coils, thermal jackets, or other heat transfer devices located within or around the Alpha Unit to absorb thermal energy. The hot working fluid passed out of the Alpha Unit could then be used with any number of devices to convert its thermal energy into mechanical motion directly or by means of a secondary loop. The mechanical motion of these devices could be used directly (e.g., to turn a wheel), or indirectly (e.g., to turn a conventional generator to produce electricity). These devices include, but are not limited to, the following: Steam turbine Stirling engine (either to drive a separate electric generator or to have the piston in the Stirling engine fashioned as a magnet so as to create electricity from the motion of the magnet) Free piston engine Thermocouple A single device listed above could be used, or one or more devices could be used in combination with each other. One or more devices could also be used for secondary, tertiary, etc. thermal energy recovery using waste heat from other devices. Alternatively, the thermal energy could be used directly to supply heat for industrial processes, for space heating in buildings or for water desalination. An Alpha Unit could also be used in combination with a separate heat transfer device to provide auxiliary heat. For example, thermal energy from the Alpha Unit could be added to the combustor or inlet section of a combustion turbine, either by placing the Alpha Unit within such section or by transferring the heat using a working fluid. Similarly, the Alpha Unit could be used as an auxiliary heat source for a conventional thermal power plant, either to pre-heat steam or another working fluid passed into the boiler, or by adding the heat directly to the boiler. Fuel Supply Fusion fuel can be supplied to the Alpha Unit using purchased materials (for example, in the case of the p-B11 reaction, using pressurized hydrogen gas cylinders and solid pieces of boron compound, amongst other options). Alternatively, it may be possible to integrate one or more devices to provide fuel. For example: Hydrogen for the p-B11 reaction could be supplied with an electrolysis system or a thermal dissociation system integrated with an Alpha Unit and powered by the Alpha Unit, or by a smaller, auxiliary Alpha Unit, or by a separate source of electricity. Hydrogen for the p-B11 reaction could be supplied using an integrated spin system (as described in U.S. Pat. No. 8,298,318 and US Patent Publication No. 2013/0047783, both incorporated herein by reference in their entireties) whereby water, or another compound containing hydrogen, would be rotated at a rate sufficient to separate the hydrogen from the other elements in the compound. A schematic diagram illustrating this concept is shown in FIG. 8. As shown, a supply of water is applied to the electromagnetic spin system (EMSS—described in detail in the '318 and '783 documents), which produces a supply of hydrogen. The hydrogen is supplied to an Alpha Unit, together with Boron, which are used in a fusion reaction to generate electricity. Part of the electricity produced is used to operate the EMSS. Hydrogen for the p-B11 reaction could also be supplied by using compounds such as sodium borohydride, which produces hydrogen when mixed with water. By creating the hydrogen by means of a system ancillary to the Alpha Unit, the fueling of the Alpha Unit will not be dependent upon a hydrogen fuel tank nor upon the development of hydrogen fueling infrastructure. Similar techniques could be used to integrate production of non-hydrogen fusion fuels with the Alpha Unit, eliminating the need to develop specialized fueling infrastructures for those compounds as well.Positive Feedback Mechanisms Space Charge Effect Results of operating the Alpha Unit with the p-B11 reaction suggest that operation of the device is enhanced by a space charge effect. Many boron compounds (as well as materials which do not contain boron) will emit electrons when heated. The intense centrifugal force present within the device causes these electrons to form a “cloud” near the wall of the outer electrode. This electron cloud—a space charge—attracts ions, which in the operation of the Alpha Units have included both boron and hydrogen ions. As a result, the boron and hydrogen ions are drawn into close contact in this “negative potential well.” The close contact of the nuclei in this well increases the probability of quantum tunneling, effectively reducing the Coulomb barrier and intensifying the rate of fusion reactions. The thermal energy generated by these fusion reactions further heats the boron compound, causing it to emit more electrons and further increasing the rate of reactions. Ionization of Fuel Particles In addition to the space charge effect, operation of the Alpha Unit with the p-B11 reaction has also revealed a phenomenon by which production of fusion products enhances the operation of the device. For example, when alpha particles are produced by p-boron fusion events, they tend to ionize hydrogen atoms. The greater ion density near the outer wall of the annulus of the Alpha Unit decreases the resistivity of the gaseous mixture, increasing the magnitude of the plasma current without consuming additional energy to increase the voltage of the inner electrode. The larger plasma current, in turn, increases the Lorentz force in the device, increasing rotational speeds and leading to more fusion events. Positive Feedback Together, the space charge effect and ionization of fuel particles create a positive feedback to enhance the operation of the Alpha Unit. When, in the case of the p-B11 reaction, a boron compound is heated, it releases electrons that form a space charge near the outer electrode. The negative potential well created by this space charge brings boron and hydrogen into close contact, increasing the incidence of quantum tunneling, effectively lowering the Coulomb barrier, and increasing the rate of fusion reactions. The charged particles created by the reactions (e.g., alpha particles in the case of p-B11) ionize fuel atoms (e.g., hydrogen in the case of p-B11), reducing resistivity, increasing the plasma current and Lorentz force, and further increasing the rate of fusion reactions without an increase in energy input. The increased rate of fusion reactions, in turn, magnifies the space charge effect and fuel particle ionization, which leads to further fusion. Enhancements to Encourage Positive Feedback Since the positive feedback mechanisms help to drive performance of the Alpha Unit, enhancing the feedback is likely to be desirable. While some of the boron compounds we have used (e.g., boron nitride, lanthanum hexaboride) are good electron emitters, even better electron emitters exist, and these compounds could be used to increase the space charge effect. Excellent electron emitters, including but not limited to graphene, could be chemically combined with the fuel target (e.g., boron nitride), or could be fabricated as a composite with the fuel target (i.e., the fuel and electron emitter are physically but not chemically bonded). Additionally, this material (fuel target, with or without addition of electron emitter) could be adhered to the wall of the outer electrode (as in our past operation), or the outer electrode could itself be fabricated out of the material (such that the electrode would be gradually consumed by the fusion reactions). In alternate configurations of the device, the inner electrode, chamber wall, or other components of the Alpha Unit could be composed of consumable fusion fuel, or a composite or compound containing fusion fuel and other materials. Similarly, the design of the Alpha Unit could be optimized (e.g., by the choice of fuel compound, placement of the fuel, geometrical design of the electrodes and chamber) to enhance fuel particle ionization, further contributing to positive feedback. Reaction Product Separation/Removal In many cases, the materials created as a result of a fusion reaction will have no use once their energy has been removed to the extent desired through direct and/or thermal energy conversion, and may, in fact, inhibit the operation of the device. For example, in the p-B11 reaction, helium created by the reaction may not be intended for any additional reactions, and its presence may reduce the number of p-boron reactions taking place. As a result, it may be desirable to selectively remove fusion products from the Alpha Unit to maintain high partial pressures of the reactants. Such removal could take many forms, and could depend upon the particular reaction being used in the Alpha Unit. For example, commercial hydrogen filters exist which are selectively permeable to hydrogen but not larger nuclei. Such a filter could be applied within the Alpha Unit to create differing proportions of fusion products to non-fusion products on either side of the filter, allowing the fusion product-rich stream to be removed from the device. Such a filter might also be useful in enhancing direct energy conversion (since the presence of neutrals vs. charged fusion products degrades conversion efficiency), and/or could be used to recirculate fuel-rich mixtures to the electrode section of the Alpha Unit for consumption. Similar filters designed to be selectively permeable to different atoms or molecules could be used for operation of the Alpha Unit with both the p-B11 reaction and in other fusion reactions. Multiple filters designed for one or more atoms/molecules could also be used in combination with one another. Additionally, in many reactions the fusion products (such as helium in the case of the p-B11 reaction) will be some of the lightest atoms in the system, particularly once many reactions have occurred (e.g., when much of the hydrogen has been consumed in the p-B11 reaction). As a result, these fusion products will tend to concentrate near the inner electrode, where they can be easily removed. Alternatively, in reactions where the fusion products tend to be amongst the heaviest atoms in the system, they will tend to concentrate near the outer electrode, and they can be easily removed from this site as well. In either case, the separation efficiency of the Alpha Unit will assist in removing a high proportion of the fusion products without removing a high proportion of the fusion fuel. Monitoring and Control Systems Effective operation of the Alpha Unit will require the ability to monitor and control the device. Many different techniques may be used, including: MRI/NMR. For example, proton NMR could be used to measure the movement of hydrogen atoms in 3 dimensions, in real-time, within the device. In cases such as p-B11 which use hydrogen as a fuel, this could be useful to monitor the disappearance of the protons (indicating consumption in fusion reactions), as well as for other purposes. Optical sensors, such as ultra-high speed cameras. For example, during the operation of our Alpha Units, we record p-B11 reactions using an ultra-high speed camera with one or more helium filters, which selectively pass light at helium's spectral frequency. Light intensity in the camera's field of view corresponds to the number of helium nuclei present at a particular point (which correlates to the number of fusion reactions taking place, energy generated, etc.). Heat/temperature sensors, which could be useful for monitoring integrity of materials, rate of energy generation, cooling system performance, etc. Control systems integrated with MRI/NMR, optical sensors, heat/temperature sensors, or other sensors to control operating parameters (e.g., rate of fuel input, rate of fusion product removal, flow of working fluid for thermal energy capture, amplitude and duration of pulses applied to the inner electrode).Applications Electricity Generation The most obvious application of the Alpha Unit is in stationary electricity generation applications, including: New build power plants, either central (utility-scale) or distributed (e.g., building-scale). These plants may be in rural, suburban, or urban settings on land, or may be applied in sub-sea environments. In distributed generation applications, a building relying on electricity from one or more Alpha Units might choose to avoid connecting to the power grid, since the Alpha Units would be capable of satisfying 100% of the building's electricity need. Repowering of existing nuclear, coal-fired, gas-fired, and other conventional power plants. In this case, the switchyard, transmission interconnection, generators, and other components of the existing power plant might continue to be used, with only the boiler being removed and replaced with one or more Alpha Units. Because of its flexible size and relatively simple construction, the Alpha Unit could also be used to generate electricity in non-stationary settings. For example: Mobile electronic devices (e.g., cell phones, laptop computers, tablets) Transportation devices/vehicles (cars, buses, trains, planes, lighter-than-air aircraft, helicopters, ships, submarines, satellites, spacecraft, space stations, etc.) As a replacement for pumps (e.g., self-propelled pigs for pipelines) Propelling Device The Alpha Unit is primarily contemplated as a closed device whereby energy generated by fusion reactions is extracted from the Alpha Unit using either direct energy conversion or thermal energy conversion. Alternatively, an Alpha Unit could be used as a device to propel an object attached to the Alpha Unit (e.g., a vehicle, either on Earth or in space) by directing a flow of particles out of the Alpha Unit. The high velocities of particles within the Alpha Unit would result in a large reactive force when those particles are directed outward, propelling the Alpha Unit and the object to which it is attached at a high rate of speed. |
|
summary | ||
050857096 | summary | CROSS REFERENCE TO RELATED APPLICATIONS This application is related to the following co-pending patent applications: ______________________________________ Ser. No. Filing Date ______________________________________ 07/332,146 3 April 1989 07/369,897 22 June 1989 07/431,114 3 November 1989 07/484,970 26 February 1990 (Mobil Case 5710S) ______________________________________ These prior applications are directed to the use of various chemical compositions for the removal of alkaline earth scale deposits from oilfield equipment and reference is made to these applications in this application for a description of these compositions. This application is also related to Ser. No. 07/484,970, 26, Feb. 1990, which relates to the removal of precipitated mineral scale species from the earth layers surrounding production equipment. BACKGROUND OF THE INVENTION Natural gas is a major and attractive energy source in the United States and other countries which is distributed on a wide scale by means of pipelines to the ultimate users. The composition of natural gas at the wellhead varies widely from field to field and many components may need to be removed by processing before delivery to the pipeline, as noted in Encyclopedia of Chemical Technology, Kirk-Othmer, Third Edition, Vol. 1, pp. 630-652, John Wiley & Sons, New York, 1978. Condensate must be removed from wet gas, both to eliminate the possibility of condensation in the pipeline itself and to separate these components as another marketable product. Water vapor must also be removed to preclude the formation of gas hydrate and hydrogen sulfide is removed because of its poisonous and corrosive characteristics. Other components such as carbon dioxide and helium may also require removal if they are present. A number of different processing techniques have been developed for the removal of specific contaminants in the gas and depending upon the composition of the wellhead gas, one or more of the specific processing techniques may be employed at any given gas production site. Water, for example, is generally removed either by absorption in a hydroscopic liquid or adsorption on an activated solid dessicant. Acid gases such as carbon dioxide, hydrogen sulfide and other sulfur compounds may be removed by processes such as the Sulfinol and Selexol processes or by alkali scrubbing, normally using an organic scrubbing agent such as monoethanolamine, diethanolamine or triethanolamine. Natural gas liquids may be recovered by oil absorption or, more recently, by the cryogenic turboexpander process. Regardless of the specific processing sequence used to condition the gas for pipelining, it is normally passed through a number of items of process equipement prior to removal of the water which generally is present in all wellhead gas. Conventionally, the gas will pass through filters to remove entrained solid contaminants as well as compressors and other items of process equipment employed in the purification train. One problem which is encountered with these items of equipment which precede the water removal is that mineral species and other contaminants from the producing formations may be dissolved in or entrained with the water and may contaminate the equipment with which it comes into contact. Among the contaminants of this kind are dissolved salts including, especially, mineral species of low solubility which may deposit as scale on the filters and other surface equipment. Among the minerals of this kind which cause a particular problem are the sulfates of the alkaline earth metal, including, in particular, calcium, strontium and barium. As these mineral species precipitate on the surfaces of the water-carrying or water-containing systems, they form adherent deposits or scale. Scale may prevent effective heat transfer, interfere with fluid flow, facilitate corrosive processes, or harbor bacteria. Scale is an expensive problem in many industrial water systems, in production systems for oil and gas, in pulp and paper mill systems, and in other systems, causing delays and shutdowns for cleaning and removal. The scale deposits formed from the sulfates of the alkaline earth metals including barium and strontium present a unique and particularly intractable problem. Under most conditions, these sulfates are considerably less soluble in all solvents than any of the other commonly encountered scale-form ng compounds, as shown by the comparative solubilities given in Table 1 below. TABLE 1 ______________________________________ Comparative Solubilities, 25.degree. C. in Water. Scale Solubility, mg./l. ______________________________________ Gypsum 2080.0 Strontium sulfate 140.0 Calcium Carbonate 14.0 Barium sulfate 2.3 ______________________________________ Barium sulfate scale is extremely difficult to remove chemically, especially within reasonably short periods of time: the solvents which have been found to work generally take a long time to reach an equilibrium concentration of dissolved barium sulfate, which itself is usually of a relatively low order. Consequently, barium sulfate must be removed mechanically or the equipment, e.g. pipes, etc., containing the deposit must be discarded. Another problem associated with the formation of barium and strontium sulfate scales is that radium, another member of the alkaline earth group of metals, tends to be deposited at the same time in the form of an insoluble sulfate so that the equipment becomes radioactive, and may eventually become unusable for safety reasons alone. The principal isotopes of radium which have been identified in these scale deposits are radium-226 and radium-228, with half-lives of 1600 years and about 5.8 years, respectively, so that there is a considerable potential hazard if they are allowed to remain on the equipment. Radioisotopes of metals such as thallium and thorium e.g. thallium-208, thorium-232 and other radioactive isotopes--frequently formed by the decay of uranium--may also be deposited with the radium with the same result. This problem is encountered with gas processing equipment where the filters and dehydration media, including screens, sorbent beds and media e.g. silica, charcoal or alumina may become quite radioactive with extended use. Since these radioactive elements are embedded in the scale which itself can be removed only with difficulty, the decontamination of the equipment and material is a substantial problem. SUMMARY OF THE INVENTION We have now devised a method for the decontamination of gas handling equipment and media such as pipes, tubing, pumps, sorption media and vessels, which is effective and convenient for the removal of radioactive scales and deposited mineral species. According to the present invention, deposits of insoluble, radioactive sulfate scale are removed from natural gas processing equipment by the use of a chemical composition which includes a chelant (chelating agent) in combination with a catalyst or synergist which increases the solubility of the alkaline earth metal sulfates in aqueous solution. The preferred catalyst or synergist is the oxalate anion as described in Ser. No. 07/369,897, but other synergists may also be used including the monocarboxylate acid synergists as described in Ser. No. 07/431,114 and the thiosulfate or nitriloacetic acid synergists disclosed in Ser. No. 07/484,970, 26 Feb. 1990. |
043269222 | claims | 1. A composite nuclear fuel assembly adapted for use with a thermal nuclear reactor having a liquid coolant flowing upwardly through a core including said assemblies in a vertical orientation, said assembly comprising: a plurality of elongated coextending cylindrical upper nuclear fuel rods having fissionable nuclear fuel encased in a sealed cladding, said upper rods arranged in a closely spaced array; a plurality of upper lattice structures disposed about said array of upper rods spaced at preselected elevations along said upper rods for laterally supporting said rods in individual cells, each said cell laterally enclosing a corresponding one of said upper rods; a plurality of elongated cylindrical coextending lower nuclear fuel rods having fissionable nuclear fuel encased in a sealed cladding, said lower rods being arranged in a closely spaced array, the top of said lower rods being spaced a preselected distance below the bottom of said upper rods and each being of larger diameter than said upper fuel rods, the number of said lower rods in said assembly being smaller than the number of upper rods in said assembly; and a plurality of lower lattice structures disposed about said array of lower rods spaced at preselected elevations along said lower rods for laterally supporting said lower rods in individual cells, each said cell laterally enclosing a corresponding one of said upper rods the lateral periphery of said lower lattice structures being sized substantially similar to the lateral periphery of said upper lattice structures; said upper and lower rods being the only fuel bearing rods in said assembly. a plurality of elongated coextending cylindrical upper nuclear fuel rods having fissionable nuclear fuel encased in a sealed cladding, said fissionable fuel consisting essentially of uranium, said upper rods arranged in a closely spaced array; a plurality of upper lattice structures disposed about said array of upper rods spaced at preselected elevations along said upper rods for laterally supporting said rods in individual cells, each said cell laterally enclosing a corresponding one of said upper rods; a plurality of elongated coextending cylindrical lower nuclear fuel rods having fissionable nuclear fuel encased in a sealed cladding, said fissionable fuel consisting essentially of plutonium, said lower rods being arranged in a closely spaced array, the top of said lower rods being spaced a preselected distance below the bottom of said upper rods and each being of larger diameter than said upper fuel rods, said preselected distance being less than about two percent of the sum of the lengths of an upper rod and a lower rod, the number of said lower rods in said assembly being smaller than the number of upper rods in said assembly; and a plurality of lower lattice structures disposed about said array of lower rods spaced at preselected elevations along said lower rods for laterally supporting said lower rods in individual cells, each said cell laterally enclosing a corresponding one of said lower rods, the lateral periphery of said lower lattice structures being sized substantially similar to the lateral periphery of said upper lattice structures; said upper and lower rods being the only fuel bearing rods in said assembly. a plurality of elongated coextending cylindrical upper nuclear fuel rods having fissionable nuclear fuel encased in a sealed cladding, said upper rods arranged in a closely spaced array, each said upper rod having an inert ceramic spacer disposed within said cladding at the bottom of each said upper rod; a plurality of upper lattice structures disposed about said array of upper rods spaced at preselected elevations along said upper rods for laterally supporting said rods in individual cells, each said cell laterally enclosing a corresponding one of said upper rods; a plurality of elongated coextending cylindrical lower nuclear fuel rods having fissionable nuclear fuel encased in a sealed cladding, said lower rods being arranged in a closely spaced array, the top of said lower rods being spaced a preselected distance below the bottom of said upper rods and each being of larger diameter than said upper fuel rods, the number of said lower rods in said assembly being smaller than the number of upper rods in said assembly, each said lower rod having an inert ceramic spacer disposed within said cladding at the top of each said lower rod; a plurality of lower lattice structures disposed about said array of lower rods spaced at preselected elevations along said lower rods for laterally supporting said lower rods in individual cells, each said cell laterally enclosing a corresponding one of said lower rods, the lateral periphery of said lower lattice structures being sized substantially similar to the lateral periphery of said upper lattice structures; said upper and lower rods being the only fuel bearing rods in said assembly. a plurality of elongated coextending cylindrical upper nuclear fuel rods having fissionable nuclear fuel encased in a sealed cladding, said rods arranged in a rectangular array and each having a plenum at its upper portion for containing fission gases; a plurality of rectangular upper lattice structures disposed about said array of upper rods spaced at preselected elevations along said upper rods for laterally supporting said rods in individual cells, each said cell laterally enclosing a corresponding one of said upper rods; a plurality of elongated coextending cylindrical lower nuclear fuel rods having fissionable nuclear fuel encased in a sealed cladding, each said lower rod having a plenum at its lower portion for containing fission gases, said rods being arranged in a rectangular array, the top of said lower rods being spaced a preselected distance below the bottom of said upper rods and each being of larger diameter than said upper rods, the number of said lower rods in said assembly being smaller than the number of upper rods in said assembly; and a plurality of rectangular lower lattice structures disposed about said array of lower rods spaced at preselected elevations along said lower rods for laterally supporting said lower rods in individual cells, each said cell laterally enclosing a corresponding one of said lower rods, the lateral periphery of said lower lattice structures being sized substantially similar to the lateral periphery of said upper lattice structures. a plurality of elongated coextending cylindrical upper nuclear fuel rods having fissionable nuclear fuel encased in a sealed cladding, said upper rods arranged in a closely spaced array; a plurality of upper lattice structures disposed about said array of upper rods spaced at preselected elevations along said upper rods for laterally supporting said rods in individual cells, each said cell laterally enclosing a corresponding one of said upper rods; a plurality of elongated coextending cylindrical lower nuclear fuel rods having fissionable nuclear fuel encased in a sealed cladding, said lower rods being arranged in a closely spaced array, the top of said lower rods being spaced a preselected distance below the bottom of said upper rods and each being of larger diameter than said upper fuel rods, the number of said lower rods in said assembly being smaller than the number of upper rods in said assembly; and a plurality of lower lattice structures disposed about said array of lower rods spaced at preselected elevations along said lower rods for laterally supporting said lower rods in individual cells, each said cell laterally enclosing a corresponding one of said lower rods, the lateral periphery of said lower lattice structures being sized substantially similar to the lateral periphery of said upper lattice structures; said upper and lower rods being the only fuel bearing rods in said assembly; said upper and lower lattice structures being affixed to a vertical guide thimble disposed among said upper and lower rod arrays by aligned tubular sleeves affixed within said lattice structures, said sleeves affixed to said upper lattice structures having a larger outside diameter than said sleeves affixed to said lower lattice structures, and said upper and lower sleeves having the same inside diameter, said thimble passing through and being affixed to said sleeves. a plurality of elongated coextending cylindrical upper nuclear fuel rods having fissionable nuclear fuel encased in a sealed cladding, said upper rods arranged in a closely spaced array; a plurality of upper lattice structures disposed about said array of upper rods spaced at preselected elevations along said upper rods for laterally supporting said rods in individual cells, each said cell laterally enclosing a corresponding one of said upper rods; a plurality of elongated coextending cylindrical lower nuclear fuel rods having fissionable nuclear fuel encased in a sealed cladding, said lower rods being arranged in a closely spaced array, the top of said lower rods being spaced a preselected distance below the bottom of said upper rods and each being of larger diameter than said upper fuel rods, the number of said lower rods in said assembly being smaller than the number of upper rods in said assembly; and a plurality of lower lattice structures disposed about said array of lower rods spaced at preselected elevations along said lower rods for laterally supporting said lower rods in individual cells, each said cell laterally enclosing a corresponding one of said lower rods, the lateral periphery of said lower lattice structures being sized substantially similar to the lateral periphery of said upper lattice structures; said upper and lower rods being the only fuel bearing rods in said assembly; said upper and lower lattice structures being affixed to a vertical tubular guide thimble disposed among said upper and lower rod arrays, said thimble having a larger outer diameter among said upper fuel than among said lower fuel rods. a plurality of elongated coextending cylindrical upper nuclear fuel rods having fissinable uranium fuel encased in a sealed cladding, said rods arranged in a rectangular array and each having a plenum at its upper portion for containing fission gases; a plurality of rectangular upper lattice structures about said array of upper rods spaced at preselcted elevations along said upper rods for laterally supporting said rods in individual cells, each said cell laterally enclosing a corresponding one of said upper rods; a plurality of elongated coextending cylindrical lower nuclear fuel rods having fissionable plutonium fuel encased in a sealed cladding, said rods being arranged in a rectangular array, the top of said lower rods being spaced below the bottom of said upper rods a distance less than about two percent of the sum of the lengths of an upper and lower fuel rod, each said lower rod being of larger diameter than said upper fuel rods, the number of said lower rods in said assembly being smaller than the number of upper rods in said assembly, each said lower fuel rod having a plenum at its lower portion; a plurality of rectangular lower lattice structures about said array of lower rods spaced at preselected elevations along said lower rods for laterally supporting said lower rods in individual cells, each said cell laterally enclosing a corresponding one of said lower rods, the lateral periphery of said lower lattice structures being sized substantially similar to the lateral periphery of said upper lattice structures; and a plurality of tubular thimbles affixed to each said upper and lower lattice structures by concentric tubular sleeves, the sleeves associated with said upper lattice structures being aligned with and having a larger outer diameter than the sleeves associated with said lower lattice structures. 2. A composite nuclear fuel assembly adapted for use with a thermal nuclear reactor having a liquid coolant flowing upwardly through a core including said assemblies in a vertical orientation, said assembly comprising: 3. A composite nuclear fuel assembly adapted for use with a thermal nuclear reactor having a liquid coolant flowing upwardly through a core including said assemblies in a vertical orientation, said assembly comprising: 4. A core for a thermal nuclear reactor having a liquid coolant flowing upwardly through a plurality of fuel assemblies in a vertical orientation, at least one of said assemblies comprising: 5. A composite nuclear fuel assembly adapted for use with a thermal nuclear reactor having a liquid coolant flowing upwardly through a core including said assemblies in a vertical orientation, said assembly comprising: 6. A composite nuclear fuel assembly adapted for use with a thermal nuclear reactor having a liquid coolant flowing upwardly through a core including said assemblies in a vertical orientation, said assembly comprising: 7. A composite nuclear fuel assembly adapted for use with a thermal nuclear reactor having a liquid coolant flowing upwardly through a core including a plurality of said assemblies in a vertical orientation, each said assembly comprising: |
043361030 | abstract | A method of repairing partly burnt-off fuel elements in a fuel-element pit of a pressurized-water reactor which includes placing a fuel element previously detected as being defective into a holding cage in substantially upright position with the aid of remotely controlled tools, and tipping it over through 180.degree.; removing the then upwardly disposed fuel-element foot from the fuel element so as to expose the ends of the fuel rods received in the fuel element; inspecting the fuel rods, the ends of which are then freely accessible for defects; removing with a fuel-rod exchanging tool those fuel rods at least which are determined to be defective and depositing them in a storage container; and raising replacement rods with the fuel-rod exchanging tool and inserting them into the fuel element without bending stress in exchange for the removed fuel rods. |
048266477 | description | DESCRIPTION OF THE PREFERRED EMBODIMENT In the operation of a commercial pressurized water reactor it is desirable to be able to prolong the life of the reactor core to better utilize the uranium fuel and to be able to effectively change the reactor core power output in response to load follow requirements. The invention described herein provides a means to control a spectral shift reactor during load follow. Referring to FIG. 1, the nuclear reactor is referred to generally as 20 and comprises a reactor vessel 22 with a removable closure head 24 attached to the top end thereof. An inlet nozzle 26 and an outlet nozzle 28 are connected to reactor vessel 22 to allow a coolant such as water to circulate through reactor vessel 22. A core plate 30 is disposed in the lower portion of reactor vessel 22 and serves to support fuel assemblies 32. Fuel assemblies 32 are arranged in reactor vessel 22 and comprise reactor core 34. As is well understood in the art, fuel assemblies 32 generate heat by nuclear fissioning of the uranium therein. The reactor coolant flowing through reactor vessel 22 in heat transfer relationship with fuel assemblies 32 transfers the heat from fuel assemblies 32 to electrical generating equipment located remote from nuclear reactor 20. A plurality of control rod drive mechanisms 36 which may be chosen from those well known in the art are disposed on closure head 24 for inserting or withdrawing control rods (not shown) from fuel assemblies 32. In addition, a plurality of displacer rod drive mechanisms 38 are also disposed on closure head 24 for inserting or withdrawing displacer rods 40 from fuel assemblies 32. Displacer rod drive mechanism 38 may be similar to the one described in copending U.S. patent application Ser. No. 217,055, filed herewith in the name of L. Veronesi et al. entitled "Hydraulic Drive Mechanism" and assigned to the Westinghouse Electric Corporation, now U.S. Pat. No. 4,550,941, dated Nov. 5, 1985. For purposes of clarity, only a selected number of displacer rods 40 are shown in FIG. 1. However, it should be understood, that the number of displacer rods 40 are chosen to correspond to the number of displacer rod guide tubes in fuel assemblies 32. A plurality of displacer rod guide structures 42 are located in the upper section of reactor vessel 22 with each being in alignment with a displacer rod drive mechanism 38 for guiding the movement of displacer rods 40 through the upper section of reactor vessel 22. A calandria 44 may be arranged between fuel assemblies 34 and displacer rod guide structures 42 and comprises a multiplicity of hollow stainless steel tubes arranged in colinear alignment with each displacer rod and control rod for providing guidance of the displacer rods and control rods through the calandria area and for minimizing flow induced vibrations in the displacer rods and control rods. Referring now to FIGS. 2-4, fueld assemblies 32 comprise fuel elements 48, grids 50, bottom nozzle 52, top nozzle 54, and guide tubes 56. Fuel elements 48 may be elongated cylindrical metallic tubes containing nuclear fuel pellets and having both ends sealed by end plugs. Fuel elements 48 may be arranged in a substantially 20.times.20 rectangular array and are held in place by grids 50. Guide tubes 56 which may number 25 are arranged in a generally 5.times.5 array within each fuel assembly 32. Each guide tube 56 occupies the space of about four fuel elements 48 and extend from bottom nozzle 52 to top nozzle 54 and provide a means to support grids 50, top nozzle 54 and bottom nozzle 52. Guide tubes 56 may be hollow cylindrical metallic tubes manufactured from Zircaloy and capable of accommodating rods such as displacer rods 40 or control rods. Displacer rods 40 and control rods are manufactured to be approximately the same size so that each guide tube 56 can equally accommodate either a displacer rod or a control rod. When not occupied by a rod, guide tubes 56 are filled with reactor coolant; however, when displacer rods 40 are inserted in guide tubes 56 displacer rods 40 displace the coolant therein. Grids 50 are positioned at various locations along the length of fuel assembly 32 and serve to space fuel elements 48 and guide tubes 56 at appropriate distances from each other and to allow the reactor coolant to circulate in heat transfer relationship with fuel elements 48. A more detailed description of a similar grid may be found in U.S. Pat. Nos. 3,379,617 and 3,379,619, both issued in the name of H. N. Andrews et al. As can be seen in FIG. 4, displacer rods 40 are elongated cylindrical substantially hollow rods which can be manufactured out of Zircaloy and may be of the type described in copending U.S. patent application Ser. No. 217,052 entitled "Displacer Rod For Use In A Mechanical Spectral Shift Reactor" filed herewith in the name of R. K. Gjertsen et al. and assigned to the Westinghouse Electric Corporation, now U.S. Pat. No. 4,432,934 dated Feb. 21, 1984. Displacer rods 40 may also contain ZrO.sub.2 and Al.sub.2 O.sub.3 pellets for weighting the rod and enhancing its lowerability. As described in U.S. Pat. No. 4,432,934 the Zircaloy members 40 are thin-walled and can contain a filling of solid or annular zirconium oxide pellets or aluminum oxide pellets to provide a structural support as well as mass. The construction of displacer rod 40 is such that is provides a low neutron absorbing rod that is capable of displacing reactor coolant-moderator when inseted into a fuel assembly. Displacer rods 40 are arranged so as to be in colinear alignment with guide tube 56 so that displacer rods 40 may be inserted in guide tubes 56 when it is desired. Displacer rods 40 are supported from a common attachment known as a spider 58. Spider 58 comprises a body 60 with struts 62 radially extending from body 60. Displacer rods 40 are individually attached to each strut 62 to form an array corresponding to the array of guide tubes 56 into which displacer rods may be inserted. Spider 58 is attached to drive shaft 64 which is connected to displacer rod drive mechanism 38. Activation of displacer rod drive mechanism 38 causes drive shaft 64 to be either lowered or raised thereby inserting or withdrawing displacer rods 40 from fuel assemblies 32 of core 34. It is important to note that each spider 58 is arranged to be able to insert displacer rods 40 into more than one fuel assembly 32. For example, as shown in FIG. 4, spider 58 is capable of inserting 25 displacer rods in center fuel assembly 32 and 4 displacer rods in each of the adjacent 4 fuel assemblies. In this manner displacer rods 40 can be moved in and out of fuel assemblies 32 without increasing the number of spiders and drive mechanisms. Referring now to FIGS. 5 and 6, displacer rod guide structures 42 comprise a plurality of split tube guides 70 which are designed to allow rods such as displacer rods or control rods to pass therethrough. Displacer rod guide structures 42 are located between calandria 44 and closure head 24 as shown in FIG. 1 and are arranged to correspond to each displacer rod drive mechanism 38. A number of spacers 72 are located at various locations along split tube guides 70 and together with split tube guides 70 serve to guide displacer rods 40 through the upper section of reactor vessel 22. As can be seen in FIG. 6, 8 split tube guides 70 may be provided for guiding displacer rods 40. The "split" in split tube guides 70 along with slots 74 in spacers 72 allow spider 58 to pass therethrough while maintaining alignment of the rods with guide tubes 56 in fuel assemblies 32. A center slot 76 is also provided for accommodating drive shaft 64 so that spider 58 may be moved therethrough. Referring again to FIG. 1, calandria 44 which comprises a multiplicity of tubes provides guidance for the rods such as displacer rods 40 through the calandria area. In general, the tubes in calandria 44 are not split tubes, as are split tube guides 70, so that spider 58 stops its descent when spider 58 nears the top of the tubes in calandria 44. When stopped at the top of calandria 44 all rods extend through the calandria tubes and are fully inserted in fuel assembly 32. While inserted in the calandria tubes, the rods are protected from the flow of reactor coolant thereby minimizing vibrations that would otherwise be induced by the high velocity of the reactor coolant in that area. In the invention as described herein, at least three different types of rods are capable of being inserted into guide tubes 56. For example, displacer rods, control rods, and gray rods may be arranged to be inserted in guide tubes 56. All of the rods are approximately the same size and configuration, but because of the materials with which they are made serve different purposes. Displacer rods 40 which may be either a hollow thick walled tube or may contain a low neutron absorbing material such as ZrO.sub.2 or Al.sub.2 O.sub.3 pellets are used to displace reactor coolant and thereby control reactor moderation. Control rods contain neutron absorbing material as is well understood in the art and serve to control core reactivity in a commonly understood fashion. Gray rods are similar to displacer rods 40 but are made of an intermediate neutron absorbing material such as stainless steel so that their reactivity worth per rod is greater than that of displacer rods 40. Referring now to FIGS. 7-11, the quarter core arrangement of fuel elements 48, displacer rods 40, control rods 80, gray rods 82, and unrodded locations 84 are shown. It is to be understood that the full reactor core configuration can be established by extrapolating the quarter core shown in FIG. 7. Actually, the quarter core shown in FIG. 7 is a mirror image of the eighth core taken along line A--A of FIG. 7. However, the quarter core of FIG. 7 is being shown for clarity. As can be seen in FIG. 10, each fuel assembly 32 comprises an array of fuel elements 48 and an array of guide tubes 56. Generally, control rods 38 and gray rods 82 are used only in the diagonally arranged guide tubes 56 while displacer rods 40 are generally used in all guide tubes 56 of a given fuel assembly. In addition, an instrument tube 88 is provided near the center of each fuel assembly 32 for accommodating data instrumentation. While each fuel assembly 32 is essentially identical to the one shown in FIG. 10, each fuel assembly 32 can produce a different function depending on whether guide tubes 56 are occupied by reactor coolant, displacer rods 40, control rods 80, or gray rods 82. Displacer rods 40 and gray rods 82 are generally chosen to be approximately the same size so as to displace approximately the same volume of water. However, gray rods 82 can be thick walled stainless steel cylindrical rods which gives each individual gray rod a higher reactivity worth than a single displacer rod. The wall thickness of the gray rods may be approximately 0.065 inches. But since the gray rods are usually arranged in clusters of 9 as opposed to clusters of 41 displacer rods, each gray rod cluster has a smaller reactivity worth than a displacer rod clusters. Thus, by proper selection of materials and by proper selection of the number of rods, a balanced reactivity worth can be attained for the gray rods and displacer rods. In addition, since the reactivity worth of a gray rod cluster may be approximately 25% of a displacer rod cluster, various combinations of movements of gray rods clusters and displacer rod clusters can yield numerous reactivity worths throughout the core. Referring now to FIG. 11, a fuel assembly 32 in which no control rods 80 or gray rods 82 are used and in which only displacer rods 40 are used in guide tubes 56 is referred to generally as displacer assembly 90. A fuel assembly 32 in which both displacer rods 40 and control rods 80 are employed (but no gray rods) is referred to as control assembly 92. Similarly, a fuel assembly 32 in which both displacer rods 40 and gray rods 82 are used is called a gray assembly 94. It should be noted that in FIG. 11 fuel elements 48 have been omitted for clarity and that those fuel assemblies are similar to those shown in FIG. 10. Still referring to FIG. 11, each of the control rods 80 and gray rods 82 are attched to a spider (not shown) similar to spider 58 except that the spider for the control rods 80 or gray rods 82 generally only effects one fuel assembly. In this manner, all control rods 80 or gray rods 82 in a given fuel assembly can be raised or lowered by a single drive mechanism. Furthermore, since each displacer rod spider 58 can extend into the adjacent fuel assemblies (as illustrated in the center portion of FIG. 11 and in FIG. 4), the displacer rod spider's 58 movement effects the control on five fuel assemblies and reduces the number of displacer rod drive mechanisms needed. Of course, on the periphery of the quarter core (as shown in FIG. 7) the particular spiders may move less than the usual number of rods because there are no adjacent fuel assemblies or there are unrodded locations 84. Referring again to FIGS. 8 and 9 which comprise FIG. 7, a quarter core arrangement. Each row or partial row is numbered 100-114 and each column or partial column is numbered 116-130 and comprises: ______________________________________ Fuel Assembly ______________________________________ (100,116) quarter displacer assembly (100,118) half control assembly (100,120) half displacer assembly (100,122) half control assembly (100,124) half displacer assembly (100,126) half control assembly (100,128) half displacer assembly (100,130) half gray assembly (102,116) half control assembly (102,118) full displacer assembly (102,120) full gray assembly (102,122) full displacer assembly (102,124) full gray assembly (102,126) full displacer assembly (102,128) full control assembly (102,130) full displacer assembly (104,116) half displacer assembly (104,118) full gray assembly (104,120) full displacer assembly (104,122) full control assembly (104,124) full displacer assembly (104,126) full control assembly (104,128) full displacer assembly (104,130) partial control-unrodded assembly (106,116) half control assembly (106,118) full displacer assembly (106,120) full control assembly (106,122) full displacer assembly (106,124) full control assembly (106,126) full displacer assembly (106,128) full control assembly (106,130) full displacer assembly (108,116) half displacer assembly (108,118) full gray assembly (108,120) full displacer assembly (108,122) full control assembly (108,124) full displacer assembly (108,126) full control assembly (108,128) full displacer assembly (110,116) half control assembly (110,118) full displacer assembly (110,120) full control assembly (110,122) full displacer assembly (110,124) full control assembly (110,126) full displacer assembly (110,128) partial displacer unrodded assembly (112,116) half displacer assembly (112,118) full control assembly (112,120) full displacer assembly (112,122) full control assembly (112,124) full displacer assembly (112,126) partial displacer unrodded assembly (114,116) half gray assembly (114,118) full displacer assembly (114,120) partial control unrodded assembly (114,122) full displacer assembly ______________________________________ As can be seen from the above description of the quarter core, the core configuration based on this concept can be illustrated generally as shown in FIG. 11. Basically, the fuel assembly in the center of the full core as represented by fuel assembly (100,116) in FIG. 7 can be chosen to be either a control assembly 92 or preferably a displacer assembly 90. Once this is chosen, the four fuel assemblies immediately adjacent to the flat sides of the center fuel assembly are chosen to be the other type and the fuel assemblies on the diagonal are chosen to be the same type as the center assembly. This pattern is then continued in an alternating fashion. For example, the center fuel assembly (100,116) in FIG. 7 was chosen to be a displacer assembly 90 so that the fuel assemblies on its adjacent flat sides are chosen to be either control assemblies 92 or gray assemblies 94 while those on the diagonal are chosen to be displacer assemblies 90. This pattern is repeated in alternating fashion until the periphery of the core is reached where the end fuel assemblies may be chosen to be hybrid assemblies based on the nuclear physics of the particular core. Whether a particular assembly is chosen to be a control assembly 92 or a gray assembly 94 is determined by first selecting the number and location of control assemblies needed based on conventional core design. The remainder of the assemblies not chosen to be control assemblies 92 are then used as gray assemblies 94. Thus, substantially the entire core can be arranged on an alternating pattern of displacer assemblies and control or gray assemblies with practically all the fuel assemblies being served by at least one displacer rod spider 58 and with each displacer rod spider 58 serving generally 5 fuel assemblies. Moreover, each fuel assembly is served by at least one drive mechanism for either displacer rods, control rods or gray rods. The illustrated core arrangement provides a means by which the neutron spectrum can be controlled in a "spectral shift" fashion by controlling the moderator volume in the core. This can be accomplished by displacing and replacing the water coolant in the core at appropriate times thereby changing the moderation of the core. In the present invention, displacer rods 40 and gray rods 82 can be used to effect this moderation change. In operation, all displacer rods 40 and gray rods 82 are inserted in core 34 at the beginning of the core life. However, none of the control rods 80 need be inserted at that time. The insertion of displacer rods 40 and gray rod 82 is done by activating the appropriate drive mechanism such as displacer rod drive mechanism 38. When the drive mechanism is activated, displacer rods 40 and gray rods 82 fall into the appropriate guide tubes 56 in fuel assemblies 32. The displacer rods and gray rods will displace their volume of coolant (water) thus reducing the volume of moderator in core 34. The reduction of moderator hardens the neutron spectrum of the core and increases plutonium production. This hardening of the neutron spectrum is generally referred to as "spectral shift". The harder neutron spectrum reduces boron chemical shim requirements, results in a more negative moderator temperature coefficient, and reduces or eliminates burnable poison requirements. As the uranium fuel in the core is depleted over the life of the core, a certain number of displacer rods 40 and/or gray rods 82 may be withdrawn from the core by activating their respective drive mechanisms. The withdrawal of the rods allows more water-moderator into the core region and increases moderation of the core. This, in effect, introduces reactivity worth at a time when fuel depletion is causing a reactivity worth depletion. Thus, the reactivity of the core can be maintained at appropriate levels for a longer time. The withdrawal of the rods can continue at a selective rate (depending on core conditions) until, near the end of core life, all displacer rods 40 have been withdrawn from the core. In addition to the use of displacer rods 40 and gray rods 82 for the purpose of "spectral shifts", these rods can also be used for load follow purposes. For example, when the concentration of boron in the reactor coolant falls below approximately 100 ppm the capability of a boron bleed-and-feed operation to compensate for the xenon transient during load follow may not be practical. However, by withdrawing or inserting selected displacer rods 40 or gray rods 82, a proper reactivity change can be made to compensate for the xenon transient. Moreover, such a maneuver can be performed to adjust overall power requirements or to adjust radial power distributions. Since gray rods 82 have a different reactivity worth than displacer rods 40 and since gray rods 82 and displacer rods 40 are located in different core locations, proper selection and movement of the rods can accomplish delicate reactor control. Calculations of the reactivity worth of a 41-rod displacer rod cluster indicates that such a cluster may have a reactivity worth of approximately 75 pcm. That is, core reactivity is expected to increase by about 75 pcm when a single 41-rod displacer rod cluster is moved from fully inserted to fully withdrawn when fuel burnup is about 11,000 MWD/MTU. At the same time, the moderator temperature coefficient of reactivity is predicted to be about -35 pcm/.degree.F. Hence, withdrawal of a single 41-rod displacer rod cluster, with no associated change in control rod position or power level, will result in a reactor coolant average temperature increase of about 2.degree. F. with the temperature change lagging behind displacer rod movement by about 10-20 seconds (one loop transit time). Since the coolant average temperature changes in response to displacer movement are small and occur slowly, coolant temperature change can be used to "cushion" the effect of displacer movement on overall core reactivity. That is, due to the negative moderator temperature coefficient, the reactor coolant temperature change will tend to offset a portion of the reactivity change caused by the displacer rod movement thus providing a smooth transition in core reactivity when a displacer rod cluster is moved. Since displacer rod cluster reactivity worth and the absolute value of the moderator temperature coefficient change in the same direction and at comparable fractional rates with changing boron concentration and hydrogen-to-uranium ratio in the core, the temperature change per unit displacer rod cluster movement is generally independent of core conditions throughout the latter part of the core life. Referring to FIG. 12, utilizing these concepts for reactor control two reactor coolant temperature bands can be selected for reactor operating purposes. These bands may be different from and wider than the conventional operating bands. One band, band A, is the wide band and is selected to be approximately 4.degree. F. wide, 2.degree. F. on either side of the reactor coolant average temperature set point, T.sub.s. T.sub.s is chosen to be the reactor coolant average temperature at which it is desired to operate the reactor. As an alternative, the average cold leg temperature may be used. An administrative guidance limit or narrow band, band B, may be chosen to be approximately 3.degree. F. wide, 1.5.degree. F. on either side of set point temperature T.sub.s. Band A is chosen so that if the reactor coolant temperature reaches this limit automatic systems are initiated to reverse the temperature drift. Band B is chosen as a working guide limit so that as the reactor coolant temperature approaches this limit either operator or automatic selection and initiation of displacer rod movement may begin to avoid reaching the band A limit. In this manner, as the reactor coolant temperature drifts downwardly such as during xenon accumulation as illustrated between t.sub.0 and t.sub.1, withdrawal of a particular displacer or gray rod cluster is initiated. Between t.sub.1 and t.sub.2 the rod cluster is withdrawn which takes approximately 15 minutes to achieve complete withdrawal. The withdrawal of a rod cluster allows additional water-moderator to enter the core which increases core reactivity and results in the reactor coolant temperature drifting upwardly. As the xenon continues to accumulate the coolant temperature begins to drop again as illustrated between t.sub.2 and t.sub.3. As t.sub.3 is approached, it again becomes necessary to select and withdraw the next rod cluster, either a 41 rod displacer cluster or a 9 rod gray cluster depending on the reactivity addition needed. The time frame between t.sub.4 and t.sub.5 indicates the time frame in which the next rod cluster begin to be withdrawn to avoid reaching band A's limit. In this manner reactor coolant temperature variations such as those due to xenon transients can be compensated for without adjusting the boron concentration in the coolant and while prolonging the core life. In addition to determining when a particular cluster should be moved, it is also necessary to determine which cluster or group of clusters should be moved and whether they should be moved in or out of the core. In this regard it can be appreciated that since a displacer rod cluster effects a larger core area than does a gray rod cluster and since individual gray rods have a different reactivity worth than do individual displacer rods, a proper selection and movement of various clusters can effect core reactivity levels and radial power distribution. Referring now to FIG. 13, a power sharing fraction calculator 100 determines the fraction of the total core power that is attributed to each fuel assembly. This can be ascertained in conventional manner by having a sufficient number of in-core radiation detectors to determine local neutron flux or nuclear power level magnitudes. For example, about 60 fuel assemblies may be equipped with about 5 radiation detectors such as gamma detectors. The 5 radiation detectors can be axially spaced along the fuel assembly so that, in all, about 300 in core detectors can provide instantaneous reactivity levels for 60 core zones. These readings, together with calibration and weighting factors, can be fed to power sharing fraction calculator 100 for determining the power sharing fraction borne by each core zone. At the same time, current condition compiler 102 compiles other core conditions such as boron concentration, hydrogen-to-uranium fraction, and present cluster positions. This information together with the information from power sharing fraction calculator 100 is transmitted to displacer movement effect predictor 104 which determines the reactivity change and power sharing fraction change that would occur by moving each cluster. It has been found that the reactivity change associated with a particular fuel assembly by moving the corresponding cluster is related to the present fuel assembly power density. The correlation can be expressed as follows: EQU .DELTA.R=m.times.APD where .DELTA.R=reactivity change of the fuel assembly by inserting or withdrawing the corresponding cluster (displacer rods or gray rods); PA1 APD=fuel assembly power density before moving the cluster; and PA1 m=straight line slope PA1 NPD=new fuel assembly power density PA1 OPD=old fuel assembly power density PA1 BU=burnup in MWD/MTU It has also been determined that the slope, m, can be related to burnup as illustrated by the following data: ______________________________________ Burnup Slope, m (MWD/MTU) (pcm per cluster/unit power density) ______________________________________ 1,000 5.4 6,000 32.8 11,000 60.0 ______________________________________ yielding a relation of slope to burnup of: EQU m=0.0054.times.BU where BU=burnup in MWD/MTU. Therefore, EQU .DELTA.R=0.0054.times.BU.times.APD By using this relationship, movement effect predictor 104 can predict the reactivity change to be expected from moving the cluster corresponding to that fuel assembly. This information is then transmitted to cluster selector 106. It has also been found that the post-withdrawal power density of a particular fuel assembly can be related as follows: EQU NPD=(1.17+0.000033.times.BU).times.OPD where Thus the power density change in a particular fuel assembly can be found based on its power density prior to cluster movement. This information is then transmitted to cluster selector 106. A requirements predictor 108 which may be chosen from those well known in the art is arranged to determine and transmit to cluster selector 106 the amount of reactivity increase or decrease that is anticipated to be needed. This can be based on data such as coolant average temperature, power level, band limits, and set point considerations. Power sharing fraction calculator also feeds the power sharing fraction for each fuel assembly to cluster selector 106. Cluster selector 106 accepts the power sharing fraction for each fuel assembly prior to a cluster movement, the reactivity change to be expected if a cluster were moved, the present fuel assembly power density (OPD) for each fuel assembly, the predicted fuel assembly power density (NPD) for each fuel assembly, and the reactivity change required. From this, a new power sharing fraction for each fuel assembly can be determined. Based on this information and the current position of each cluster, cluster selector 106 can select the one or more groupings of cluster movements that will achieve the desired reactivity change without distorting the overall power sharing profile. In general, this search may include predicting the next reactivity change and the movement required thereby so as to prevent making a cluster movement that could hinder latter cluster movements. The selected cluster groupings can be transmitted directly to power distribution verifier 110, operator readout 112, and automatic system control 114. Power distribution verifier can check the predicted power sharing fractions to the old power sharing fractions and can trip alarm 116 if the predicted change is outside set limits. The operator can view operator readout 112 and select which of the selected cluster groupings to use or the selection can be made automatically by cluster selector 106 and transmitted to automatic system control 114 for implementation of the cluster movement. Thus, based on these criteria, various movements (insertions or withdrawals) of numerous combinations of available displacer rod or gray rod clusters can be evaluated and implemented for controlling a pressurized water reactor such as during load follow. Therefore, the invention provides apparatus for operating a pressurized water nuclear reactor in which the reactor power level can be changed without making control rod or chemical shim changes. |
039792560 | summary | BACKGROUND OF THE INVENTION A continuous effort is being made to provide increased safety in the operation of nuclear power plants. Standards have been established which require basic reactor control circuits to meet single-failure fail-safe criteria. This means that any single failure of any component should either shut down the reactor or not significantly affect the operation of the safety channel. The growing complexity and cost of reactor installations and operations make it imperative that safety monitoring systems work reliably at all stages and levels of reactor operation. With the advent of larger reactors and electric-power-generating nuclear reactors, it has become important that the reactor not be shut down as long as it is in a safe condition; that is, in the event of some instrument failure which does not affect reactor safety, it is important that the reactor continue to run normally. Schemes such as redundancy, automatic self-checking and others have been used to implement these requirements. One of the methods used to insure the safe operation of the reactor has been to use coincident trip circuits. Several identical channels (typically at least three) are provided, and at least two of the three channels must indicate a reactor malfunction or unsafe condition before the reactor is shut down. The redundancy of this system provides additional safety. It also provides the possibility of removing one of the safety channels from the system for on-line testing at periodic intervals. By requiring that at least two of the three channels indicate a coincident reactor malfunction or unsafe condition, the probability of a shutdown when the reactor was, in fact, in a safe condition is reduced significantly. If there are two undetected failures in separate channels and a reactor fault occurs at the same time, the two out of three voting technique would reduce the over-all safety of the reactor, as it would not be protected against this unsafe condition. The reactor might fail to shut down when safety requires that it be shut down or there may be a shutdown of the reactor when, in fact, there was no failure in the reactor but the failure occurred in the safety channels. Also, if one of the safety channels were removed from the reactor for testing, the safety of the system is reduced. It is therefore an object of this invention to provide an improved monitoring circuit for nuclear reactor safety channels. Another object of this invention is to provide a circuit for continuously monitoring the safety channels of a nuclear reactor without removing the safety channel from the reactor. Another object of this invention is to provide a monitoring circuit for reactor safety channels which can operate over a wide dynamic range. BRIEF DESCRIPTION OF THE INVENTION In practicing this invention, a control signal is developed which is proportional to the ratio between the output signals from two of the safety channels. As long as both of the channels are operating satisfactorily, the ratio between the output signals from the channels will remain substantially constant over a wide dynamic range. The control signal is monitored by a comparator circuit and, in the event of a failure in one or both of the channels, the deviation of the control signal from the prescribed limits will be detected by the comparator circuit and an alarm signal will be developed. This alarm signal can be used to alert the reactor operating personnel or to shut down the reactor automatically, as desired. |
description | FIGS. 1-3 illustrate a method for compensating for charge neutralization dose errors created by outgassing, volatization, sputtering, and other events which may occur when an ion beam impinges upon semiconductor wafers during an ion implantation process, particularly in the case of wafers which are coated with photoresist. In the various embodiments, the invention is implemented by the addition of one or more detectors to the beam current measurement arrangement and computer control software. In the following description, numerous specific details are set forth to provide a thorough description of the invention. However, it will be apparent to one skilled in the art that the invention may be practiced without these specific details, or with other specific details. In other instances, well-known features have not been described in detail so as not to obscure the invention. FIG. 1 is a simplified block diagram of a portion of an ion implantation system 100 incorporating the dose error control method according to at least one embodiment of the present invention. The ion implantation system 100 includes an ion source (beam generator) 5 which generates and directs an ion beam 10 toward a target 30, such as a semiconductor wafer, mounted on a support platen 35, and a Faraday 20. Faraday cup 20 is used as the primary dosing reference and is typically located in an enclosure 9 (outside of target chamber 12), where charge exchange is minimal. Faraday cup 20 accepts ions when the beam 10 is in the over-scan region. The over-scan region is that region where the beam 10 is not impinging directly upon a target 30, but rather to either side of a target 30 during implantation. The beam 10 is generally transported from the ion source 5 to the target 30 in a relatively high vacuum environment produced in an enclosure 9 and target chamber 12 by a vacuum system 15. The vacuum in the enclosure 9 and target chamber 12 is maintained using well-known systems such as vacuum pumps, vacuum isolation valves, pressure sensors, and the like. The vacuum system 15 may generally have a physical connection with which to communicate with the control software 40 to supply information about the vacuum level in various sections of enclosure 9 or target chamber 12. The ion source 5 can include a range of components to generate an ion beam 10 having selected characteristics, and may generally be physically connected via wiring, cables, and the like, to communicate with control software/dose processor 40. Ion beam 10 can be any type of charged particle beam, e.g., an energetic ion beam used to implant the target 30. The target 30 may include any variety of semiconductor materials, or any other substances to be implanted using ion beam 10. Ion beam 10 is shown in FIG. 1 as following a straight path in enclosure 9 to target chamber 12 from the ion source 5 to the target 30. In practice, however, the ion beam 10 may follow a curved pathway with various deflectors between the ion source 5 and the target 30, such as magnets, lenses, or other optical devices which are not shown in FIG. 1. It should also be noted that even though target 30 is shown as being mounted on a fixed, single platen 35 in FIG. 1, in practice more than one wafer 30 may be mounted on a moveable platen connected to a wafer drive device or system (not illustrated) capable of physically manipulating the wafer 30 in a desired manner. For example, a wafer drive system can include servo drive motors, solenoids, screw drive mechanisms, position-encoding devices, mechanical linkages, robotic arms, or any other components as are known in the art to move target 30. The control software 40 is capable of communicating with the wafer drive device or system to affect movement of the target 30. In an exemplary calibration step of an embodiment, the ion beam 10 is generated under normal operating conditions, e.g., a relatively high-level, stable vacuum exists along the beam 10 line, and no target 30 (semiconductor wafer) is in the target position to be implanted (no beam 10 incident upon a target 30). At least one additional detector 25 is positioned behind (not shown) the target 30 location, or alternately, adjacent to the target 30 position, as is seen in FIG. 1. In the various embodiments, the detector 25 can be a Faraday cup, ion counter, or other device capable of detecting an ion beam, as are well known to those of skill in the art. The detector 25 can be fixed in place or moveable, and can be sited in a assortment of diverse locations, such as along the beam path to the wafer target position, adjacent the wafer target position, behind the wafer target position, and the like. The detector 25 outputs a signal representing the detected beam 10 dose at the location of detector 25 to the control software/dose processor 40. At the same time, the Faraday 20 outputs a signal representing the beam 10 current to the control software/dose processor 40. For example, the detector 25 may output an analog signal that represents a number of detected ions, and the Faraday 20 may output an analog signal that represents the beam 10 current, and the control software/dose processor 40 may convert these analog signals to digital numbers, calculate a ratio between the two numbers, and store this ratio within the control software/dose processor 40 as a digital number. The stored digital number may be used to establish a reference ratio between the beam 10 current as measured by the Faraday 20, and the ion dose as measured by the embodied detector 25, under the aforementioned normal operating conditions. This ratio can then be used by the control software/dose processor 40 as a reference level during subsequent implantation processes. Depending upon the location of detector 25 (behind the target 30 or adjacent to the target 30), the reference ratio can be in the range of approximately 100:1 to 1:1. A semiconductor wafer would then be moved to the target 30 location, and simultaneous measurements made with the detector 25 and Faraday 20, as before, to determine the ratio between the beam 10 current and the detector 25 during an implantation process of a target 30. Any substantial deviation or drift from the reference ratio would indicate the dose error due to charge neutralization from wafer outgassing during implantation. Because the drift from the reference ratio is primarily based upon neutralization of the ion beam, the amount of drift can be used to determine a much closer approximation of the total dose imparted to the target 30 than the implantation dose which would be normally be obtained from a single Faraday system such as Faraday 20. In addition, data can be provided continually throughout an implantation process, and the method is not affected by any fluctuations in vacuum which might occur during an implantation process. The functions of the control software/dose processor 40 to compensate for this dose error are described in greater detail below. FIG. 2 is a block diagram of the dose processor and control software module as used by ion implantation system 100 shown in FIG. 1. The control software/dose processor 40, in the various embodiments disclosed herein, could be configured to use the ratio drift data to update the accumulated dose in the Faraday cup 20 and thus compensate for the dose error due to charge neutralization. As before, when detector 25 and Faraday 20 are used under normal operating conditions (high and stable vacuum, no wafer being implanted) to establish a reference ratio, this reference value would be stored by the control software/dose processor 40. A detected dose module 45 can be used to compare the reference ratio obtained from control software/dose processor 40 to subsequent ratios obtained during ion implantation of a semiconductor wafer. When a difference exists between the implantation ratio and the reference ratio, due to charge neutralization caused by outgassing from a wafer during implantation, detected dose module 45 would send a signal to the control software/dose processor 40, which can be used to send control signals to the vacuum system 15, ion source 5, and other systems as are known to those of skill in the art. The detected dose module 45, through the control software/dose processor 40, can be used to effectively compensate for the dose error due to ion beam neutralization, while an implantation process is taking place (in real time). Thus, detector 25 can be used to determine the total dose even though detector 25 does not detect the presence of the uncharged particles. It should be noted that although detected dose module 45 is shown as being an internal module within control software/dose processor 40, it may be implemented as an add-on, exterior module to control software/dose processor 40 in other embodiments. The control software/dose processor 40 of an ion implantation system is used for controlling the various parameters, i.e., beam current, scan rate, vacuum, and the like, and the addition of detector 25 and detected dose module 45 to provide dose compensation would not affect these other functions of control software/dose processor 40. For example, control software/dose controller 40 may determine that the beam current as measured by Faraday 20 is due to a variation at the ion source rather than because of a vacuum fluctuation due to outgassing from a wafer, and would still be able to adjust the ion generation parameters accordingly. Referring now to FIG. 3, a flow chart of steps of a method for compensating for dose error during an ion implantation process according to at least one embodiment of the present invention will be discussed. In step 305 an ion beam of any type of desired ion species at any desired energy is generated in any one of various ways known to those of skill in the art. A reference ratio is determined in step 310. In an embodiment, the reference ratio is calculated by determining the value from a detector measurement signal and a Faraday measurement signal taken under normal operating conditions, e.g., a relatively high-level, stable vacuum exists in the target chamber, and the generated beam is not incident upon a semiconductor wafer at the target position. The detector as disclosed in the various embodiments herein can be any type of device that detects a dose component of the generated ion beam. For example, the detector can be a Faraday cup or other device, as are well known to those of skill in the art. The detector can be fixed in place or moveable, and can be sited in a assortment of diverse locations, such as along the beam path to the wafer target position, adjacent the wafer target position, behind the wafer target position, and the like. In one embodiment, the reference ratio is calculated and stored by control/dose processor software. In other embodiments, the reference ratio may be retrieved from memory. In step 315, a wafer is moved into the implantation position and implanted using the ion beam generated in step 305. In other embodiments, the reference ratio value is not necessarily determined when a wafer is out of the beam path, but may be determined when a target, e.g., a wafer or other material to be implanted is in a position to be implanted and the vacuum along the beam line is at a desired level, for example, at the beginning of implantation in step 315. During implantation, measurements from the detector and the Faraday are communicated to the control software/dose processor, and an xe2x80x98as implanted ratioxe2x80x99 is determined, as in step 320. A detected dose module can be used to compare the reference ratio obtained from control software/dose processor to subsequent ratios obtained during wafer implantation, in real time. In step 325, if a difference exists between the implantation ratio and the reference ratio, step 330 would be executed, and the detected dose module would send a signal to the control software/dose processor to update the dose counter. If no ratio drift occurs in step 325, the system would check to determine if the required amount of dose is completed, as in step 332. If the desired implantation dose has been achieved in step 332, in step 335 control software/dose processor sends control signals to the various other components in the ion implantation that the total desired ion dose has been implanted into a wafer, and hence to cease further ion implantation of that wafer. Otherwise, the process returns to step 320 and continues taking measurements and calculating xe2x80x98as implantedxe2x80x99 ratios for comparison with the reference ratio. In step 335, control software/dose processor, after sending a signal to cease implantation when the desired implantation dose has been achieved in step 332, could also signal a wafer drive device to manipulate the wafer out of the implantation position and to move another wafer into the implantation position, as in step 315, where the process would continue. The various functions and components in the present application may be implemented using an information-handling machine such as a data processor, or a plurality of processing devices. Such a data processor may be a microprocessor, microcontroller, microcomputer, digital signal processor, state machine, logic circuitry, and/or any device that manipulates digital information based on operational instruction, or in a predefined manner. Generally, the various functions, and systems represented by block diagrams are readily implemented by one of ordinary skill in the art using one or more of the implementation techniques listed herein. When a data processor for issuing instructions is used, the instruction may be stored in memory. Such a memory may be a single memory device or a plurality of memory devices. Such a memory device may be a read-only memory device, random access memory device, magnetic tape memory, floppy disk memory, hard drive memory, external tape, and/or any device that stores digital information. Note that when the data processor implements one or more of its functions via a state machine or logic circuitry, the memory storing the corresponding instructions may be embedded within the circuitry that includes a state machine and/or logic circuitry, or it may be unnecessary because the function is performed using combinational logic. The method and apparatus herein provides for a flexible implementation. Although the invention has been described using certain specific examples, it will be apparent to those skilled in the art that the invention is not limited to these few examples. For example, the disclosure is discussed herein primarily with regard to dose error compensation for a scanned charged particle beam ion implantation system, however, the invention can be used with other systems or processes that use beams of energetic, charged particles, such as electron beam imaging systems. Additionally, various types of ion measurement devices are currently available which could be suitable for use in employing the method as taught herein. Note also, that although an embodiment of the present invention has been shown and described in detail herein, along with certain variants thereof, many other varied embodiments that incorporate the teachings of the invention may be easily constructed by those skilled in the art. Benefits, other advantages, and solutions to problems have been described above with regard to specific embodiments. However, the benefits, advantages, solutions to problems, and any element(s) that may cause any benefit, advantage, or solution to occur or become more pronounced are not to be construed as a critical, required, or essential feature or element of any or all the claims. Accordingly, the present invention is not intended to be limited to the specific form set forth herein, but on the contrary, it is intended to cover such alternatives, modifications, and equivalents, as can be reasonably included within the spirit and scope of the invention. |
|
052271218 | abstract | An advanced control room complex for a nuclear power plant, including a discrete indicator and alarm system (72) which is nuclear qualified for rapid response to changes in plant parameters and a component control system (64) which together provide a discrete monitoring and control capability at a panel (14-22, 26, 28) in the control room (10). A separate data processing system (70), which need not be nuclear qualified, provides integrated and overview information to the control room and to each panel, through CRTs (84) and a large, overhead integrated process status overview board (24). The discrete indicator and alarm system (72) and the data processing system (70) receive inputs from common plant sensors and validate the sensor outputs to arrive at a representative value of the parameter for use by the operator during both normal and accident conditions, thereby avoiding the need for him to assimilate data from each sensor individually. The integrated process status board (24) is at the apex of an information hierarchy that extends through four levels and provides access at each panel to the full display hierarchy. The control room panels are preferably of a modular construction, permitting the definition of inputs and outputs, the man machine interface, and the plant specific algorithms, to proceed in parallel with the fabrication of the panels, the installation of the equipment and the generic testing thereof. |
043550010 | claims | 1. A modular stationary nuclear boiler including: a nuclear reactor; at least one steam generator connected to said reactor; a metal casing housing said reactor and said generator; and auxiliary boiler equipment; the improvement comprising: prefabricating and testing a horizontally transportable reactor unit at a fabricating site, said reactor unit including a casing containing a nuclear reactor, at least one steam generator connected thereto and a horizontally transportable support which insures its stability during both operation and transport; prefabricating and testing a horizontally transportable container unit at the fabricating site, said container unit comprising walls housing auxiliary equipment for the nuclear reactor and said at least one steam generator and deliminating a closed internal chamber having a closable opening within one of the sidewalls thereof; prefabricating and testing a horizontally transportable closing component for the opening in said container unit, at the fabricating site; loading said support borne reactor unit, said container unit and said closing component by horizontal transport from the fabricating site onto a barge; bringing said barge by water to the final operating site for the modular nuclear boiler; unloading said container unit from said barge by horizontal transport of the container unit from said barge to said final operating site; unloading said reactor unit from said barge by horizontal transport of said reactor unit from said barge to said final operating site of the boiler and horizontally inserting it in the nuclear container unit by lateral passage through said opening; and unloading said closing component by horizontal transport of said closing component from said barge to said final operating site and by horizontal passage into said container unit opening to close off said opening and to effect complete containment of said reactor unit by said transportable container unit and said closing component in modular fashion. 2. A nuclear boiler according to claim 1, wherein said closing component contains a swimming bath type storing unit for the used fuel elements. 3. A method of assembly of a modular nuclear boiler on a stationary final operating site, said method including the following steps: |
description | This application is a continuation of, and claims priority under 35 USC 120 to, international application PCT/EP2011/056922, filed May 2, 2011, which claims benefit under 35 USC 119 of German Application No. 10 2010 028 655.9, filed May 6, 2010. International application PCT/EP2011/056922 is hereby incorporated by reference in its entirety. The disclosure relates to a collector for transferring an emission of an EUV (extreme ultraviolet) light source to a main intensity spot. The disclosure also relates to an illumination optics including a such collector, an illumination system including such an illumination optics, a projection exposure apparatus including such an illumination system, a method for producing a microstructured or nanostructured component using a such projection exposure apparatus, and a component produced by such a method. EUV collectors are disclosed in U.S. Pat. No. 7,075,712 B2; U.S. Pat. No. 7,501,641 B2; US 2006/0176547 A1; US 2006/0120429 A1; U.S. Pat. No. 7,075,713 B2; EP 1 469 349 A1; US 2008/0266650 A1 and WO 2009/095220 A1. The disclosure seeks to provide an optimally sized collectable solid angle of the EUV light source while ensuring a given amount of constructional effort for the collector. Applicants realized that the advantages of a collector subunit including at least one grazing incidence mirror can be combined with those of an ellipsoid mirror for increasing the collectable solid angle of a non-directional EUV radiation source. If a collector subunit is mentioned in the following description, reference is always made to a collector subunit including at least one grazing incidence mirror. The collector subunit is able to collect solid angle regions of the EUV radiation emitted by the radiation source which are directed in the forward direction, in other words in the direction of the main intensity spot. Correspondingly, the at least one ellipsoid mirror is able to collect EUV radiation emitted in the backward direction. The collected solid angle regions may advantageously be combined to form a collected entire solid angle which is greater than 2π, such as greater than 2.5π, greater than 3π or greater than 3.5π. The at least one collector subunit may include at least one grazing incidence mirror which is designed in the manner of a mirror shell. The mirror shell may be rotationally symmetric about an axis. The collector subunit may include several grazing incidence mirrors, for example two, three, four, five or even more grazing incidence mirrors. These grazing incidence mirrors may be mirror shells which are rotationally symmetric about an axis. The transfer intensity spot to which the EUV radiation from the radiation source is transferred by the collector subunit including the at least one grazing incidence mirror may be a main intensity spot. Alternatively, the transfer intensity spot may be an intermediate intensity spot which may be transferred to the main intensity spot via another optical system. The main intensity spot is the intensity spot which allows the EUV radiation to be transferred on via an illumination optics in order to illuminate a target object. The emission of the EUV radiation source may be non-directional, in other words it may be emitted substantially isotropically in all spatial directions. The critical grazing incidence angle is 70°. In other words, the EUV radiation is reflected by the at least one grazing incidence mirror of the collector subunit at an angle of incidence which is at least 70°. The ellipsoid mirror is impinged by the emission of the EUV radiation source at an angle of incidence which is smaller than 70°. Each EUV partial beam is reflected by no more than one collector subunit including at least one grazing incidence mirror, which ensures that the solid angle regions collected by these collector subunits are collected in a particularly efficient manner. As it is common practice in optics, the angle of incidence is defined as the angle between a normal to a reflection plane and the incident radiation. The at least one collector subunit including the at least one grazing incidence mirror may include grazing incidence mirrors which are designed in such a way that the EUV radiation from the radiation source is transferred to the transfer intensity spot via two reflections occurring one after the other at the respective grazing incidence mirror. The at least one collector subunit including the at least one grazing incidence mirror may include two grazing incidence mirrors which are arranged one behind the other. The at least one collector subunit including at least one grazing incidence mirror may be a Wolter collector subunit. The collector subunit may be designed as a collector of the type Wolter-I or as a collector of the type Wolter-II. A collector including exactly one collector subunit may in particular be achieved by combining an already known design of a collector including at least one grazing incidence mirror with an additional ellipsoid mirror. A collector including exactly two collector subunits may be used to increase the solid angle collectable one of the two collector subunits. In particular, this collector allows solid angle regions of the emission of the non-directional EUV radiation source to be collected in the forward direction towards the main intensity spot on the one hand and in the backward direction on the other. Alternatively, a collector including exactly two collector subunits can be used to collect the emission of two spaced EUV radiation sources by one and the same collector. In some embodiments, at least one collector subunit may be used to interact with another, downstream collector subunit or a downstream ellipsoid mirror. The intermediate intensity spot of the collector may also be used as a spatial filter for eliminating unwanted emissions of the EUV radiation source which have wavelengths different from a useful wavelength range. There may be provided at least two collector subunits which transfer the EUV radiation from the radiation source to two intermediate intensity spots which are spaced from each other. The two collector subunits which transfer the EUV radiation from the radiation source to the intermediate intensity spots may be rotationally symmetric about in each case one symmetry axis. The two symmetry axes of the at least two collector subunits which transfer the EUV radiation from the radiation source to the intermediate intensity spots may be arranged in such a way as to not coincide with each other. In certain embodiments, a beam path prevents an excessive number of reflections of the EUV radiation within the collector. Within a collector of this type, the EUV partial beam may either be reflected by the ellipsoid mirror first before being reflected by the collector subunit including at least one grazing incidence mirror or, vice versa, by this collector subunit first before being reflected by the ellipsoid mirror. In some embodiments, an arrangement results in a compact design on the one hand and allow large solid angles of the emission of the non-directional EUV radiation source to be collected on the other. Radiation which is transmitted from an ellipsoid mirror of these arrangements to the main intensity spot directly is reflected by no collector subunit after being reflected by the respective ellipsoid mirror. A combination of these arrangements where EUV radiation is transferred to the main intensity spot via a through-opening in the collector subunit on the one hand and via a spatial region on the other which is arranged around the collector subunit is conceivable as well. In certain embodiments, an arrangement of a plurality of collector subunits ensures an efficient transfer of the emission of the EUV radiation source while allowing a large solid angle region to be utilized. The collector subunits may be arranged around the EUV radiation source in the form of a regular polyhedron or in the form of an irregular polyhedron. The arrangement of the collector subunits around the EUV radiation source may be such that particular solid angle regions are omitted, thus allowing a plasma-producing laser radiation to be supplied or an installation space to be provided for at least one retaining device. In some embodiments, a collector including two types of collector subunits which differ in that whether the outer or inner wall of a mirror shell reflects the EUV radiation, may use one type of the collector subunit for smaller solid angles for direct forward transfer towards the main intensity spot and the other type of the collector subunit for larger angles. The two collector subunits of this design may in particular be mirror shells or mirror shell groups which are arranged (nested) one inside the other. Such an arrangement of collector subunits may be combined with at least one ellipsoid mirror or with an arrangement of several ellipsoid mirrors according to the embodiments described above. In general, as disclosed herein, the advantages of collector disclosed herein are correspondingly applicable to an illumination optics, an illumination system, a projection exposure apparatus, a production method and a component, as disclosed herein. The EUV radiation source may be an LPP source. The EUV collector may be designed in such a way that the emission of several EUV radiation sources is transferred to one main intensity spot. Correspondingly, the illumination system may include more than one EUV radiation source. If several EUV radiation sources are provided, a path of the EUV radiation transferred using the EUV collector may run from one of these EUV radiation sources through another one of the EUV radiation sources. If several EUV radiation sources are provided, the illumination system according to the disclosure may be operated in such a way that only one of the EUV radiation sources is active in each case. The efficient collection of the emission of the EUV radiation source ensures that, for a given radiation source, a higher useful light energy is available for projection exposure. Vice versa, a given useful light energy can be achieved with a smaller EUV radiation source. This increases either the throughput for projection exposure or the costs for providing the EUV radiation source. FIG. 1 shows a diagrammatic meridional section through a projection exposure apparatus 1 for microlithography. In addition to a radiation source 3, an illumination system 2 of the projection exposure apparatus 1 has an illumination optics 4 for illuminating an object field 5 in an object plane 6. In this process, a reticle 7 is illuminated which is arranged in the object field 5 and which is held in place by a reticle holder 8 which is only partially shown. A projection optics 9 images the object field 5 into an image field 10 in an image plane 11. In this process, a structure on the reticle 7 is imaged to a light-sensitive layer of a wafer 12 arranged in the region of the image field 10 in the image plane 11, the wafer 12 also being held in place by a wafer holder 13 which is only diagrammatically shown as well. The radiation source 3 is an EUV radiation source with an emitted useful radiation in the range between 5 nm and 30 nm. The EUV radiation source may be a plasma source, in particular an LPP source (laser produced plasma). The EUV radiation source may for example also be a GDPP source (gas discharge produced plasma). A synchrotron-based radiation source may be a suitable radiation source 3 as well. Information concerning a radiation source of this type is provided to those skilled in the art by U.S. Pat. No. 6,859,515 B2, for example. EUV radiation 14 emitted by the radiation source 3 is bundled by a collector 15. The collector 15 is shown in more detail in FIG. 2 which will be described below. Downstream of the collector 15, the EUV radiation 14 propagates through an intermediate focal plane 16 before impinging upon a field facet mirror 17. The field facet mirror 17 is arranged in a plane of the illumination optics 4 which is optically conjugate with the object plane 6. The EUV radiation 14 is hereinafter also referred to as illumination light or imaging light. Downstream of the field facet mirror 17, the EUV radiation 14 is reflected by a pupil facet mirror 18. The pupil facet mirror 18 is arranged in a pupil plane of the illumination optics 4 which is optically conjugate with a pupil plane of the projection optics 9. Via the pupil facet mirror 18 and an imaging optical assembly in the form of a transfer optics 19 including mirrors 20, 21 and 22 which are numbered in the order in which they are arranged in the beam path, field facets of the field facet mirror 17, which will be described in more detail below, are imaged into the object field 5. The last mirror 22 of the transfer optics 19 is a grazing incidence mirror. The pupil facet mirror 18 and the transfer optics 19 form a following optics for transferring the illumination light 14 to the object field 5. The transfer optics 19 may be dispensed with in particular if the pupil facet mirror 18 is arranged in an entrance pupil of the projection optics 9. In order to facilitate the description of positional relationships, FIG. 1 is provided with a Cartesian coordinate system serving as a global coordinate system for describing the positional relationships of components of the projection exposure apparatus 1 between the object plane 6 and the image plane 11. In FIG. 1, the x-axis runs into the drawing plane in a direction perpendicular thereto. The y-axis runs to the right in FIG. 1. The z-axis runs downward in FIG. 1, in other words perpendicular to the object plane 6 and to the image plane 11. The reticle holder 8 and the wafer holder 13 are both actuable for displacement in such a way that the reticle 7 and the wafer 12 are scanned in a displacement direction, namely the y-direction, by the object field 5 on the one hand and by the image field 10 on the other during projection exposure. The displacement direction y is hereinafter also referred to as scanning direction. The collector 15 is hereinafter explained in more detail via FIG. 2. The collector 15 transfers the EUV radiation 14, in other words a non-directional emission of the EUV radiation source 3, to a main intensity spot in the intermediate focal plane 16, in other words to an intermediate focus 23. The collector 15 has a collector subunit 24 including a total of four grazing incidence mirrors 251 to 254. An angle of incidence of the EUV radiation to the mirrors 251 to 254 is thus above a critical grazing incidence angle. This critical grazing incidence angle is 70°. The collector subunit 24 transfers a portion of the total EUV radiation 15 emitted by the radiation source 3 to the intermediate focus 23. In this process, the collector subunit 24 collects a solid angle of the emission of the radiation source 3 in the forward direction. Seen from the radiation source 3, the forward direction is a direction of emission into a half space where the intermediate focus 23 is located as well. The mirrors 251 to 254 are mirror shells which are arranged one inside the other and are numbered from the outside to the inside in FIG. 2. Alternatively, the collector subunit 24 may also include a different number of mirrors 25, for instance one mirror shell, two mirror shells or more than two mirrors shells which are arranged one inside the other, for example three, four, five or even more mirror shells which are arranged one inside the other. Reflection surfaces of the mirrors 251 to 254 are the inner walls of the respective mirror shell. The mirrors 251 to 254 are rotationally symmetric about a central axis 26 on which the radiation source 3 is arranged as well. In the meridional section shown in FIG. 2, each of the mirrors 251 to 254 has a bent region 27 which surrounds the axis 26. EUV radiation 14 which is reflected by the mirrors 251 to 254 in a grazing manner is in each case reflected by the mirrors 251 to 254 twice, namely upstream of the bent region 27 and downstream of the bent region 27, as shown for selected beam paths of the EUV radiation 14 in FIG. 2. A collector in the manner of the collector subunit 24 is disclosed in WO 2009/095220 A2. The collector subunit 24 may be designed in the manner of a collector of the type Wolter-I and may consist of a combination of a hyperboloid and an ellipsoid. More detailed information concerning these collectors can be found in the publications of H. Wolter “Spiegelsysteme streifenden Einfalls als abbildende Optiken für Röntgenstrahlen” and “Verallgemeinerte Schwarzschildsche Spiegelsysteme streifender Reflexion als Optiken für Röntgenstrahlen”, Annalen der Physik, vol. 10, p. 94-114 and 286-295, 1952, and on the internet on page http://www.x-ray-optics.de (see keywords “Types of Optics”, “Reflecting optics” and “Curved mirrors”). In addition to the collector subunit 24, the collector 15 according to FIG. 2 has an ellipsoid mirror 28 with an ellipsoidal mirror surface 29. The radiation source 3 is arranged in one intensity spot of the elliptical mirror surface 29 while the intermediate focus 23 is arranged in the other intensity spot of the elliptical mirror surface 29. An external diameter of the mirror surface 29 which is usable for reflecting the EUV radiation 14 has approximately the same size as an internal diameter of the mirror shell of the innermost mirror 254 of the collector subunit 24. The edge region of the mirror surface 29 adjacent to this external diameter is arranged in close proximity to this mirror 254. The ellipsoid mirror 28 receives the entire EUV radiation 14 which is emitted backwards to the radiation source 3, in other words the radiation which is emitted from the radiation source 3 into the half space where the intermediate focus 23 is not located. Also, the ellipsoid mirror 28 reflects a portion of the EUV radiation 14 emitted in the forward direction. The two half spaces which define the forward direction and the backward direction are separated from each other by a plane 30 to which the rotational symmetry axis 26 is perpendicular and in which the radiation source 3 is located. The mirror surface 29 is impinged by the EUV radiation 14 at an angle of incidence which is below the critical grazing incidence angle. As is common practice in optics, the angle of incidence is defined as the angle between a normal to a reflection plane and the incident radiation. The collector 15 has exactly one collector subunit 24, in other words no other collector subunits including at least one grazing incidence mirror. With respect to a grazing incidence of the EUV radiation 14, only the collector subunit 24 is arranged in the beam path of a respective emitted EUV partial beam of the EUV radiation 14 between the EUV radiation source 3 and the intermediate focus 23. In other words, apart from the collector subunit 24 including the grazing incidence mirrors 25, there is no other collector subunit including grazing incidence mirrors which guides those partial beams of the EUV radiation 14 which have already been guided by the collector subunit 24. In an embodiment of a collector (not shown) which includes a downstream illumination optics and which may alternatively be provided in the projection exposure apparatus, there is a relay optics between a transfer or intermediate intensity spot of the collector 15 to which the EUV radiation 14 is transferred by the collector subunit 24 and the ellipsoid mirror 28 and the intermediate focus 23 in the intermediate focal plane 16, which relay optics transfers the intensity spot to the intermediate focus 23. In this case, which is not shown in FIG. 2, the transfer intensity spot does not coincide with the intermediate focus 23, in other words the main intensity spot. In the case according to FIG. 2 on the other hand, the transfer intensity spot coincides with the main intensity spot. The EUV radiation 14, which is reflected by the ellipsoid mirror 28, is guided to the intermediate focus 23 via a through-opening 31 in the innermost mirror 254 of the collector subunit 24. The following is a description, via FIG. 3, of another embodiment of the collector 32 which may be employed in the projection exposure apparatus 1 according to FIG. 1 instead of the collector 15. Components which correspond to those that have already been described above with reference to FIGS. 1 and 2 have the same reference numerals and are not discussed in detail again. In the embodiment according to FIG. 3, an ellipsoid mirror 33, which otherwise corresponds to the ellipsoid mirror 28 of the embodiment according to FIG. 2, is designed so that it collects virtually the entire EUV radiation 14 of the radiation source 3 emitted in the backward direction. Between the solid angle collection regions of the ellipsoid mirror 33 on the one hand and the collector subunit 24 on the other, there is a ring of solid angles which surrounds the symmetry axis 26 and includes directions which are in close proximity to the plane 30 for separating the half planes. These solid angles are collected in the collector 32 by another ellipsoid mirror 34 having a mirror surface 35. Likewise, the elliptic shape of the mirror surface 35 is such that the radiation source 3 is disposed in one intensity spot while the intermediate focus 23 is disposed in the other intensity spot of the mirror surface 35. The ellipsoid mirror 34 has the shape of a ring-shaped mirror shell whose inner wall, which defines the mirror surface 35, reflects the EUV radiation 14. This mirror shell is arranged around the axis 26 in a rotationally symmetric manner. An internal diameter of a useful region of the mirror surface 35 is greater than an external diameter of a useful region of the outermost mirror 251 of the collector subunit 24. The EUV radiation 14a which is reflected by the ellipsoid mirror 34 is guided to the intermediate focus 23 via a spatial region which is arranged around the collector subunit 24. The following is a description, with reference to FIG. 4, of another embodiment of a collector 36 which may be provided in the projection exposure apparatus 1 if the projection exposure apparatus 1 is equipped with two spaced EUV radiation sources 31 and 32. Components which correspond to those that have already been described above have the same reference numerals and are not discussed in detail again. The collector 36 has two collector subunits 37, 38. Each of these two collector subunits 37, 38 is assigned to one of the two radiation sources 31, 32. Each of the collector subunits 37, 38 has several mirrors in the form of mirror shells which are arranged one inside the other in the manner of the mirrors 251 to 254 of the embodiments according to FIGS. 2 and 3. This is not shown in FIG. 4. The mirror shells of the collector subunits 37, 38 are rotationally symmetric to symmetry axes 261, 262 which in each case coincide with connection lines between the radiation sources 31, 32 and the intermediate focus 23. The two collector subunits 37, 38 are thus not mirror symmetric relative to the same symmetry axis. The collector subunits 37, 38 may in each case be designed in the manner of the collector subunit 24 of the FIGS. 2 and 3. The collector subunits 37, 38 collect EUV radiation 141, 142 which is in each case emitted in the forward direction by one of the radiation sources 31, 32. Half space separation planes 301, 302 for distinguishing the forward direction of the emission of the radiation source 31, 32 from the backward direction thereof are in each case defined as the planes in which the radiation sources 31, 32 are disposed and to which the symmetry axes 261, 262 are perpendicular. EUV radiation which is emitted in directions which coincide at least partially with the half space separation planes 301, 302 is hereinafter referred to as emission in a lateral direction. In addition to the two collector subunits 37, 38, the collector 36 has two ellipsoid mirrors 39, 40. The ellipsoid mirror 40 which is adjacent to the radiation source 32 receives EUV radiation 141 emitted by the radiation source 31 in the lateral direction. The ellipsoid mirror 39 which is adjacent to the radiation source 31 receives EUV radiation 142 emitted by the radiation source 32 in the lateral direction. Solid angle regions 39a, 40a of EUV radiation, which is emitted by the light sources 31, 32 and which is also usable by the collector 36, are highlighted in FIG. 4. Mirror surfaces 41, 42 of the two ellipsoid mirrors 39, 40 are disposed on one and the same ellipsoid, with the two radiation sources 31, 32 being disposed in the two intensity spots thereof. Each of the two mirror surfaces 41, 42 forms a portion of this ellipsoid. The mirror surfaces 41, 42 are concave inner wall portions of the ellipsoid mirrors 39, 40. EUV radiation 141 which is emitted by the radiation source 31 in the lateral direction and which is reflected by the mirror surface 42 of the ellipsoid mirror 40 is then guided to the location of the second radiation source 32, passes through this second radiation source 32 and is then received by the collector subunit 38 and guided to the intermediate focus 23. A solid angle of collection 40a of the collector subunit 38 is adapted to the mirror surface 42 of the ellipsoid mirror 40 in such a way that the radiation reflected by the mirror surface 42 can be collected by the collector subunit 38 after passing the location of the radiation source 32. Correspondingly, the EUV radiation 142 which is emitted by the radiation source 32 in the lateral direction is reflected by the mirror surface 41 of the ellipsoid mirror 39 before passing through the location of the other radiation source 31 and is then collected by the collector subunit 37, whose solid angle of collection is adapted to the surface of the mirror surface 41, before being guided to the intermediate focus 23. In the operation of the projection exposure apparatus 1 including the collector 36, the two plasma radiation sources 31, 32 are ignited at different times so that when one of the two radiation sources 31, 32 is emitting radiation, the other one of the two radiation sources 31, 32 is not active. This prevents unwanted absorption of EUV radiation 142, 141, which is emitted by the respective active radiation source 31, 32 in the backward direction and which is reflected by the mirror surfaces 42, 41 towards the location of the other radiation source, by plasma of this other radiation source 32, 31. The following is a description, with reference to FIG. 5, of another embodiment of a collector 43 to be used in the projection exposure apparatus according to FIG. 1 instead of the collector 15. Components which correspond to those which have already been described above with reference to FIGS. 1 to 4 have the same reference numerals and are not discussed in detail again. The collector 43 is again designed for operation with exactly one EUV radiation source 3. The radiation source 3 according to FIG. 5 is again surrounded by three collector subunits 44, 45 and 46 which are again shown in a diagrammatic meridional section in FIG. 5. Outside the drawing plane of FIG. 5, for example two further collector subunits of this type may be arranged which are able to collect portions of the EUV radiation 14 which are emitted by the radiation source 3 in the direction of the observer and in the opposite direction. It is generally possible for collector subunits to not only be arranged within the drawing plane of FIG. 5 but also around the radiation source 3, for example in the shape of a regular polyhedron (so-called Platonic bodies), an irregular polyhedron (so-called Archimedic bodies) or any other spatial arrangement. Each of these arrangements may also have intermediate regions which are suitable for the supply of a plasma-producing laser radiation or which may serve as installation spaces for retaining devices. The collector subunit 44 is arranged similarly to the collector unit 24 of the embodiments according to FIGS. 2 and 3 and collects, in the meridional plane according to FIG. 5, approximately one third of the reflection angle emitted by the radiation source 3. The two other thirds of the reflection angle of the radiation source 3 in the meridional plane according to FIG. 5 are collected by the other two collector subunits 45, 46 so that in the meridional plane according to FIG. 5, entrance front regions 47 of the three collector subunits 44 to 46 surround the radiation source 3 in the manner of an equilateral triangle. Having been collected by the collector subunit 44, the EUV radiation 14 of the radiation source 3 is directly transferred to the intermediate focus 23 as explained above with reference to the descriptions according to FIGS. 2 to 4. The other two collector subunits 45, 46 transfer the collected EUV radiation 142, 143 to an intermediate intensity spot 48, 49 in each case downstream of the collector subunit 45, 46. Each of the collector subunits 44 to 46 is rotationally symmetric. The collector subunit 44 is rotationally symmetric about a symmetry axis which coincides with the intersection of the symmetry plane 61 with the drawing plane. The two collector subunits 45, 46 are in each case rotationally symmetric about symmetry axes 261, 262. The symmetry axes around which the collector subunits 44 to 46 are arranged in a rotationally symmetric manner do not coincide with each other. An ellipsoid mirror 50, 51 including mirror surfaces 50a, 51a is in each case arranged in the beam path of the EUV radiation 142, 143 downstream of the collector subunits 45, 46. The intermediate intensity spot 48 at the exit of the collector subunit 45 is arranged in an intensity spot of the ellipsoid defined by the mirror surface 50a of the ellipsoid mirror 50. The intermediate focus 23 is disposed in the other intensity spot of the ellipsoid. The intermediate intensity spot 49 at the exit of the collector subunit 46 is arranged in an intensity spot of the ellipsoid defined by the mirror surface 51a of the ellipsoid mirror 51. The intermediate focus 23 is disposed in the other intensity spot of the ellipsoid. Ellipsoid mirrors which are shaped and arranged correspondingly are assigned to further collector subunits which may additionally be provided and which collect the emission of the radiation source 3 from directions which are perpendicular to the drawing plane according to FIG. 5, for example. In an alternative embodiment of the collector 43 according to FIG. 5, the collector subunit 44 may also be omitted. The following is a description, via FIG. 6, of another embodiment of a collector 52 to be used in the projection exposure apparatus 1 according to FIG. 1 instead of the collector 15. Components which correspond to those which have already been described above with reference to FIGS. 1 and 5 have the same reference numerals and are not discussed in detail again. The collector 52 has a collector subunit 53 including a total of two grazing incidence mirrors 541 and 542. These mirrors 541 and 542 are mirror shells which are disposed one inside the other and are rotationally symmetric about the central rotational symmetry axis 26. The collector 52 additionally has another collector unit 55 including two grazing incidence mirrors 56, 57. The mirror 56 is another mirror shell which is arranged within the innermost mirror 542 of the collector subunit 53 and which is also rotationally symmetric to the central symmetry axis 26. The mirror 57 is another mirror shell which is arranged in the mirror 56. Thus, the collector 52 has a total of four mirrors, namely the two outer mirrors 541 and 542 of the collector subunit 53 and the two inner mirrors 56, 57 of the collector subunit 55. In the case of grazing incidence, the mirrors 541 and 542 of the collector subunit 53 are used like the mirrors 251 to 254 of the embodiment according to FIGS. 2 and 3. The EUV radiation 14 is reflected by the mirrors 541 and 542, in other words by their mirror shell inner walls. The mirror shell inner walls are those walls of the mirror shells which face the central rotational symmetry axis 26. Correspondingly the outer walls of the mirror shells are those walls which are arranged in such a way as to face away from the central rotational symmetry axis 26. The two inner mirror shells, in other words the mirrors 56 and 57 forming the collector subunit 55, are designed in such a way that EUV radiation 14 which is emitted by the radiation source 3 to enter the region between these two mirrors 56, 57 is at first reflected by an outer wall of the innermost mirror shell, in other words the mirror 57, before being reflected, downstream of the bending region 27 of the mirrors 56, 57, by a mirror shell inner wall of the mirror 56 of the collector subunit 55 surrounding the innermost mirror 57. The inner collector subunit 55 is therefore a Wolter-II collector. The collector 52 may additionally include an ellipsoid mirror in the manner of the ellipsoid mirrors 28 or 33, 34. Furthermore, the collector 52 may be part of one of the collector systems according to FIGS. 4 and 5 where it fulfils the function of the collector subunit 37, 38 and 44 to 46, for example. The following is a description, via FIG. 7, of a collector 58 which, similarly to the collector 36 according to FIG. 4, may also be used in the projection exposure apparatus 1 if the projection exposure apparatus 1 is equipped with two spaced EUV radiation sources 31 and 32. Components which correspond to those that have already been described above with reference to FIGS. 1 to 6 and in particular with reference to FIG. 4 have the same reference numerals and are not discussed in detail again. In addition to the mirrors and collectors of the collector 38, the collector 58 is also equipped with two further shell-like ellipsoid mirrors 59, 60 whose function is similar to that of the ellipsoid mirror 34 of the collector 32 according to FIG. 2. The ellipsoid mirror 59 is a partial ring shell, for example a half shell, with the radiation source 31 being disposed in one intensity spot while the intermediate focus 23 is disposed in the other intensity spot of the mirror 59. The radiation source 32 is disposed in one of the two intensity spots of the ellipse of the mirror 60 while the intermediate focus 23 is disposed in the other intensity spot. The mirror 60 is mirror symmetric to the mirror 59 relative to a symmetry plane 61. The symmetry plane 61 which includes the angle bisector between the two symmetry axes 261, 262 and is perpendicular to the drawing plane of FIG. 7, is a mirror symmetry plane for all bundle-guiding components of the collector 58. A corresponding symmetry plane is provided in the collector 36 according to FIG. 4 as well. The mirror 59 receives EUV radiation 142 of the radiation source 32 which is emitted in the backward direction and was at first reflected by the ellipsoid mirror 40. The mirror 59 guides this EUV radiation 142 past the outside of the collector subunit 37 and in the direction of the intermediate focus 23. Similarly, EUV radiation 141 which is emitted by the radiation source 31 in the backward direction is at first reflected by the ellipsoid mirror 39 and then by the mirror 60 before being reflected past the outside of the collector subunit 38 in the direction of the common intermediate focus 23. Similarly to the above explanation with reference to the collector 36 according to FIG. 4, the EUV beam paths 142 and 141 pass through the respective other EUV radiation sources 31 and 32, which are not in operation at this instant, before being reflected by the mirrors 50, 60. Similarly to the above explanation with reference to the mirror 34 in FIG. 3, the mirror 59 furthermore reflects the light, which is emitted by the radiation source 31 in the lateral direction, towards the intermediate focus 23. The mirror 60 also reflects the light emitted by the radiation source 32 in the lateral direction directly towards the intermediate focus 23 as well. To conclude, this arrangement allows the light, which is emitted by the light sources 31 and 32 in the forward and backward directions as well as two different lateral directions, to be collected in a common intensity spot. When the projection exposure apparatus 1 is operated with one of the collector embodiments described above, the reticle 7 and the wafer 12, which is provided with a coating that is light-sensitive to the illumination light 14, are provided in a first step; then at least a portion of the reticle 7 is projected to the wafer 12 via the projection exposure apparatus 1. Having been exposed to the illumination light bundle 14, the light-sensitive layer on the wafer 12 is developed so as to produce the microstructured or nanostructured component, for example a semiconductor chip. |
|
abstract | A neutron spectrometer is provided by a series of substrates covered by a solid-state detector stacked on an absorbing layer. As many as 12 substrates that convert neutrons to protons are covered by a layer of absorbing material, acting as a proton absorber, with the detector placed within the layer to count protons passing through the absorbing layer. By using 12 detectors the range of neutron energies are covered. The preferred dodecahedron embodiment of the neutron spectrometer is a solid, polyethylene dodecahedron assembly with 12 surface facets covered by a solid-state detector stacked on an absorbing layer composed of titanium. Each absorbing layer is constructed with a different thickness according to the minimum and maximum energies of neutrons in the spectrum. In this arrangement, each of the 12 surface pentagon-shaped facets provides a polyethylene substrate to convert neutrons to protons, covered by a layer of absorbing material, acting as a proton absorber, with the detector stacked on the absorbing layer to count protons passing through the absorbing layer. The dodecahedron assembly is housed concentrically within a titanium spherical shell that serves as an outer shield. The dodecahedron embodiment is sufficiently lightweight to be suitable for airborne and satellite applications. |
|
summary | ||
claims | 1. A radioactive contaminant container comprising:a wall that defines a containing space for containing radioactive contaminants and shields at least a portion of radiation irradiated from the radioactive contaminants,wherein the wall has an outer shape of a hexagonal cylinder or a substantially hexagonal cylinder,wherein the wall includes a first protrusion extending along an axial direction of the hexagonal cylinder or the substantially hexagonal cylinder and protruding outward; and a first recess extending along the axial direction and recessed inward, andwherein the first recess can be fitted to the first protrusion formed in the other radioactive contaminant container. 2. The radioactive contaminant container according to claim 1,wherein the wall includes a first surface and a second surface, each extending in a direction intersecting with the axial direction of the hexagonal cylinder or the substantially hexagonal cylinder, and each shape being hexagonal or substantially hexagonal,wherein any one surface of the first surface and the second surface includes a second protrusion protruding outward, and the other surface includes a second recess recessed inward, andwherein the second recess can be fitted to the second protrusion formed in the other radioactive contaminant container. 3. The radioactive contaminant container according to claim 1,wherein the wall includes a metal plate having multiple through-holes. 4. The radioactive contaminant container according to claim 1,wherein a portion of the wall is formed to be attachable to and detachable from the other portion of the wall, or to be openable and closeable in order to contain the radioactive contaminants in the containing space. 5. The radioactive contaminant container according to claim 1,wherein the wall includes a layer containing radiation shielding materials having at least silicon, strontium, magnesium, europium and dysprosium as essential elements. 6. The radioactive contaminant container according to claim 5,wherein the wall further includes a layer formed of stainless steel. 7. The radioactive contaminant container according to claim 5,wherein the layer containing the radiation shielding materials is a layer in which the radiation shielding materials are added to resin or rubber. 8. The radioactive contaminant container according to claim 1,wherein the wall is formed of stainless steel. 9. The radioactive contaminant container according to claim 1,wherein the radioactive contaminant container contains a reverse osmosis membrane used to purify radioactively contaminated water. 10. A radioactive contaminant container,wherein a containing space for containing radioactive contaminants contains multiple radioactive contaminant containers according to claim 9. 11. The radioactive contaminant container according to claim 1,wherein the first recess is disposed on three surfaces which are not adjacent to each other within six surfaces extending in the axial direction of the hexagonal cylinder or the substantially hexagonal cylinder, andwherein a handle for attaching a wire rope for transportation is disposed in the first recess. |
|
claims | 1. A radiation attenuation shield comprising:an attenuation layer formed from a composition comprising20 to 85 percent by volume of a polymer; and5 to 55 percent by volume of a radiation attenuating material,wherein the radiation attenuating material is dispersed within the polymer;a first magnetic material layer; anda second magnetic material layer, wherein the first and second magnetic material layers encase the attenuation layer. 2. The radiation attenuation shield of claim 1, wherein the radiation attenuating material comprises at least one component of iron, tungsten, bismuth, bismuth oxide, lead, boron carbide, and aluminum trihydrate. 3. The radiation attenuation shield of claim 1, wherein the radiation attenuating material comprises two or more components of iron, tungsten, bismuth, bismuth oxide, lead, boron carbide, and aluminum trihydrate. 4. The radiation attenuation shield of claim 1, wherein the radiation attenuating material is tungsten. 5. The radiation attenuation shield of claim 1, wherein the radiation attenuating material is iron. 6. The radiation attenuation shield of claim 1, wherein the polymer is a liquid silicone rubber that is catalyzed to a flexible solid. 7. The radiation attenuation shield of claim 1, wherein the magnetic material comprises at least one of a rare-earth metal alloy, ferrite, and iron powder. 8. The radiation attenuation shield of claim 1, wherein the magnetic material comprises at least two of a rare-earth metal alloy, ferrite, and iron powder. 9. The radiation attenuation shield of claim 1, wherein the radiation attenuating material and magnetic material comprises a powder of particles no larger than −60 mesh. 10. The radiation attenuation shield of claim 1, wherein the radiation attenuation ability of the radiation attenuation shield is at least 19 percent. 11. The radiation attenuation shield of claim 1, wherein the magnetic material of the radiation attenuation shield has a flat attractive force of at least 700 gauss and a closing force of at least 1400 gauss. 12. The radiation attenuation shield of claim 1, wherein the attenuation layer further comprises a magnetic material. 13. The radiation attenuation shield of claim 1 further comprising a first end portion comprising primarily magnetic material. 14. The radiation attenuation shield of claim 13 further comprising a second opposing end portion also comprising primarily said magnetic material. 15. A radiation attenuation shield comprising 10 to 70 percent by volume of a magnetic material, 5 to 55 percent by volume of a radiation attenuating material, and 20 to 85 percent by volume of a polymer. 16. The radiation attenuation shield of claim 15, wherein the radiation attenuating material is chosen from the group of iron, tungsten, bismuth, bismuth oxide, lead, boron carbide, and aluminum trihydrate. 17. The radiation attenuation shield of claim 15, wherein the radiation attenuating material comprises tungsten. 18. The radiation attenuation shield of claim 15, wherein the radiation attenuating material comprises iron. 19. The radiation attenuation shield of claim 15, wherein the radiation attenuating material comprises a mixture of tungsten and iron. 20. The radiation attenuation shield of claim 15, wherein the polymer is a liquid silicone rubber that is catalyzed to a flexible solid. 21. The radiation attenuation shield of claim 15, wherein the magnetic material comprises at least one of a rare-earth metal alloy, ferrite, and iron powder. 22. The radiation attenuation shield of claim 15, wherein the magnetic material comprises at least two of a rare-earth metal alloy, ferrite, and iron powder. 23. The radiation attenuation shield of claim 15, wherein the radiation attenuating material and magnetic material comprises a powder of particles no larger than −60 mesh. 24. The radiation attenuation shield of claim 15, wherein the radiation attenuation ability of the radiation attenuation shield is at least 19 percent. 25. The radiation attenuation shield of claim 15, wherein the magnetic material of the radiation attenuation shield has a flat attractive force of at least 700 gauss and a closing force of at least 1400 gauss. 26. The radiation attenuation shield of claim 15, wherein the radiation attenuating material and the magnetic material are dispersed within the polymer to form an attenuation layer. 27. The radiation attenuation shield of claim 26 further comprising a magnetic material layer positioned adjacent to the attenuation layer. 28. The radiation attenuation shield of claim 26 further comprising a magnetic material layer that encases the attenuation layer. 29. A method of manufacturing a radiation attenuation shield comprising the steps of:combining 20 to 85 percent by volume of a polymer, 5 to 55 percent by volume of a radiation attenuating material, and 10 to 70 percent by volume of a magnetic material to create a mixture;inserting said mixture into a mold;allowing said mixture to solidify to create a solidified mixture; andremoving said solidified mixture from said mold. 30. The method of claim 29 further including the step of curing said mixture. 31. The method of claim 29 further including the step of combining said polymer with a catalyst. 32. A system for attenuating radiation including the steps ofproviding a radiation attenuation shield comprising 20 to 85 percent by volume of a polymer, 5 to 55 percent by volume of a radiation attenuating material, and 10 to 70 percent by volume of a magnetic material;securing said radiation attenuation shield to a structure to limit radiation exposure surrounding said system. 33. The system of claim 32 wherein said structure radiates radiation and said radiation attenuation shield limits radiation from exiting said shield. |
|
summary | ||
abstract | A method of providing intensity modulated radiation therapy to a moving target is disclosed. The target moves periodically along a trajectory that is projected onto a multi-leaf collimator (MLC) plane. The MLC plane is divided into thin slices parallel to the movement of the target. The present invention optimizes the leaf sequence such that, within each slice, if a point receives radiation, all other points in that slice that receive the same amount or more fluence are also receiving radiation at the same time. |
|
summary | ||
claims | 1. A mirror comprising:a substrate;a reflecting layer arrangement;at least one surface protecting layer; anda graphene layer arranged between the reflecting layer arrangement and the at least one substrate protecting layer,wherein the reflecting layer arrangement is configured such that light having a wavelength of less than 250 nm that is incident on the mirror at at least an angle of incidence of between 0° and 30° is reflected with more than 20% of its intensity, and the layer arrangement comprises at least one surface layer system (P′″) comprising a periodic sequence of at least two periods (P3) of individual layers,wherein the periods (P3) comprise two individual layers composed of different materials providing a high refractive index layer (H′″) and a low refractive index layer (L′″),wherein the graphene layer supports the reflecting layer arrangement on the at least one surface protecting layer and has a surface roughness of less than 0.1 nm rms HSFR (high spatial frequency roughness), andwherein the surface roughness of the graphene layer is less than a surface roughness of the surface protecting layer. 2. The mirror according to claim 1, wherein the reflecting layer arrangement comprises at least one layer which is formed from or as a compound is composed of a material from the group consisting of: nickel, carbon, graphene, boron carbide, cobalt, beryllium, silicon, and silicon oxides. 3. The mirror for the EUV wavelength range according to claim 2, wherein the at least one surface protecting layer comprises at least one surface protecting layer system (SPLS) comprising a periodic sequence of at least two periods (Pp) of individual layers, where the periods (Pp) comprise two individual layers composed of different materials for a high refractive index layer (Hp) and a low refractive index layer (Lp), wherein the materials of the two individual layers (Lp, Hp) forming the periods (Pp) are either nickel and silicon or cobalt and beryllium. 4. The mirror for the EUV wavelength range according to claim 3, wherein the individual layers are separated by at least one barrier layer (B) and the barrier layer comprises a material which is selected from or as a compound is composed of at least one material selected from the group consisting of: B4C, C, graphene, Si nitride, Si carbide, Si boride, Mo nitride, Mo carbide, Mo boride, Ru nitride, Ru carbide and Ru boride. 5. The mirror for the EUV wavelength range according to claim 2, wherein the materials of the two individual layers (L″, H″, L′″, H′″) forming the periods (P2, P3) are either molybdenum and silicon or ruthenium and silicon, and wherein a covering layer system (C) comprises at least one layer (M) composed of a chemically inert material and terminates the reflecting layer arrangement of the mirror. 6. The mirror for the EUV wavelength range according to claim 2, wherein the at least one surface protecting layer comprises at least one surface protecting layer system (SPLS) comprising a periodic sequence of at least two periods (Pp) of individual layers, wherein the periods (Pp) comprise two individual layers composed of different materials providing a low refractive index layer (Lp) and a barrier layer (B). 7. A projection objective for microlithography comprising a mirror according to claim 1. 8. A projection exposure apparatus for microlithography comprising a projection objective according to claim 7. 9. The mirror according to claim 1, wherein the at least one substrate protecting layer has a surface roughness of between 10 nm and 1.0 μm. 10. A mirror comprising a substrate and a reflecting layer arrangement,wherein the reflecting layer arrangement is configured such that light having a wavelength of less than 250 nm that is incident on the mirror at at least an angle of incidence of between 0° and 30° is reflected with more than 20% of its intensity, and the reflecting layer arrangement comprises at least one surface layer system (P′″) comprising a periodic sequence of at least two periods (P3) of individual layers,wherein the periods (P3) comprise two individual layers composed of different materials providing a high refractive index layer (H′″), a low refractive index layer (L′″) and a graphene layer arranged between the high refractive index layer and the low refractive index layer, wherein the graphene layer has a surface roughness of less than 0.1 nm rms HSFR (high spatial frequency roughness) and is configured to suppress interdiffusion between the high refractive index layer and the low refractive index layer and to increase an optical contrast between the high refractive index layer and the low refractive index layer. 11. The mirror according to claim 10, wherein the graphene layer has a surface roughness of less than 0.1 nm rms for spatial frequencies above the HSFR range. 12. The mirror according to claim 10, wherein the mirror is a mirror for the extreme-ultraviolet (EUV) wavelength range which reflects EUV radiation incident on the mirror at at least an angle of incidence with respect to the normal at the point of impingement on the mirror surface of between 0° and 30° with more than 20% of its intensity. 13. The mirror for the EUV wavelength range according to claim 12, wherein the reflecting layer arrangement comprises at least one surface protecting layer system (SPLS) comprising a periodic sequence of at least two periods (Pp) of individual layers, where the periods (Pp) comprise two individual layers composed of different materials for a high refractive index layer (Hp) and a low refractive index layer (Lp), wherein the materials of the two individual layers (Lp, Hp) forming the periods (Pp) are either nickel and silicon or cobalt and beryllium. 14. The mirror for the EUV wavelength range according to claim 12, wherein the materials of the two individual layers (L″, H″, L′″, H′″) forming the periods (P2, P3) are either molybdenum and silicon or ruthenium and silicon, and wherein a covering layer system (C) comprises at least one layer (M) composed of a chemically inert material and terminates the layer arrangement of the mirror. 15. The mirror for the EUV wavelength range according to claim 12, wherein the reflecting layer arrangement comprises at least one surface protecting layer system (SPLS) comprising a periodic sequence of at least two periods (Pp) of individual layers, wherein the periods (Pp) comprise two individual layers composed of different materials providing a low refractive index layer (Lp) and a barrier layer (B). 16. The mirror for the EUV wavelength range according to claim 15, wherein the material for the low refractive index layer (Lp) consists of nickel. 17. The mirror for the EUV wavelength range according to claim 15, wherein the at least one surface protecting layer system (SPLS) has layers having a surface roughness of less than 0.5 nm rms HSFR. 18. The mirror for the EUV wavelength range according to claim 17, wherein the substrate consists of a metal or a metal alloy. 19. A projection objective for microlithography comprising a mirror according to claim 10. 20. A projection exposure apparatus for microlithography comprising a projection objective according to claim 19. 21. The mirror according to claim 10, further comprising at least one substrate protecting layer having a surface roughness of between 10 nm and 1.0 μm. 22. The mirror according to claim 10, further comprising a second graphene layer between the at least two periods (P3) of individual layers. |
|
abstract | Chirality distribution in the molecular structure of protein or the like and magnetic domain structure are analyzed with high resolution less than 10 nm. A transmission electron microscope equipped with a spin-polarized electron source is used for holography observation. The phase of transmission spin-polarized electrons changes due to the existence of chirality structure or magnetization in a sample, which is observed as an interference pattern phase shift in holography measurement. |
|
summary | ||
052157040 | description | DESCRIPTION OF THE INVENTION Water-to-water heat exchangers typically are constructed in two forms. In the "U-tube" configuration shown in FIG. 1, a plurality of tubes 10 are formed into the shape of a "U" with their ends fitted into holes in a tube sheet 11. A bonnet 12 having an inlet port 13 and an outlet port 14 for the service fluid is bolted or otherwise secured to the periphery of tube sheet 11, and a divider plate 15 is positioned inside the bonnet to separate the inlet ports and outlet ports of the tubes. A shell 16 having an inlet port 17 and outlet port 18 for the process fluid is also fastened to the periphery of tube sheet 11. In a "straight-tube" type of heat exchanger (not shown), the tubes are straight and their ends are fitted into two separate tube sheets, each having a bonnet attached at its periphery. The service fluid is admitted into one of the bonnets, flows through the tubes, and exits through an outlet port in the other bonnet. A shell having inlet and outlet ports for the process fluid surrounds the tubes and is attached to the periphery of each tube sheet. FIG. 2 illustrates an end view of the heat exchanger of FIG. 1 with the bonnet removed and shows schematically the fittings and instrumentation necessary to conduct a heat transfer test in accordance with this invention. A water reservoir 20 is connected to an inlet port 21 of a tube in the heat exchanger via an inlet hose 22 and a metered pump 23. An inlet fitting 24 forms the connection between hose 22 and port 21. An outlet port 25 of the same tube is connected to reservoir 20 via an outlet fitting 26 and an outlet hose 27. Reservoir 20 contains cooling coils 22a and baffles 22b which assure that the water is mixed and at a uniform temperature before it is returned to hose 22. A vent 22c allows the escape of any air that is initially in hoses 22 or 27 or the tube being tested. A microprocessor 28 is fed signals indicating the temperature (t.sub.i) of the water at inlet port 21, the temperature (t.sub.o) of the water at the outlet port , the temperature (T) of the process fluid, the pressure differential (.DELTA.P) between the water at inlet port 21 and outlet port 27, and the flow rate provided by pump 23. FIG. 3 illustrates inlet fitting 24 in detail. Fitting 24 contains a tubular body 30 attached at one end to a centering guide 31 which is inserted into the tube. A rubber seal 32 provides a leakproof seal between centering guide 31 and tube sheet 11. An 0-ring 33 seals body 30 and centering guide 31. Inlet hose 22 fits over a hose connection 34 at the other end of body 30. Inserted through the wall of body 30 are a temperature detector 35 (e.g., a resistance temperature detector, thermistor or thermocouple) and a pressure detector 36, both of which are connected to microprocessor 28 as shown in FIG. 2. Outlet fitting 26 is similar in construction to inlet fitting 24. The heat transfer performance of an individual tube in a heat exchanger is measured by U, which is its actual heat transfer coefficient in operation. Its optimal heat transfer coefficient when it is clean is represented by U.sub.c. r.sub.f, the fouling resistance of the layer or layers of contamination, is equal to: EQU r.sub.f =1/U-1/U.sub.c If there is more than one layer of deposit on the inside and/or outside of the tube, r.sub.f is the summation of the fouling resistance of the layers: EQU r.sub.f =r.sub.1 +r.sub.2 - - - r.sub.a The actual heat transfer coefficient U is derived by equating (i) the loss of heat from the fluid as it flows through the tube to (ii) the heat flow through the wall of the tube and any deposit layers on the surface of the tube. The loss of heat from the fluid is represented by: EQU Q=mC.sub.p (t.sub.o -t.sub.i) (1) where, Q is the heat flow in Btu/hr PA0 m is the mass flow rate of the fluid in lbs/hr PA0 C.sub.p is the specific heat of the fluid at constant pressure in Btu/lbs-.degree. F. PA0 t.sub.i is the temperature at the inlet of the tube PA0 t.sub.o is the temperature at the outlet of the tube PA0 U is the actual heat transfer coefficient of the heat exchanger in Btu/hr-ft.sup.2 -.degree. F. PA0 A.sub.o is the area of the outside surface of the tube in ft.sup.2 PA0 LMTD is the log mean temperature difference between the service water in the tube and the process fluid in .degree. F., which in turn is equal to ##EQU1## where T is the temperature of the process fluid, which is assumed to be a constant. The heat flow through the tube wall and fouling layers is represented by: EQU Q=UA.sub.0 (LMTD) (2) where, Solving equations (1) and (2) for U yields: ##EQU2## Thus U is expressed in terms of the characteristics of the service water (C.sub.p), the dimensions of the tube (A), temperatures of the water and process fluid (t.sub.o, t.sub.i, T), and the rate of flow of the service water (the mass rate of flow (m) is easily computed from the flow meter on pump 23, e.g., for water, m=496.79 times the flow rate in gals/min). All of these quantities are either known or are obtainable from the meter and detectors associated with the heat exchanger and pump 23. Microprocessor 28 can easily be programmed to provide a continuous indication of U. Alternatively, U can be computed manually. The arrangement described above contemplates that the service fluid would be chilled in reservoir 20. If reservoir 20 includes a heater instead of a cooler, the same calculations can be performed except that t.sub.i and t.sub.o are reversed in each of the equations. The number of heat exchanger tubes that need to be tested depends on the statistical distribution of fouling in the individual tubes and is expected to vary between six tubes and 10% of the total number of tubes. It appears that the tubes to be tested should be selected randomly. Once the heat transfer coefficient U has been determined, it can be compared with U.sub.c, the heat transfer coefficient of the heat exchanger in a clean condition, to calculate r.sub.f, the fouling resistance. The heat transfer capability of the heat exchanger decreases as the fouling resistance increases. The value of U.sub.c is can be obtained or derived from the technical specifications and design data for the heat exchanger. If it is not available, one of the tubes can be cleaned and the test can be performed on the clean tube. The pressure differential between the inlet and outlet ports 13 and 14 of bonnet 12 may be recorded at the time of each test, and a correlation between pressure differential and the heat transfer coefficient of the exchanger can be developed. If the correlation appears reliable, then the pressure differential can be monitored in lieu of future direct measurements of the heat transfer coefficient, saving considerable time and expense. The method and structure described above can be used both with liquid-to-liquid heat exchangers and liquid-to-gas heat exchangers. If the tubes are finned, the outside area can be determined from the number and geometry of the fins. The above description is intended to be illustrative and not limiting. Other methods and embodiments will be apparent to those skilled in the art all of which are within the broad principles of this invention. |
description | The present application is a divisional of U.S. patent application Ser. No. 11/855,110, filed on Sep. 13, 2007 now U.S. Pat. No. 7,715,517, which claims the benefit of U.S. Provisional Application No. 60/844,448, filed on Sep. 13, 2006, the entireties of which are hereby incorporated by reference. The present invention relates generally to apparatus and methods for loading and/or supporting radioactive fuel assemblies, and specifically to apparatus and methods for loading and/or supporting spent nuclear fuel assemblies in an underwater environment. In the nuclear power industry, the nuclear energy source is in the form of hollow zircaloy tubes filled with enriched uranium, known as fuel assemblies. Upon being deleted to a certain level, spent fuel assemblies are removed from a reactor. At this time, the fuel assemblies emit extremely dangerous levels of neutrons and gamma photons (i.e., neutron and gamma radiation). It is necessary that the neutron and gamma radiation emitted from spent fuel assemblies be adequately contained at all times upon being removed from the reactor. Because water is an excellent radiation absorber, spent fuel assemblies are typically submerged under water in a pool promptly after being removed from the reactor. The pool water also serves to cool the spent fuel assemblies, which can initially give off dangerous amounts of heat that must be drawn away from the fuel assemblies. Fuel storage racks that hold a plurality of spent fuel assemblies are typically used to support the spent fuel assemblies in the underwater environment of the pool. It is generally desirable that fuel storage racks support the fuel assemblies in a vertical orientation. Each fuel assembly is placed in a separate cell so that the fuel assemblies are shielded from one another. The cells are usually elongated vertical cavities which are open at their top ends for receiving the fuel assembly during a loading procedure. An example of a typical existing fuel rack, is described in U.S. Pat. No. 4,382,060, to Maurice Holtz et al., issued May 3, 1983, the entirety of which is hereby incorporated by reference. During a typical underwater loading procedure of existing fuel racks, an empty fuel rack is first submerged in a fuel pool. The fuel rack must be sufficiently tall so that its cells can receive the entire length of the fuel assemblies to be loaded therein. Initially, a fuel assembly is positioned above the fuel rack in a vertical orientation and in alignment with the cell into which it will be loaded. Once the proper alignment is achieved, the fuel assembly is lowered into the cell. The fuel assembly maintains a vertical orientation during the entire loading process. For safety purposes, the entire fuel assembly must remain submerged within the water of the pool at all times. Thus, the depth of the pool must at a minimum be equal to the combined height of the fuel rack and the height of the fuel assembly (plus a margin of safety). This minimum depth requirement for the underwater loading procedure presents problems for a number of facilities. In some instances, the fuel pool itself may not be deep enough to accommodate the combined height of the fuel rack and the fuel assembly. In other instances the temporary holding pools may not be adequately deep to perform the loading procedure in a safe manner. It is, therefore, an object of the present invention to provide a fuel rack that can be loaded without positioning the fuel assemblies above the fuel rack. Yet another object of the present invention is to provide a fuel rack that can be laterally loaded. Yet another object of the present invention is to provide a fuel rack that can be loaded in shallow pool environments. Still another object of the present invention is to provide a fuel rack that can withstand high inertia toads acting in concert with hydraulic loads from moving water. A further object of the present invention is to provide a fuel rack that can be laterally loaded while still providing adequate lateral restraints to fuel assemblies once loaded. A yet further object of the present invention is to provide a fuel rack that eliminates the need for neutron absorber plates. Still another object of the present invention is to provide a fuel rack that is easy to manufacture. It is a further object of the present invention to provide a novel method of loading spent fuel assemblies into a fuel rack in an underwater environment. Yet another object of the present invention is to provide a method of laterally loading spent fuel assemblies into a fuel rack. Another object of the present invention is to provide a fuel rack that is compact and maximizes the storage space of a fuel pool. A yet further object of the present invention is to provide a fuel rack that resists water corrosion. Still another object of the present invention is to provide a fuel rack that maintains structural stability under radiation exposure. These and other objects are met by the present invention, which in one embodiment can be an apparatus for supporting nuclear fuel assemblies comprising: a body structure comprising at least one substantially vertically oriented elongated cell for receiving a nuclear fuel assembly, the body having a top, a bottom and a first lateral side; at least one elongated slot in the first lateral side of the body structure that forms a passageway into the cell through which a vertically oriented fuel assembly can be loaded; and means for supporting a fuel assembly within the cell in a substantially vertical orientation. In another embodiment, the invention may be an apparatus for supporting a plurality of radioactive fuel assemblies having a substantially rectangular horizontal cross-section having a width and a diagonal, the apparatus comprising: a plurality of plates forming a gridwork of substantially vertically oriented cells for receiving the fuel assemblies, the cells having a rectangular horizontal cross-section having a width that is greater than the diagonal of the fuel assemblies, the plurality of plates extending from a base having means for supporting the fuel assemblies in a substantially vertical orientation within the cells; a plurality of elongated slots that provide lateral access into the cells, the slots having a width; and wherein the width of the slot is greater than the width of the fuel assemblies and less than the diagonal of the fuel assemblies. In yet another embodiment, the invention can be an apparatus for supporting fuel assemblies comprising: a base; a central wall positioned atop the base in a substantially vertical orientation, the central wall having first and second opposing surfaces; a first set of secondary walls extending from the first surface of the central wall in a rectilinear and spaced configuration so as to form a first row of vertically oriented cells; a second set of secondary walls extending from the second surface of the central wall in a rectilinear and spaced configuration so as to form a second row of vertically oriented cells; and for each cell, an elongated vertically oriented slot that provides lateral access into that cell from outside of the apparatus. In still another embodiment, the invention can be a method of loading an elongated fuel assembly having an axis and at least a portion having a substantially rectangular square cross-section having a width and a diagonal into a fuel rack in an underwater environment, the method comprising: a) providing a fuel rack in a pool of water, the fuel rack comprising at least one cell having a rectangular horizontal cross-section having a width that is greater than the diagonal of the fuel assembly; an elongated slot on a lateral side of the fuel rack that forms a passageway into the cell, the slot having a width; and wherein the width of the slot is greater than the width of the fuel assembly and less than the diagonal of the fuel assembly; b) positioning the fuel assembly laterally adjacent to the elongated slot of the fuel rack so that the axis of the fuel assembly is substantially aligned with the slot and the width of the fuel assembly is substantially parallel with the width of the slot; c) translating the fuel assembly in a lateral direction through the slot and into the cell, the width of the fuel assembly passing through the width of the slot; and d) rotating the fuel assembly for an angle θ about the axis of the fuel assembly so that the diagonal of the fuel assembly prohibits the fuel assembly from being translated back through the slot. In a further embodiment, the invention can be a method of laterally loading an elongated fuel assembly into a fuel rack. In an even further embodiment, the invention can be an apparatus for supporting fuel assemblies that affords lateral loading. In still another aspect, the invention can be an apparatus for supporting an elongated fuel assembly having an axis, the apparatus comprising: a body structure comprising at least one cell for receiving an elongated fuel assembly, the body having a top, a bottom and a first lateral side; an elongated slot in the first lateral side of the body structure forming a lateral passageway into the cell; and means for supporting the fuel assembly within the cell. In a still further aspect, the invention can be a method of loading an elongated fuel assembly having an axis and at least a portion having a substantially rectangular horizontal cross-section having a width and a diagonal into a fuel rack in an underwater environment, the method comprising: a) providing a fuel rack in a pool of water, the fuel rack comprising a body structure comprising at least one elongated cell, a top, a bottom, a first lateral side, an elongated slot in the first lateral side that forms a lateral passageway into the cell, the elongated slot having a width that is greater than the width of the fuel assembly and less than the diagonal of the fuel assembly; b) positioning the fuel assembly laterally adjacent to the elongated slot of the fuel rack so that the axis of the fuel assembly is substantially aligned with the elongated slot, the fuel assembly being in a first rotational position about the axis that allows the fuel assembly to pass through the elongated slot; c) translating the fuel assembly in a lateral direction through the elongated slot and into the cell; and d) rotating the fuel assembly for an angle θ about the axis of the fuel assembly to a second rotational position so that the fuel assembly is prohibited from being translated back through the slot. In another aspect, the invention can be a method of loading nuclear fuel assemblies into a fuel rack in a submerged environment comprising: a) submerging a nuclear fuel assembly having an axis and a horizontal cross-section in a pool; b) providing a fuel rack in the pool, the fuel rack comprising a body structure comprising at least one elongated cell, a top, a bottom, a first lateral side, at least one elongated slot in the first lateral side that forms a lateral passageway into the cell; c) positioning the fuel assembly laterally adjacent to the elongated slot of the fuel rack so that the axis of the fuel assembly is substantially aligned with the elongated slot; and d) translating the fuel assembly in a lateral direction through the elongated slot and into the cell. Referring to FIG. 1, a perspective view of the fuel rack 100 according to one embodiment of the present invention is disclosed. The fuel rack 100 is designed so that fuel assemblies can be laterally loaded into the fuel rack 100 (i.e. through its sides) while still achieving vertical storage of the fuel assemblies. Thus, unlike prior art fuel racks, the need to position the fuel assemblies above the fuel rack 100, in a stacked arrangement, during a loading procedure is eliminated. the fuel rack 100 comprises a body portion 10 and a base portion 20. While the fuel rack 100 is described below with a theoretical delineation between the body portion 10 and the base portion 20, this delineation is done solely for ease of discussion and explanation of the fuel rack 100 and its function. Those skilled in the art will understand that the fuel rack 100 can be a unitary structure and/or an apparatus wherein some and/or all of its components/elements can traverse both the body and the base portions 10, 20 of the fuel rack 100. The fuel rack 100 comprises two end walls 30, two lateral panels 40 and a base plate 50. The two end walls 30 and the two lateral panels 40 are vertically oriented flat rectangular plates. The two end walls 30 have an inner surface 31, an outer surface 32, a top edge 33, a bottom edge 34 and lateral edges 35. Similarly, the two lateral panels 40 have an inner surface 41, an outer surface 42, a top edge 43 and a bottom edge 44. The two end walls 30 are connected to the two lateral panels 40 so as to form a structural assembly about the perimeter of the base plate 50. This structural assembly forms the housing structure of the base portion 20, which has a generally rectangular horizontal cross-sectional profile. The bottom edges 34 of the two end walls 30 and the bottom edges 44 of the two lateral panels 40 are connected to a top surface 51 (shown in FIG. 5) of the base plate 50. The height of the lateral panels 40 is less than the height of the end walls 30, thereby forming, in essence, a fuel rack with truncated side walls. Preferably, the height of the lateral panels 40 is equal to about 2 feet. Preferably, the height of the end walls 30 is equal to about 15 feet. Of course, other dimensions can be used, none of which are limiting of the present invention. The two end walls 30 and the two lateral panels 40 are preferably made of austenitic stainless steel. However, other sufficiently rigid materials can be used so long as they are sufficiently corrosion resistant, structurally sound and provide the necessary shielding. Referring now to FIGS. 1 and 4 concurrently, the fuel rack 100 further comprises a primary plate 61 which is a vertically oriented flat rectangular plate comprising two opposing major surfaces 63, two opposing end edges 64, a top edge 65 and a bottom edge 66. The bottom edge 66 of the primary plate 61 is connected to the top surface 51 of the base plate 50 (shown in FIG. 6). The end edges 64 of the primary plate 61 are connected to the inner surfaces 31 of the end walls 30 so that the two major surfaces 63 of the primary plate 61 are substantially perpendicular with the inner surfaces 31 of the end walls 30. All connections between the various components of the fuel rack 100 are preferably achieved by welding. Of course, other connection means known in the art may be used. The fuel rack 100 also comprises a plurality of secondary plates 70 which are also rectangular flat plates comprising two opposing major surfaces 71, a proximal lateral edge 72, a distal lateral edge 73, a top edge 74 and a bottom edge 75. The bottom edge 75 of each secondary plate 70 is connected to the top surface 51 of the base plate 50 (shown in FIG. 6) such that the secondary plates 70 are substantially vertically oriented. The secondary plates 70 are positioned in a spaced relation with respect to one another and the inner surfaces 31 of the two end walls 30. The major surfaces 71 of the secondary plates 70 are substantially parallel with one another and the inner surfaces 31 of the end walls 30. The secondary plates 70 are positioned along each of the opposing major surfaces 63 of the primary plate 61 and extend perpendicularly therefrom. The proximal lateral edge 72 of each secondary plate 70 is connected to the major surface 63 of the primary plate 61 such that the major surfaces 71 of the secondary plate 70 are substantially perpendicular with the lateral surfaces 63 of the primary plate 61. Thus, the secondary plates 70 are connected to the primary plate 61 in a rectilinear configuration. Preferably, the primary plate 61 and the secondary plates 70 are made of austenitic stainless steel. However, the invention is not so limited and other materials can be used. The primary plates 61 and the secondary plates 70 are arranged in an intersecting fashion so as to form a gridwork 60 that creates a plurality of elongated fuel cells 11. The opposing major surfaces 71 of two consecutive secondary plates 70 and the portion of the major surface 63 of the primary plate 61 that is between the two secondary plates 70 forms the general perimeter of a fuel cell 11. As will be discussed in greater detail below, the fuel cells 11 are substantially vertically oriented elongated cavities that are sized and shaped to receive and support a single fuel assembly in a vertical orientation. The body portion 10 of the fuel rack 100 further comprises a plurality of retaining members 12. Two retaining members 12 are connected to each secondary plate 70 at or near the distal lateral edge 73. As will be discussed in further detail below, the retaining members 12 form a ridge/flange along the height of each fuel cell 11 that assists in prohibiting properly loaded fuel assemblies from unintentionally falling out of the fuel cell 11 in the event of dislodgement. The retaining members 12 extend from the top edge 74 of the secondary plates 70 to the top edge 43 of the lateral panel 40 (best seen in FIGS. 2 and 5). The invention is not so limited, however, and in some embodiments the retaining members 12 may be segmented or may have a height that is a fraction of the height of the secondary plates 70 (and the fuel cell 11), etc. In still another embodiment, the retaining members 12 could be pins, dowels, etc. Each retaining member 12 comprises an opposing horizontal end surface 14 and an opposing angled end surface 15. The surfaces 14, 15 are connected with each other so that the retaining member 12 has a horizontal cross sectional profile that forms one half of an irregular pentagon. Preferably, the retaining members 12 are formed from the secondary plate 70. The invention is not so limited, however, and the retaining members 12 could be a structure made of plates connected to the secondary plate 70. The horizontal end surfaces 14 of the retaining members 12 are parallel with the major surfaces 71 of the secondary plates 70. The retaining members 12 are connected to the major surfaces 71 of the secondary plates 70. The angled surfaces 15 of the retaining members 12 minimizes intrusion into the cells 11, thereby maximizing usable space. As will be discussed in further detail below, the horizontal end surfaces 14 of the retaining members 12 provide a smooth surface so that the fuel assemblies are not damaged during loading into the fuel cell 11 and the angled surfaces 15 also help guide the fuel assemblies during an unloading cycle. The gaps between each set of opposing horizontal surfaces 14 of the retaining members 12 form elongated slots 16. In essence, the retaining members 12 form the slots 16 therebetween. Each slot 16 provides a passageway from the exterior of the fuel rack 100 into one of the fuel cells 11. The slots 16 are vertically oriented and elongated in nature. A single slot 16 is provided for each cell 11. As with the cells 11, only a few of the slots 16 are numerically identified in FIG. 1 to avoid clutter. The slots 16 extend substantially the entire length of the body portion 10 along the opposite lateral sides of the fuel rack 100. As will be discussed in greater detail below, the dimensions of the slots 16 are specifically selected/designed to allow a fuel assembly to be horizontally translated into the fuel cell 11 when the fuel assembly is in a first rotational position and to prohibit the fuel assembly from being horizontally translated through the slots 16 when the fuel assembly is in a second rotational position. The base portion 20 comprises the base plate 50, the lateral panels 40 and a plurality of stabilizers 80 (visible in FIG. 8). The base portion 20 (and its components) serves the function of supporting and maintaining the fuel assemblies that are loaded into the cells 11 in a substantially vertical and spaced orientation once they are laterally loaded into the fuel rack 100 via the slots 16 and lowered. A stabilizer 80 is positioned at the bottom of each of the cells 11. As will be discussed in further detail below, the stabilizers 80 are designed to slidably receive an end portion of a fuel assembly and support the fuel assembly in a vertical orientation within the cells 11. The structural details of the stabilizers 80 will be discussed in greater detail with respect to FIG. 8. Referring now to FIG. 2, a left side view of the fuel rack 100 is illustrated. The right side of the fuel rack 100 is identical. Preferably, the fuel rack 100 has a width W that is equal to about 7 feet and a height H, that is equal to about 15 feet. Of course, other dimensions can be used. Preferably, the panels 40 have a height H2 that is equal to about 2 feet. Thus, for a fuel assembly to be loaded into the fuel rack 100, it need be raised only slightly above 2 feet to clear the top edge 43 of the lateral panel 40 and enter the fuel cells 11 via the slots 16. The invention is not limited to any particular dimensions however, so long as it can perform its intended function. As can be seen clearly in FIG. 2, the retaining members 12 form the slots 16 therebetween. In the illustrated embodiment, the retaining members 12 form ten elongated slots 16 on each lateral side of the fuel rack 100. The invention, however, is not limited to any specific number of components. The retaining members 12 (and thus the slots 16) extend from the top surface of the fuel rack 100 to the top edge 43 of the lateral panel 40 of the base portion 20. Thus, the slots 16 extend at least a major portion of the height of the cells 11. The base plate 50 forms the floor for each of the cells 11. The base plate 50 is a rectangular flat plate that is preferably made of austenitic stainless steel. The invention is not so limited however, and other materials and shapes may be used. The fuel rack 100 further comprises a plurality of adjustable anchors 90. The anchors 90 are connected to the bottom surface 52 of the base plate 50. The fuel rack 100 comprises ten anchors 90 per side, however the invention is not limited to any particular number of anchors 90 so long as the stability of the fuel rack 100 is maintained. The anchors 90 thread into embedments in a pool floor and maintain a space between a bottom surface of the fuel rack 100 and the pool floor so that a sufficient fluid flow area underneath the base plate 50 is maintained. This affords the possibility of storing fuel assemblies with a high heat load in the fuel rack 100 if it were to become necessary. The anchors 90 are connected to the bottom surface of the base plate 50 via any suitable connection technique including welding, threading, etc. The anchors 90 are preferably connected to the base plate through suitably sized continuous fillet welds. The structural detail of the anchors 90 will he described in more detail with respect to FIG. 6. Referring now to FIG. 3, a cross-section of the fuel rack 100 is shown along view A-A of FIG. 2 so that the internal detail and components of the base portion 20 of the fuel rack 100 can be identified and described. As mentioned above, a stabilizer 80 is positioned at the bottom of each cell 11. Each stabilizer 80 forms a stabilizing cavity 81 (discussed in relation to FIGS. 8 and 9 below) that slidably receives and supports an end portion of a fuel assembly. The stabilizer 80 is a structure having a rectangular horizontal cross-sectional profile. The stabilizers 80 are positioned on the base plate 50 so as to form a diamond within the rectangular cell 11. The orientation of the stabilizer cavities 81 (i.e. diamond) results in a pitch P that is large enough (and/or an increased amount of space between adjacent fuel assemblies stored in the fuel rack 100) so that neutron absorbers may not be needed for reactivity control. Preferably the pitch P is equal to about 8 inches. The corners of the stabilizers 80 are in contact with and may be welded to the secondary plates 70, the primary plate 61 and the lateral panels 40 as necessary. The base plate 50 further comprises an array of design features in the form of tapered depressions 53 on the top surface 51. The tapered depressions 53 aid in stabilizing and orienting the fuel assemblies within the cells by interacting with the bottom surfaces of the fuel assemblies. In other words, the depressions 53 serve as the seating surface for the bottom of a fuel assembly that is loaded into the cell 11. The depressions 53 are centrally located at the bottom of each cell 11 (and thus each stabilizing cavity 81). The structural detail of the depressions 53 will be discussed in further detail with respect to FIG. 6 below. The base plate 50 further comprises a plurality of anchor holes 91 that allow access to the anchors 90 via the top surface 51 of the base plate 50. The anchor holes 91 are aligned with the anchors 90 and are preferably ¾ inches in diameter. Referring now solely to FIG. 4, a cross-section of the fuel rack 100 along view B-B of FIG. 2 is shown so that the details of the rectangular gridwork 60 of the fuel cells 11 and the slots 16 can be clearly seen. The gridwork 60 forms a honeycomb-like arrangement of the cells 11. The cells 11 comprise a first row 17 of fuel cells 11 and a corresponding second row 18 of fuel cells 11. In the illustrated embodiment, there are two rows 17, 18 each consisting of ten fuel cells 11 for a total of twenty fuel cells 11. The invention, however, is not so limited and the fuel rack 100 can comprise any desired number of fuel cells 11. The number and arrangement of the fuel cells 11 used for any specific fuel rack 100 will be dictated by the storage needs of the facility in which it is to be used and the size of the pool it is to be used in. As discussed previously, the gridwork 60 of cells 11 is formed by a plurality of intersecting plates comprising the primary plate 61 and a plurality of secondary plates 70. The primary plate 61 separates the first row 17 and the second row 18 of fuel cells 11 while the secondary plates 70 separate the fuel cells 11 of the first row 17 from one another and the fuel cells 11 of the second row 18 from one another. The elongated slots 16 are formed between the retaining members 12, thus there is a first set of slots 16 that provides lateral access into the first row 17 of the cells 11 through a first lateral side 101 (shown in FIG. 7) of the fuel rack 100 and a second set of the slots 16 that provides lateral access into the second row 18 of the fuel cells 11 through a second lateral side 102 (shown in FIG. 7) of the fuel rack 100. The slots 16 are oriented so their widths are substantially parallel to the primary plate 61. In the present embodiment, the retaining members 12 are welded to the secondary plates 70. Retaining members 12 are also welded to the end walls 30. These retaining members 12 function in the same way as the retaining members 12 connected to the secondary plates 70. The retaining members 12 form a flange/ridge on each of the sidewalls of the cells 11, thereby forming a slot 16 that is more narrow than the cells 11. More specifically, the width W2 of the fuel cell 11 is greater than the width W1 of the slot 16. in one embodiment, the width W2 is equal to about 8 inches and W1 is equal to about 6 inches. Additionally, it is preferable that the distance D between the lateral surface 63 of the primary plate 61 and the angled surface 15 retaining member 12 be equal to about 5 inches. The invention is not so limited however, and the dimensions of the slots 16 and the fuel cells 11 are dependent upon the size and shape of the fuel assemblies to be stored therein. Referring now to FIG. 5, a cross-section of the fuel rack 100 along view C-C of FIG. 2 is illustrated. The base portion 20 further comprises a plurality of flow holes 54 located near the bottom edge 75 of the secondary plates 70. The flow holes 54 are semi-circular shaped cutouts in the secondary plates 70 that allow water to flow therethrough. The flow holes 54 provide cooling and ease of submersion for the fuel rack 100. Preferably, there are four flow holes 54 per fuel cell 11. The invention is not so limited however, and the shape, size and amount of flow holes could be changed. Referring now to FIG. 6, the structural detail of area D of FIG. 5 is illustrated. The anchors 90 are positioned near the lateral edges of the base plate 50. The anchors 90 comprise an internally threaded sleeve 91 and an externally threaded spindle 92. The sleeve 91 is preferably made from austenitic stainless steel. The spindle 93 is preferably made from a high strength alloy such as A564-630 or SA564-630 precipitation hardened stainless steel. The tapered depressions 53 in the base plate 50, which serve as the seating surface of a fully loaded fuel assembly, contain chamfered surfaces 55. The centerline of the depressions 53 define the geometrical axis of symmetry for each cell 11. While a single depression per cell 11 is illustrated, in other embodiments, a plurality of depressions can be supplied. The tapered depressions 53 could alternatively be holes in the base plate 50 having chamfered surfaces if desired. Additionally, rather than depressions 53, the fuel rack 100 could comprise a ring-like protrusion, or a plurality of protrusions that would engage the bottom of a fuel assembly loaded therein. The depressions 53 preferably overlap with the flow holes 54 so that fluid can flow directly over a fuel assembly sitting in the depression 53. Referring to FIG. 7, a top view of the fuel rack 100 is illustrated. The fuel rack 100 is designed to hold twenty fuel assemblies in a substantially vertical orientation while maintaining sufficient distance between each fuel assembly so as to not require neutron absorbers between the cells 11. As can be seen, the depressions 53 are centered within the cavity 81 formed by the stabilizer 80. The stabilizer 80 is in turn centered within the fuel cell 11 so that a fuel assembly loaded therein will also be centered in the fuel cell 11. Preferably, the fuel rack 100 has a width W equal to about 7 feet and a length L equal to about 1.5 feet. The invention is not so limited, however. Referring to FIGS. 8 and 9 concurrently, the stabilizers 80 will be described in further detail. In FIG. 8 the lateral panels 40 and the retaining members 12 are cutaway so that the position of the stabilizers 80 in the cells 11 can be seen. The stabilizers 80 are positioned at the bottom of each cell 11 atop the base plate 50 in the orientation illustrated in FIG. 9 The stabilizers 80 are formed of flat plates 83 that are connected at their edges to form a rectangular-shaped structure. The flat plates 83 are attached to the base plate 50 in a vertical orientation. The flat plates 83 are preferably made of austenitic stainless steel and are preferably attached to the base plate 50 by fillet welds. Each stabilizer 80 comprises a stabilizer cavity 81 for slidably receiving and supporting an end portion of a fuel assembly. The stabilizer cavities 81 have a horizontal cross-sectional profile that corresponds in size and shape with the horizontal cross-sectional profile of the fuel assembly to be loaded therein. A small tolerance is allowed for ease of loading. The stabilizer 80 has an open top end 183 and a closed bottom end/floor (formed by the base plate 50). In other embodiments, the bottom end may also be open by providing holes in the base plate 50. The open top end 183 of each stabilizer 80 is in spatial communication with the remaining volume of the fuel cell 11 in which it is positioned, thereby allowing a fuel assembly to be vertically supported by the stabilizer 80 and extend into the cell 11. The stabilizer plates 83 are positioned atop the base plate 50. The non-circular nature of horizontal cross-sectional of the internal perimeter of the stabilizer cavity 81 prevents a fuel assembly that is loaded therein from rotating along its vertical axis to align with the slot 16. Stated another way, the fuel assembly must be lifted out of the stabilizer cavity 81 by a fuel handler in order to be rotated so that it can be removed via the slots 16. Referring to now to FIG. 10, the preferred geometric relationship between the stabilizer cavity 81 and the fuel cells 11 will be described. The stabilizer cavity 81 has a rectangular cross-sectional profile having a diagonal D2. The fuel cells 11 have a rectangular cross-sectional profile having a diagonal D3. The stabilizer 80 is preferably positioned within the cell 11 so that the diagonals D2, D3 intersect at an angle θ that is not zero. By positioning the stabilizers 80 in the cells 11 so that the diagonals D2, D3 intersect at a nonzero angle θ, it is ensured that a loaded fuel assembly that is resting in the stabilizer cavity 81 can not be removed through the slot 16. This will be described in greater detail below. In the present embodiment, the stabilizers 80 are positioned in the cells 11 so that the angle θ is equal to about 45 degrees. Those skilled in the art however, will appreciate that the angle θ is not so limited. Referring to FIG. 12B, the slots 16 have a first width W1 and the fuel cells 11 have a second width W2. The first width W1 is smaller than the second width W2. The dimension of the cells 11, slots 16, and stabilizer cavities 81 are determined by the fuel assemblies to be stored. The fuel assemblies 110 have a horizontal cross sectional having a third width W3 by a fourth width W4 forming a diagonal D1. The first width W1 is greater than the third width W3 and less than the diagonal width D1. The second width W2 is greater than the diagonal width D1. The relative dimensions of the slots 16, the fuel cells 11 and the fuel assembly 110 are such that the fuel assembly 110 can be laterally loaded through the slot 16 into the fuel cell 11 wherein a first rotational position, then the fuel assembly 110 can be rotated along the vertical axis within the fuel cell 11 to a second rotational position. Once the fuel assembly is rotated, it cannot be translated back through the slot 16 and out of the fuel cell 11 because D1 is larger than W1. In the present embodiment, a fuel assembly rotated along the vertical axis by 45 degrees relative to the slot 16 will be too wide to fit back through the slot. The invention, however is not so limited. The amount of rotation will vary according to the dimensions of the fuel assembly to be loaded, the width of the fuel cell 11 and the width of the slot 16. FIG. 11 is a flowchart of an embodiment of a method of the present invention. For ease of understanding the method will be described in reference to the fuel rack 100 as illustrated in FIGS. 12A-15. Those skilled in the art, however, will understand that the method is not limited to the fuel rack 100. Of course, other structures can be utilized so long as the intended function is achieved. A fuel rack 100 having a plurality of slots 16 that provide lateral access into the storage cells 11 is submerged in a fuel pool and adequately secured to the floor thereby completing step 1110 of method 1100. Once step 1110 is completed, a submerged fuel assembly 110 is positioned laterally adjacent to the slot 16 of the fuel rack 100 in a first rotational orientation, as shown in FIGS. 12A and 12B. The fuel assembly 110 is preferably lifted only a minimum distance above the top edge 43 of the lateral plates 40. The fuel assembly 119 is preferably lifted so that the bottom 111 of the fuel assembly 110 is to a height off the floor of the pool that is less than ½ of the height of the fuel assembly 110, more preferably less than ¼ its height and most preferably less than ⅕ its height. The vertical axis of the fuel assembly 110 is aligned with the center line of the slot 16 in the first rotational orientation. When in the first rotational orientation, the width W3 of the fuel assembly 110 is parallel with the width W1 of the slot 16. As such, step 1120 of method 1100 is completed. Once step 1120 is completed, the fuel assembly 110 is horizontally translated in a lateral direction through the slot 16 and into the cell 11, as shown in FIGS. 13A and 13B. Because the width W3 of the fuel assembly 110 is smaller than the width W1 of the slot 16, the fuel assembly 110 passes through the slot 16 in an unobstructed manner. As such, step 1130 of method 1100 is completed. Once step 1130 is completed and the fuel assembly 110 is completely within the cell 11, the fuel assembly 110 is rotated about its vertical axis for an angle θ until it reaches a second rotational orientation, as shown in FIGS. 14A and 14B. After the fuel assembly 110 is rotated into the second rotational position. The fuel assembly 110 is prohibited from translation back through the slot 16 without additional rotation. This is the result of the diagonal D1 of the fuel assembly 110 being larger than the width W1 of the slot 16. As such step 1140 is completed. Referring now to FIG. 15, once step 1140 is completed, the fuel assembly 110 is lowered into the stabilizer cavity 81 so that it is supported in a substantially vertical orientation and is prohibited from further rotation without being raised out of and removed from the stabilizer cavity 81. As such, step 1150 is completed. The reverse steps are performed to remove the fuel assembly 110 from the fuel rack 100. Whereas the present invention has been described in detail herein, it should be understood that other and further modifications, apart from those shown or suggested herein, may be made within the spirit and scope of the present invention. It is also intended that all matter contained in the foregoing description or shown in any accompanying drawings shall be interpreted as illustrative rather than limiting. |
|
description | This application claims the benefit of U.S. Provisional Patent Application No. 60/221,438 filed on Jul. 28, 2000, and U.S. Provisional Patent Application No. 60/221,018 filed on Jul. 27, 2000, the entire contents of which are incorporated herein by reference. The management of hazardous waste materials, including radioactive waste, is important to maintaining the environment. Radioactive waste can be defined as any material that contains or is contaminated by radionuclides at concentrations or radioactivity levels greater than a particular level set by the government. This level set by the government is based on a determination of what constitutes an unacceptable level of risk to the environment. In addition, radioactive waste is material that has no foreseen future usefulness. Waste disposal refers to placing the radioactive waste in approved radioactive waste containers, at approved sites, without the intention of retrieval. Making sure that the radioactive waste containers are secure raises special concerns because some nuclear waste materials retain high levels of radioactivity for thousands of years. There are numerous tools that can be used to monitor the radioactive content of waste being disposed of in the radioactive waste containers. For example, counters are used to measure the radiation of the contents of a radioactive waste container and various other parameters. Typically, the contents are checked to ensure that they meet various regulatory limits as set by the Environmental Protection Agency and the Department of Energy. Another type of check that is done on the counter data is a verification that the testing performed by the counter reflects the actual contents of the radioactive waste container. Both of these types of checks are currently performed manually and are prone to human error. In addition, because of the manual nature of the checking, the process takes a relatively long time and this makes it difficult to process and interpret large volumes of data in a timely fashion. An exemplary embodiment is a method and system for performing an automated independent technical review. The method includes receiving an assay result of a radioactive waste container, determining whether the assay result is within a predetermined parameter, determining whether a review is required if the assay result is not within the predetermined parameter and rejecting the assay result if the review is not required and the assay result is not within the predetermined parameter. FIG. 1 is a block diagram of an exemplary system for performing an automated independent technical review. The system of FIG. 1 includes user systems 102 through which a waste analyst will contact a host system 104. In a preferred embodiment, the host system 104 executes a program that performs an automated independent technical review. The user systems 102 are coupled to a host system 104 via a network 106. Each user system 102 may be implemented using a general-purpose computer executing a computer program for carrying out the processes described herein. The user systems 102 may be personal computers or host attached terminals. If the user systems 102 are personal computers, the processing described herein may be shared by user system 102 and host system 104 by providing an applet to the user system 102. Network 106 may be any type of known network including a local area network (LAN), a wide area network (WAN), or a global network (e.g., Internet). The user system 102 may be coupled to the host system 104 through multiple networks (e.g., intranet and Internet) so that not all user systems 102 are coupled to the host system 104 through the same network. One or more of the user systems 102 and the host system 104 may be connected to network 106 in a wireless fashion and network 106 may be a wireless network. In a preferred embodiment, network 106 is an intranet and each user system 102 executes a user interface application (e.g., web browser) to contact the host system 104 through the network 106. Alternatively, a user system 102 may be implemented using a device programmed primarily for accessing network 106 such as WebTV. FIG. 1 also depicts the sources of data for an automated independent technical review in an exemplary embodiment of the invention. The data can come from a variety of computer systems across the network 122 or the data could come from the storage device 108 attached to the host system 104. In an exemplary embodiment, the data required for an automated independent technical review is identified and subsequently gathered into a single, relational database stored on a storage device 108 connected to the host system 104. The item description code data 114 includes a list of valid materials that can be measured. The counter data 116 includes the assay results or measurement data taken by a counter for a particular radioactive waste container. The isotopic measurement data 118 are either data collected using an isotopic measurement system or default regulatory values if no measurement data are available. The regulatory data 120 includes limits set by the government. This automated independent technical review data can be gathered from locations around the world and then consolidated into a single database stored on a storage device 108 connected to the host system 104. Regulatory data 120, for example, may come from a variety of locations. The automated independent technical review data is gathered in response to a user system 102 request. The network 122 used to transfer the automated independent technical review data has the same attributes as the network 106 described above and could be the same physical network. This network 122 may be any type of known network including a local area network (LAN), a wide area network (WAN), and a global network (Internet). The automated independent technical review data may be transferred to the host system 104 through multiple networks (e.g., intranet and Internet) so that not all the automated independent technical review data is transferred to the host system 104 through the same network. One or more of the remote systems may be connected to the network 122 in a wireless fashion and network 122 may be a wireless network. The host system 104 may be implemented using a server operating in response to a computer program stored in a storage medium accessible by the server. The host system 104 may operate as a network server (often referred to as a web server) to communicate with the user systems 102. The host system 104 handles sending and receiving information to and from user systems 102 and can perform associated tasks. The host system 104 may also include a firewall to prevent unauthorized access to the host system 104 and enforce any limitations on authorized access. For instance, an administrator may have access to the entire system and have authority to modify portions of the system. The firewall may be implemented using conventional hardware and/or software as is known in the art. The host system 104 also operates as an application server. The host system 104 executes one or more computer programs to perform an automated independent technical review. Processing may be shared by the user system 102 and the host system 104 by providing an application (e.g., java applet) to the user system 102. Alternatively, the user system can include a stand-alone software application for performing a portion of the processing described herein. It is understood that separate servers may be used to implement the network server functions and the applications server functions. Alternatively, the network server, the firewall, and the application server can be implemented by a single server executing computer programs to perform the requisite functions. In an exemplary embodiment of the present invention, the host system 104 contains a suite of application programs that create an automated technical review report. The application programs and a software template are used to create a report that contains assay results, comparison results, and other data regarding a particular radioactive waste container. The comparison results are created using predetermined parameters and data values that can include values stored in data files, values or assay results created by a counter system, and data values calculated by application programs. A comparison test can have three possible results: (1) “assay acceptable” okay to ship the radioactive waste container; (2) “expert review required” before shipping; or (3) “reject” the test results because the data is faulty. If all tests results for a particular container are assay acceptable, then the final disposition on the report will indicate that the assay review is acceptable. If any test result is “expert review required”, an independent technical review comment sheet will be generated and the final disposition will indicate that the expert review is required. Expert review is defined as a recommendation for human intervention before shipping the radioactive waste container. A person is advised to review the test results and make a determination of the status of the radioactive waste container if the test result is “expert review required.” If any test result indicates a reject, the final disposition will be to reject the assay. If the disposition is reject, generation of an expert technical review comment sheet will be suppressed. In an exemplary embodiment, one of the applications on the host system 104 performs an item description code comparison to determine if the material in a radioactive waste container can produce acceptable assay results. The application compares an item description code for a particular radioactive waste container with a list that contains the item description codes for the types of materials that can be measured by a particular counter. If the item description code of the radioactive waste container is not found, then the result of the comparison will be “expert review required.” Otherwise the output of the comparison is the result “assay acceptable.” An example of this would be a gamma counter, that generates a gamma radiation assay result, and a radioactive waste container that contains lead. Here, the result would be “expert review required” because the item description code “lead” would not listed in the gamma counter list of acceptable item description codes. Lead would not be in the list because, in general, lead is too dense to be measured by a gamma counter. Note that one skilled in the art can readily obtain the measurements necessary for use in the tests described herein using commercially available measurement equipment, routine experimentation and/or analysis. Further, one skilled in the art can perform the tests and analyses described herein without involving extensive experimentation. Another application program, in an exemplary embodiment, takes data from an isotopic measurement system and calculates the ratios of Pu239 and Pu240. Based on the results of the comparison, the test data is flagged as “assay acceptable” or as “expert review required.” The review sheet will print whether the measured isotopics or the default isotopics are being used based on whether the relative error for the Pu240 is greater than a selected limit, e.g., 70%. If this condition exists or if no measured isotopics results are available then the report will display that default isotopics are used. In an exemplary embodiment of this application, a weapons grade plutonium test is applied when the default isotopics are not used. In this test, the absolute 3-sigma error for Pu240 is calculated. The 3-sigma error is added to and subtracted from the measured weight percent for Pu240 to produce a range. If the accepted weapons grade weight percent falls within this range, the result is “assay acceptable.” If it falls outside of this range the test result is “expert review required.” In an exemplary embodiment of the present invention, another application on the host system 104 performs comparison of assay result container density data in order to determine if it is within a predetermined parameter. In an exemplary embodiment, the weight and fill height of a radioactive waste container is used to calculate the radioactive waste container density. If the density is above about 2.5 grams per cubic centimeter or below a selected lower limit, the measurement will be deemed not valid and the result “expert review required” will be generated. Otherwise, the result will be “assay acceptable.” In an exemplary embodiment, another comparison involves checking for lumps of plutonium in the radioactive waste container because lumps can cause errors in the measurement. This method involves comparison of the Pu mass value calculated from the 129.29 kilo-electron volt (“keV”) energy region and the 413.71 keV energy region. If the ratio of the 413.71 keV mass divided by the 129.29 kV mass exceeds the predetermined parameter of about 2.5, then the test result is “expert review required.” Otherwise the test result is “assay acceptable.” Another application on the host system, in an exemplary embodiment, performs a criticality safety review. The first part of the application is the qualification range test. This application looks up the amount of plutonium found in the assay result, for a particular radioactive waste container, in a table that contains the acceptable ranges of plutonium mass or a qualification mass value. The program checks to see if the assay result for the radioactive waste container is in the acceptable mass range, and, if the radioactive waste container assay result is not in this range, the comparison result is “reject”, otherwise the result is “assay acceptable.” The second part of this application performs a comparison of the total plutonium mass as a percent of container net weight. If this value exceeds a customer-specific low qualification mass value, then the assay results are “reject assay.” In an exemplary embodiment, the customer-specific low qualification mass value is about 10%. A third part of the criticality safety review is a comparison between the total plutonium equivalent mass measured in a particular radioactive waste container and an acceptable amount for the site as determined by the federal government. If the plutonium equivalent mass is over a certain amount, about 220 grams in an exemplary embodiment, then the measurement is not valid and the result of the comparison is “reject.” Otherwise the result of the comparison is “assay acceptable.” The fourth part of this criticality safety review application program includes a fissile gram equivalent comparison. This involves converting the mass results of all isotopes identified in a radioactive waste container to fissile equivalent grams of Pu239. If this Pu239 equivalent mass exceeds a predetermined limit or parameter, then the assay result is “reject.” Otherwise, the assay result is “assay acceptable.” In an exemplary embodiment this predetermined limit is about 220 grams for a fissile gram equivalent at about 2 sigma. Additionally, the host system 104 application, in an exemplary embodiment, performs comparisons on nuclide totals to ensure that they are within certain limits. The first part of the application calculates the mass ratio of a first isotope Pu239 to a second isotope Am241. The nuclide totals results are used to compare the mass of the Pu239 to the mass of Am241. If the ratio of the Pu239 mass to the Am241 mass is less than about 200, the result will be “expert review required”, otherwise the result is “assay acceptable.” The next part of the application analyzes the Pu239 mass to Np237 mass ratio. The nuclide totals results are used to compare the ratio of the Pu239 mass to Np237 mass. If the ratio of the Pu239 mass to Np237 mass is less than about 125, “expert review required” will be the result, otherwise the result is “assay acceptable.” In addition, the application program, in an exemplary embodiment, performs additional isotope identification. The nuclide totals results for Np237, U233, U235, and U238 are reviewed to identify potentially unidentified nuclides. The main energy lines used to identify these isotopes are listed in the table below. IsotopePeak Energy UsedNp237311.90 keVU233135.30 keVU235185.72 keVU2381001.03 keV If the value of the count rate for any of these isotopes is greater than about 5 times the error, then the test result is “expert review required”, otherwise the result is “assay acceptable”. If the results of the isotopic measurement data confirms the presence of Np237, the Np237 nuclide totals result test is not performed. If the results of the isotopic measurement data confirms the presence of U235, the U235 nuclide totals result test is not performed. In an exemplary embodiment of the present invention, an application on the host 104 performs a review of segment information in an effort to determine if measurements of individual segments of the drum are valid. Each segment is reviewed for transmission source results. The transmission source peaks with an energy less than about 400 keV are considered the low energy peaks. If the 400 keV transmission source peak intensity is less than about 1 percent of the calibrated intensity, the test result is “expert review required.” If a low energy transmission source peak intensity other than the 400 keV peak is less than or equal to about 0.1 percent of its calibrated intensity, the result is “expert review required.” Calibrated intensity means the intensity that the peak was at during the transmission calibration. Another part of the application program looks at pulser/reference source results. These results help determine if the count rates are too high for accurate mass results. Two possible methods are used. Both are outlined here. The first method described uses a reference pulser. This pulser is set at a pre-determined energy and count rate during calibration. During an assay, this energy is checked to find the pulser peak. If the pulser peak is not found, the result will be “expert review required.” If the peak is found but the total number of counts in the peak is less than a preset fraction of the initial count rate, “expert review required” will be the result. The second method uses a reference source. This method uses a radioactive source that has a known reference source peak energy. This reference energy peak is searched for and if the source peak is not found, “expert review required” will be the result. If the peak is found, “expert review required” will be the result if the total counts in the source peak is less than about 50% of the calibrated rate. Calibrated rate means the count rate of the source or reference peak determined at the time that the calibration was performed. Still another portion of the application program that reviews segment information is the live time results. Real time is defined as live time plus dead time. Live time is the time during an assay that the detector is available to process counts. Dead time is the time during which the detector electronics are processing a count and are unavailable to collect counts. The live time results are checked for each segment. If the value of live time divided by real time is less than about 0.3, then “expert review required” will be the result. In an exemplary embodiment, the localized concentrations are also analyzed by this application program. This involves looking at the concentration in the bottom of the radioactive waste container. If the concentration is too high then the result is “expert review required.” The bottom two segments are reviewed, and if the total activity, based on the 413.71 keV peak area, in the bottom two segments is greater than about 50% of the total activity in the radioactive waste container, then the result is “expert review required.” A segment is an imaginary horizontal disc of the radioactive waste container seen by the detector. In an exemplary embodiment, a segment is between one half an inch and two inches in height. Storage device 108 may be implemented using a variety of devices for storing electronic information such as a file transfer protocol (FTP) server. It is understood that storage device 108 may be implemented using memory contained in a host system 104 or may be a separate physical device. Storage device 108 contains a variety of information including an automated independent technical review template, the item description code data, and the counter data. Storage device 108 may also contain information concerning the submission of the report request or transmittal of automated independent technical review data (e.g. a user identifier, date and time of submission). FIG. 2 is a flowchart of an exemplary process for performing an automated independent technical review. At step 200 the assay results are received and at step 202 the assay results are evaluated in order to determine if they are within established guidelines. If the assay results are within the established guidelines, step 204 is performed and the assay review is accepted and the process is completed. If the assay results are not within the established guidelines, step 206 is performed in order to determine if a technical review is required. If a technical is review is required, a technical review comment template that includes the type of technical review to be performed is created at step 208. Once this comment template is created, the process is complete. If a technical review is not required, step 210 is performed and the assay is rejected. After step 210 is performed, the process is complete. FIG. 3 depicts an exemplary independent technical review template. The review template has a heading “Independent Technical Review Report” 302 in order to identify the report type. Next, the review template contains information 304 that identifies the particular data being tested and referred to in the report. In an exemplary embodiment this includes item identification which is used to identify the assay data package being tested. The identification information 304 also includes an item description code that represents the material that was in the radioactive waste container being tested. The data and time of the assay are also included in order to indicate when the waste package was assayed. A counter identification is used to determine the assay equipment upon which the waste package was counted. The identification information 304 can also include a sequence number which is used as a unique identifier for that particular set of assay data. The review template also includes a section for describing the tests that were performed 306. The review template in FIG. 3 has some examples of tests that could be performed. Any of the tests described earlier in reference to FIG. 1 could be listed in this section 306. These tests include determining whether an assay result is within a predetermined parameter. Along with a list of the test performed 306, the review template includes a section for the results 308 of the tests. As discussed in reference to FIG. 1 the results could be “assay acceptable”, “expert review required”, or “reject.” Next, the review template contains a space for the final disposition 310 of the test. If all tests for the radioactive waste container are “assay acceptable”, then the final disposition 310 will indicate the assay review is acceptable. If any test result is “expert review required”, an expert technical review comment sheet, as shown in FIG. 4, will be generated and the final disposition 310 will indicate that technical review is required. If the final disposition 310 is “reject”, generation of an expert technical review comment sheet will be suppressed. In an exemplary embodiment the review template also includes a place for the expert technical reviewer to sign 312 and date 314 the report. FIG. 4 depicts an exemplary expert technical review comment template. The comment template contains a header 402 with the report title, “expert technical review comment sheet.” Next, the comment template contains information 404 that identifies the particular data being tested and referred to in the report. In an exemplary embodiment, this information is the same as the data described above in reference to 304 in FIG. 3. Next, the comment template contains a space to describe the data requiring review 406. This section 406 will contain a list of the tests that resulted in the rating “expert review required.” Section 408 of the comment template contains space for comments and disposition information. In an exemplary embodiment, the comment template also includes a place for the expert reviewer to sign 410 and date 412 the report. As described above, the user system 102 and the host system 104 can share the processing. For example, the user system 102 may include a software application that allows the user system to create a report request without assistance from the host system 104. The user system 102 would then contact the host system 104 to generate the report. Alternatively, the host system 104 may provide an application to the user system 102 (e.g., an applet) once the user system 102 contacts the host system 104. Accordingly, processing can be shared by the two systems. As described above, the present invention can be embodied in the form of computer-implemented processes and apparatuses for practicing those processes. The present invention can also be embodied in the form of computer program code containing instructions embodied in tangible media, such as floppy diskettes, CD-ROMs, hard drives, or any other computer-readable medium, wherein, when the computer program code is loaded into and executed by a computer, the computer becomes an apparatus for practicing the invention. The present invention can also be embodied in the form of computer program code, for example, whether stored in a storage medium, loaded into and/or executed by a computer or transmitted over some transmission medium, such as over electrical wiring or cabling, through fiber optics, or via electromagnetic radiation, wherein, when the computer program code is loaded into and executed by a computer, the computer becomes an apparatus for practicing the invention. When implemented on a general-purpose microprocessor, the computer program code segments configure the microprocessor to create specific logic circuits. It will be evident to those skilled in the art that the present invention provides many improvements over the current state of the art of performing automated independent technical reviews. Using this invention will eliminate human error in the independent review process, create a more consistent review process, create a more reliable review process, and provide a more consistent review process. While the invention has been described with reference to exemplary embodiments, it will be understood by those skilled in the art that various changes may be made and equivalents may be substituted for elements thereof without departing from the scope of the invention. In addition, many modifications may be made to adapt a particular situation to the teachings of the invention without departing from the essential scope thereof. Therefore, it is intended that the invention not be limited to the particular embodiments for carrying out this invention, but that the invention will include all embodiments falling within the scope of the appended claims. |
|
description | A canister according to a first embodiment of the present invention will now be described in detail with reference to the accompanying drawings. As shown in FIGS. 1 and 2, a canister 14 for use as a metallic closed vessel comprises a substantially cylindrical vessel body 40 that is closed at the bottom and has a top opening 14a. The vessel body 40 is formed of a metal such as stainless steel. A plurality of spent fuel assemblies 18 are sealed in the vessel body 40 in a manner such that they are supported by a basket 16. These spent fuel assemblies 18 are formed of a spent fuel from a reactor, for example, and contain a radioactive substance that involves heat release attributable to decay heat and generation of radiation. The canister 14 has a weld-sealed structure to prevent the contained radioactive substance leaking out. More specifically, a plurality of support blocks 42, e.g., four in number, are fixed on the inner peripheral surface of the upper end portion of the vessel body 40. The support blocks 42 are arranged at equal spaces in the circumferential direction. A ring-shaped support plate 38 is placed on the support blocks 42. The support plate 38 has an outside diameter substantially equal to the inside diameter of the vessel body 40. A disc-shaped shielding plate 44 is placed on the support plate 38, thereby closing the top opening of the vessel body 40. A groove is formed on the outer peripheral portion of the lower surface of the shielding plate 44, covering the whole circumference. Fitted in this groove is an O-ring 46 of a heat-resistant elastic material, such as ceramics, for use as a seal member. The O-ring 46 is in intimate contact with the upper surface of the support plate 38, and airtightly closes the gap between the inner peripheral surface of the vessel body 40 and the shielding plate 44. A disc-shaped primary lid 48 is lapped on the shielding plate 44 in the top opening of the vessel body 40, thereby closing the top opening of the vessel body. The topside part of the outer peripheral portion of the primary lid 48 is welded to the inner peripheral surface of the vessel body 40, covering the whole circumference. The shielding plate 44 and the primary lid 48 are formed having a discharge hole 50, which is used to discharge air and water form the vessel body 40 and feed air into the vessel body, as mentioned later. The discharge hole 50 is sealed by means of a plug 51 that is fixed to the primary lid 48. Further, a groove is formed covering the whole circumference of the outer peripheral portion of the primary lid 48, and is situated below a welding portion. This groove defines a space in which a shielding gas is filled or run during welding operation, as mentioned later. A disc-shaped secondary lid 52 is lapped on the primary lid 48 in the top opening of the vessel body 40. The peripheral edge portion of the topside of the secondary lid 52 is welded to the inner peripheral surface of the vessel body 40. Thus, the secondary lid 52 closes the top opening of the vessel body 40. The secondary lid 52 has a plurality of protrusions 55 on its lower surface, which are directly in contact with the upper surface of the primary lid 48. Thus, the top opening 14a of the vessel body 40 is airtightly closed by the shielding plate 44, primary lid 48, and secondary lid 52. The shielding plate 44, primary lid 48, and secondary lid 52 are formed of a metal such as stainless steel. A gas such as helium is sealed under a given pressure in a closed space between the primary and secondary lids 48 and 52. The following is a description of a method for loading the spent fuel assemblies 18 into the canister 14 constructed in this manner and a seal-welding method for the lids of the canister. In a decontamination pit 62, as shown in FIG. 3, the vessel body 40 of the canister 14 is put into a transportation cask 63 in a manner such that its upper end is open, whereupon preparations are made for fuel loading. The basket 16 is set in advance in the vessel body 40. Subsequently, the transportation cask 63, having the vessel body 40 therein, is transferred to a cask loading pit 65 filled with cooling water 64 by of an overhead traveling crane (not shown), and is immersed in the cooling water. In the cask loading pit 65, the spent fuel assemblies 18, having so far been contained in a spent fuel rack 60 in a spent fuel pit 66, are pulled out one after another by means of a pit crane 67 and loaded in succession into the basket 16 in the vessel body 40. After a given number of spent fuel assemblies 18 are loaded into the vessel body 40, the support plate 38 and the shielding plate 44 are fitted successively into the top opening of the vessel body 40. Subsequently, the transportation cask 63 is pulled up from the cask loading pit 65 and transferred to the decontamination pit 62 by the overhead traveling crane. In the decontamination pit 62, a suitable quantity of cooling water is discharged from the vessel body 40 so that the surface of the cooling water 64 is situated slightly above the spent fuel assemblies 18. Thereafter, the primary lid 48 is welded to the vessel body 40, and complete dehydration, vacuum drying, inert gas replacement, sealing operation, and air leakage inspection are carried out. Further, the secondary lid 52 is welded, and inert gas replacement in the space between the primary and secondary lids 48 and 52, sealing operation, and air leakage inspection are carried out. Thus, seal-welding operation for the lids of the canister is finished, whereupon the canister is completed containing the spent fuel. Thereafter, the top opening of the cask 63 is closed by means of a lid 75, and a pre-transportation check is conducted, whereupon pre-shipment preparations are completed. Then, the transportation cask 63, thus containing the canister 14, is transported from a power plant to a storage facility. The following is a detailed description of a seal-welding method for the lids of the canister 14. After the support blocks 42 and the shielding plate 44 are mounted in the top opening of the vessel body 40 and a suitable quantity of the cooling water 64 is discharged, as mentioned before, the primary lid 48 is fitted into the top opening of the vessel body, as shown in FIG. 4. Since the O-ring 46 is provided on the outer periphery of the lower surface of the shielding plate 44 so as to be in intimate contact with the support plate 38, as mentioned before, the gap between the shielding plate 44 and the inner surface of the vessel body 40 is sealed with respect to the interior of the vessel body by the O-ring. As shown in FIGS. 4 to 6, moreover, the upper end part of the outer peripheral portion of the primary lid 48 forms a welding portion 34, and a groove 36 is formed extending throughout the circumference under the welding portion, that is, on the lower end side of the vessel body 40 as compared with the welding portion. Further, the outer peripheral portion of the primary lid 48 is formed having charging holes 32 that communicate with the groove 36 and open in the upper surface of the lid 48. The holes 32, e.g., two in number, are spaced in the circumferential direction of the primary lid 48. The outer peripheral portion of the primary lid 48 set in place is adjacently opposed to the inner peripheral surface of the vessel body 40, and the groove 36 defines a substantially closed annular space 30 under the welding portion 34. After the primary lid 48 is set in place, as shown in FIG. 7, its topside peripheral edge portion is welded stepwise to the inner peripheral surface of the vessel body 40 by a welding device 70. In order to intercept radiation from the spent fuel assemblies 18, the vessel body 40 is kept filled with the cooling water 64 during this welding operation. Since welding the primary lid 48 takes a lot of time, the cooling water 64 in the vessel body 40 is heated and gradually evaporated by means of heat from the spent fuel assemblies 18 during the welding operation. The resulting steam is urged to flow out toward the top opening of the vessel body 40 through the gap between the inner peripheral surface of the vessel body and the primary lid 48. Since the gap between the inner peripheral surface of the vessel body 40 and the primary lid 48 is closed by the O-ring 46, however, the quantity of steam that flows into the gap can be reduced considerably. Thus, the primary lid 48 can be welded without involving any weld defects that are attributable to steam. In performing the welding operation, according to the present embodiment, moreover, an exhaust system 5 (mentioned later) is set by utilizing the discharge hole 50 of the shielding plate 44 and the primary lid 48, and a shield gas supply device 20 is connected to one of the charging holes 32 of the primary lid 48. The primary lid 48 is welded by a welding device 70 in a manner such that the steam generated in the vessel body 40 is discharged from the vessel body and that a shield gas is run through the space 30, which is defined by the groove 36 of the support plate 38, by means of the shield gas supply device 20. The following is a description of the exhaust system 5. As shown in FIG. 8, the exhaust system 5 is provided with a charging pipe 8 and an exhaust pipe 9. The charging pipe 8 can be passed through the discharge hole 50 of the primary lid 48 and the shielding plate 44. The exhaust pipe 9 forms a double-pipe structure such that it is substantially coaxially located in the charging pipe 8. The charging pipe 8 has a charging port 8a, which opens into the vessel body 40 when the pipe 8 is passed through the discharge hole 50, and a suction port 8b, which opens to the outside of the vessel body. The exhaust pipe 9 has an exhaust port 9a, which opens into the vessel body 40, and an extending portion 9b, which extends to the outside of the vessel body. The charging port 8a of the charging pipe 8 and the exhaust port 9a of the exhaust pipe 9 are trumpet-shaped and substantially coaxial with each other. A ring-shaped adapter 7 having a flange is fixed to the outer periphery of the charging pipe 8. The discharge hole 50 can be airtightly closed with the charging pipe 8 passed through the discharge hole 50 and with the adapter 7 fitted tight in the discharge hole of the primary lid 48 through a load beam 6. Further, the exhaust system 5 is provided with a suction pump 10 that is connected to the extending portion 9b of the exhaust pipe 9. The pump 10 serves as suction means that evacuates the vessel body 40 through the exhaust pipe 9 and charges the open air into the vessel body through the charging pipe 8. Further, the exhaust system 5 is provided with a butterfly valve 11 located near the suction port 8b in the charging pipe 8 and a flow regulating portion 12, which adjusts the opening of the valve 11, thereby regulating the quantity of air charged into the vessel body 40. During the welding operation, the suction pump 10 of the exhaust system 5 is actuated to discharge air, which contains the steam generated in the vessel body 40, through the exhaust port 9a of the exhaust pipe 9. Thereupon, the open air is fed into the vessel body 40 through the charging pipe 8. In doing this, the internal pressure of the vessel body 40 is controlled by adjusting the opening of the butterfly valve 11 in the charging pipe 8 by the flow regulating portion 12, thereby regulating the air charge. Thus, the steam generated in the vessel body 40 can be efficiently discharged from the vessel body and securely prevented from flowing into the welding portion 34 of the primary lid 48. As shown in FIG. 7, on the other hand, the shield gas supply device 20 comprises a containing tank 22, a gas supply pipe 26, and a pump 24. The tank 22 contains an inert gas such as argon for use as the shield gas. The pipe 26 is connected to the charging holes 32 of the primary lid 48. The pump 24 supplies the shield gas in the containing tank 22 to the holes 32 through the gas supply pipe 26. During the welding operation, the shield gas supply device 20 supplies the shield gas to the space 30 under the welding portion 34 of the primary lid 48, thereby filling the space 30 with the shield gas or causing the shield gas to flow. With use of the shield gas, therefore, the steam that is urged to flow into the welding portion 34 can be cut off, so that it can be more securely prevented from flowing into the welding portion 34. After the primary lid 48 is welded by the method described above, water in the vessel body 40 is discharged. In this case, as shown in FIG. 9, for example, the vessel body 40 is pressurized inside through the discharge hole 50 of the primary lid 48 and the shielding plate 44 by a pressure pump 72, and the water in the vessel body is discharged to the outside by a drain pipe 73 that is inserted in the vessel body through the discharge hole 50. Subsequently, vacuum drying of the interior of the vessel body 40, inert gas replacement, sealing operation, and air leakage inspection are carried out, and the discharge hole 50 of the primary lid 48 is then sealed by means of the plug 51, as shown in FIG. 2. Thereafter, the secondary lid 52 is set in the top opening of the vessel body 40 so as to be lapped on the primary lid 48. Then, the peripheral edge portion of the secondary lid 52 is welded to the inner peripheral surface of the vessel body 40 by the welding device 70. Thereafter, inert gas replacement, sealing operation, and air leakage inspection are carried out for the space between the primary and secondary lids 48 and 52, whereupon the seal-welding operation for the lids of the canister 14 terminates. According to the canister 14 constructed in this manner and the seal-welding method for its lids, the gap between the shielding plate 44 and the vessel body 40 is closed by the O-ring 46. In welding the primary lid 48, therefore, steam can be prevented from flowing into the welding portion through the gap. In consequence, the primary lid 48 can be securely welded without involving any weld defects that are attributable to steam. Thus, the resulting canister provides improved integrity and high radiation shielding properties. As the primary lid 48 is welded, moreover, the vessel body 40 is evacuated by means of the exhaust system 5 and steam is discharged. By doing this, steam can be more securely prevented from getting into the welding portion, so that the primary lid can be welded with higher reliability. According to the exhaust system 5 constructed in this manner, the vessel body 40 can be simultaneously exhausted and charged by using the one discharge hole 50. More specifically, the air containing steam in the vessel body 40 is discharged through the exhaust port 9a by the suction pump 10, and together with this, air is charged into the vessel body through the charging pipe 8, whereby the internal pressure of the vessel body is regulated. Thus, the steam that is generated in the vessel body 40 can be efficiently discharged from the vessel body, so that a large quantity of steam can be prevented from getting into the welding portion. Even though radiation from the spent fuel assemblies 18 is intercepted by the cooling water 64 during the welding operation, therefore, satisfactory circumstances can be enjoyed without involving any voids in the welding portion, and improvement of the welding accuracy can be expected. According to this embodiment, moreover, steam can be more securely prevented from getting into the welding portion in a manner such that the shield gas is filled into or run through the space 30 in the outer peripheral portion of the primary lid 48 as the primary lid is welded. The resulting canister provides high integrity and satisfactory radiation shielding properties without involving any weld defects. Although the discharge of steam by means of the exhaust system 5 and the interception of steam by means of the shield gas are carried out simultaneously according to the embodiment described above, only one of these operations may be performed with the same effect. In this case, the resulting canister also provides high integrity without involving any weld defects that are attributable to steam. The following is a description of a canister 14 according to a second embodiment of the invention. According to the second embodiment, as shown in FIG. 10, the top opening of a vessel body 40 is closed by a primary lid 48 and a secondary lid 52 only, and a shielding plate 44 is omitted. Since the second embodiment shares other configurations with the first embodiment, like reference numerals are used to designate like portions, and a detailed description of those portions is omitted. In a seal-welding method for the primary lid 48 according to the second embodiment, as in the case of the first embodiment, the topside peripheral edge portion of the primary lid is welded stepwise by the welding device with spent fuel assemblies 18 immersed in cooling water. In doing this, the exhaust system 5 is used to discharge steam in the vessel body 40 to the outside, and the shield gas supply device 20 is used to fill into or run the shield gas through a space 30 in the outer peripheral portion of the primary lid 48. Also in the second embodiment, therefore, steam can be prevented from flowing into the welding portion as the primary lid 48 is welded, so that the primary lid 48 can be securely welded without involving any weld defects that are attributable to steam. Thus, the resulting canister enjoys improved radiation shielding properties. Also in the second embodiment, moreover, only one of the operations for discharging steam by means of the exhaust system 5 and intercepting steam by means of the shield gas may be carried out with the same effect. In this case, steam can be prevented from reaching the welding portion, and therefore, generation of weld defects can be prevented. Thus, the resulting canister provides high shielding properties. Additional advantages and modifications will readily occur to those skilled in the art. Therefore, the invention in its broader aspects is not limited to the specific details and representative embodiments shown and described herein. Accordingly, various modifications may be made without departing from the spirit or scope of the general inventive concept as defined by the appended claims and their equivalents. For example, the seal member used in the first embodiment is not limited to the O-ring, and may be selected from various elements as required. It may, for example, be a metal wire, sealing tape, heat-resistant tube, or heat-resistant paste. |
|
abstract | A grid module of a scattered-radiation grid is disclosed. The scattered-radiation grid includes a number of grid modules disposed next to one another with a plurality of webs, especially for use in conjunction with a CT detector, a CT detector and a CT system with such a detector. In accordance with an embodiment of the invention, at the joining surfaces of the grid modules, the webs located there are provided with breakthroughs to compensate for a disproportionate reduction in scattered radiation. |
|
description | The present application claims the benefit of U.S. Provisional Application No. 61/743,458, filed on Sep. 5, 2012, entitled X-RAY INSPECTION MICROSCOPE, and also claims the benefit of U.S. Provisional Application No. 61/852,061, filed on Mar. 15, 2013, entitled X-RAY INSPECTION MICROSCOPE, both of which are herein incorporated by reference. This invention relates to the high-speed examination and inspection of objects using x-rays that have structures of interest on the micrometer to nanometer scale. Such objects include integrated circuits (ICs) and integrated circuit packaging, including multi-chip packages (MCPs) with silicon interposers and through-silicon vias (TSVs). Certain natural objects (crystals or quasi-crystals) or biological structures may also be examined using these techniques. In particular, this high-speed examination and inspection is accomplished by illuminating the object with x-rays, and using a scintillator to convert the transmitted high-resolution x-ray pattern into a high-resolution visible light image. The high-resolution visible light images are then relayed onto a sensor, such as a charge-coupled device (CCD) camera, where they are converted into electronic signals. Once the image has been captured electronically, it can be used as a microscope image for metrology and structural analysis. Dimensions, such as “critical dimensions” (CDs) for integrated circuit structures, can be determined by analysis of the images. Multiple images can be combined to determine 2-dimensional (2D) and 3-dimensional (3D) structures and their measurements. Due to the high-speed acquisition of the image enabled by this invention, these measurements can be used for in-process metrology, sampling either the incoming material for a manufacturing process, or items at various stages of the manufacturing process, for quality control. It can also be used for metrology after manufacturing has been completed, to ensure manufacturing specifications have been met. High-speed metrology is the backbone of statistical process control, or SPC. SPC improves yield over simple inspection by identifying production variations before they cause a loss of product yield. In order to obtain product yields over 90%, it is often necessary to closely monitor variations in production that are not necessarily defects, but indicate process variations that can lead to defects. Using SPC it is possible to maintain very high yields. The presence of defects within the structure can also be observed from the images, and the image can therefore be used for inspection of objects and for manufacturing process control. Defects can be detected manually, or by comparison with other areas within the object, or by comparison with stored information such as an image of a device known to be correctly manufactured. The invention can be used for detecting defects (inspection), determining the location of suspected defects (defect location) or determining the cause of known defects (failure analysis). The high-speed metrology and inspection results can in turn be used for yield analysis of manufacturing processes (such as the fabrication of integrated circuits and other semiconductor devices), as well as for packaging technologies for those devices (such as interposers with through-silicon vias (TSVs), or multi-chip packaging (MCP) processes such as those using microbumping for assembling multiple chips into one package). The yield analysis results can in turn be used to improve yield for these and other manufacturing and packaging processes. Since the early days of the semiconductor industry, the observation known as “Moore's Law” has been followed by the industry. This “law” states that the number of transistors that can economically be integrated into a semiconductor device increases by a factor of 1.5 to 2.0 times every two years. The increase has generally been achieved by miniaturization of the components of the electronic device, achieved through planer scaling of the transistor and interconnect wiring, and has provided the additional benefits of continuous improvements in processing power, data storage density and functional integration of semiconductor devices and the end products of which they are critical components. The current state-of-the-art semiconductor devices are built using a minimum critical dimension of about 28 nanometers, and smaller devices are in development. In order to reduce the size of transistors and continue to improve semiconductor performance, it is necessary to create patterns on the silicon substrate in ever decreasing dimensions. Photolithography is the most common process used to create these patterns. The minimum feature size that can be lithographically patterned is generally limited to a factor of the wavelength of the illumination source. The state of the art illumination source used in lithographic manufacturing today uses illumination at a wavelength of 193 nanometers. In order to produce 28 nanometer features, a number of improvements have been employed, including the use of immersion optics to increase the numerical aperture (NA) of the lithographic system, the use of design modifications for the photomask, sometimes called optical proximity correction (OPC), to improve final image fidelity, and the use of multiple photo-exposures for patterning a single layer. These techniques, while making sub-wavelength patterning possible, add significant cost to the process. Although single functional transistors with gate dimensions as small as 5 nm in size have been demonstrated, and manufacturing techniques have been proposed to enable large-scale patterning for devices with dimensions smaller than 10 nm, the cost effectiveness and commercial feasibility of these solutions have yet to be demonstrated. An alternative to device shrinking that can enable the functional integration of ever greater numbers of semiconductor devices in a cost effective manner is the utilization of techniques that connect integrated devices vertically. New methods of attaching integrated circuits (ICs) to each other and to printed circuit boards (PCBs) are now being introduced. These new methods include silicon interposers and through-silicon vias (TSVs), so-called “3D IC” and “2.5D IC” technologies. The interconnections used for 3D and 2.5D packaging between stacked IC or semiconductor devices are much smaller than for PCBs. While PCBs rarely use interconnections smaller than a 50 micron minimum pitch dimension, commercial TSV packages can have diameters as small as 2 microns, and silicon interposers can have features with dimensions below 100 nanometers. Interposers can also be manufactured from glass, or a composite of organic material with fiberglass or a particle filler such as silica. Integrated circuits are often manufactured using custom processes, depending on the device being manufactured. For example, dynamic random-access memory (DRAM) chips may require a different process recipe than complementary metal-oxide-semiconductor (CMOS) logic chips if each is to be manufactured for optimum performance. In the past, if a device that needed both memory and logic was desired, a chip design using both in the same IC could be manufactured, but with a compromise that optimized the performance of neither logic nor memory. Alternatively, a printed circuit board (PCB) could be manufactured, containing both a memory and a logic chip, each manufactured for optimum performance. However, the long distances that the signals would have to travel on the PCB from chip-to-chip will slow the performance considerably. As the clock speed of logic chips has increased and multiple operating cores have been introduced, memory-access latency resulting from traditional surface mount, through-hole interconnect, ball grid arrays (BGAs), or dual in-line memory module (DIMMs) on printed circuit boards has begun to limit the performance of more and more electronic systems. Recently, it is becoming popular to stack ICs and connect them within the same package. One example of this new packaging technology is the silicon interposer that provides interconnection between two or more semiconductor devices, a semiconductor device and a printed circuit board, or a semiconductor device and some other package component. An active silicon device may also function as an interposer in which case the structure is typically referred to as “3D IC”. The interposer is typically a layer of silicon, manufactured from the same kind of silicon wafer used for the ICs themselves, in which vias that pass through the silicon have been manufactured. The vias, placed at predetermined locations, are holes filled with an electrically conducting material (such as copper (Cu)) that pass completely through the silicon. When chips are bonded to both sides of the interposer, the through-silicon vias (TSVs) allow signals from one IC to travel a relatively short distance vertically from one chip to another. When chips are bonded to a PCB using an interposer, they allow signals from the chips on one side of the interposer to connect the PCB. Similar interposers with vias passing through the materials may also be fabricated using glass or a reinforced organic material. These vias are typically made of copper (Cu), but processes using vias made of tungsten (W) have also been developed, and vias with a variety of metal layers are anticipated. Interposers with thickness of less than 50 microns with via diameters of about 5 microns have been demonstrated. Somewhat thicker interposers may be desirable for some manufacturing processes, but the thickness is typically limited by the practical of height to via diameter aspect ratio that can be reliably manufactured. Aspect ratio of 10:1 has been widely demonstrated and prototypes indicate aspect ratios of 20:1 are possible. A single interposer, serving as the interconnection between memory chips that can contain billions of memory cells and a logic chip for a microprocessor, can have thousands or even tens of thousands of TSVs. For thinner interposers, smaller TSV diameters (as small as 1 micron) have been proposed, allowing an even greater number of connections. Since each TSV is a vital communication link between a portion of the logic and memory chip, each TSV must function perfectly. No breakdown in communication can be allowed. It is therefore imperative that, before the active chips are bonded together to the interposer, the interposer is known to be 100% functional. The economic need for this is clear—bonding good chips to a bad interposer ruins all the economic value invested in making the chips. There is therefore a need to properly test and/or inspect these interposers before final bonding takes place. Aside from interposers with TSVs, other packaging technologies are also being explored as a way to increase the number of transistors in a single package, and continue the benefits of Moore's Law. Flip chip interconnect (FCI), sometimes called controlled collapse chip connection (or “C4”), is one such technology that is currently being used. In this process, a pad ring is connected to rows, columns or an array of solder bumps on the surface of a chip while it is still in the form of a wafer. The bumps may form an array on the surface of the chip, a partial array, or may exist in a single perimeter row around the chip or a column in the center or side. The bumps are may be aligned to either the package substrate or to another die. In traditional processes, the individual chips are then “diced” or singulated from the wafer and placed onto a substrate which is typically composed of glass fiber reinforced epoxy (such as FR4), bismaleimide triazine (BT) or similar, but may also be ceramic or Teflon or other stable material, or even a flexible substrate such as tape. Solder flux may be first applied to the bump and or substrate contact surface or it may be a component of the solder paste applied during the process. The bumped chip and substrate are passed through a mass reflow furnace. During this process the solder melts and re-solidifies. This melting and re-solidifying should produce the desired outcome of a reliable connection at each joint between every micro-bump and every land, pad or terminal on the associated substrate or die. Chips with about 2,000 bumps using this process have been demonstrated. The pitch of such bump arrays is typically larger than 100 microns. After mass reflow, the solder joints created in this process may be inspected using acoustic microscopy. A sound wave is passed through the joint and either detected on the other side of the structure or reflected back to the sending side. Changes in the properties of the acoustic signal can be utilized to determine if the solder joint is normal or not. This kind of immediate feedback provided by acoustic microscopy allows for rapid identification of problems and their correction by modification of the process, materials, and equipment used in the manufacture of such products. Newer products are currently entering the market that require interconnect pitches of less than 100 microns. Single perimeter rows of bumps made from copper and attached to organic substrates have been demonstrated at a pitch of 50 microns, and dual rows of copper bumps attached to organic substrates have been demonstrated at a pitch of 80 microns. These chips are typically mounted to the substrate using a process known as thermo-compression bonding. As opposed to mass reflow, in thermo compression bonding, the bumped chip is aligned to the substrate, placed onto the substrate and then exposed to pressure and heat all using a single tool. Typically one chip is processed at a time, and processing times can exceed tens of seconds for each individual chip. Newer products such as those applying silicon or other fine pitch interposers or 3D stacking of die onto active devices require a full array of contacts at pitches of 50 microns or smaller. The demands for even smaller and smaller pitch is expected to continue as chip to chip data rates expand. For current parts being developed at this smaller bump pitch, there is no reliable non-destructive test methodology to inspect the quality of bonds formed during the bonding process. Acoustic microscopy has not demonstrated the ability to detect flaws in solder bumps at bump pitches of 50 microns and below. And, in many cases, the electrical contact points on the parts are too small even for electrical testing at this stage in the manufacturing process. So additional manufacturing steps must be performed at additional cost. In most cases, several days or weeks may be required until an electrical test is performed on an assembled package. In many cases, due to the lack of feedback data during package assembly or bonding process, some or all of the units tested will be found to be non-functional. Current failure analysis techniques examine these failed parts mechanically, typically by using focused ion beam milling to locate the specific failed connection. The connection thus exposed can be imaged used existing scanning electron microscope techniques. The time required to create an image of a defected bond has been reported to be in the range of 1-2 weeks after electrical test due to the difficulty and time required to mill to the specific spot in question without going through or past it. This is unacceptable for high-speed production lines or package assembly. There is therefore a need for a failure analysis technique that can non-destructively examine failed parts for process improvement, preferably in a matter of seconds, and then be used in a manufacturing line for statistical process control (SPC). For the prior art testing of interposers, electrical probes can be used to make continuity tests of the TSVs. However, given that there may be tens of thousands of TSVs, a probe using tens of thousands of electrodes may be required. It is unclear if such a probe is even possible using standard testing techniques. Furthermore, such probes physically touch the ends of the TSVs, and must be jammed against the surface to insure good electrical continuity. This protocol may in fact leave what was a perfectly good interposer scratched and marred by the time the test is finished, while not revealing this in the data gathered by the probe while it was in contact. The pitch of connections on an interposer or die is also smaller than conventional devices being either probed or contacted. Even at the current level of technology, mechanical contact by probes or contacts small enough for the next generation of TSVs, flip chip bumpers, or interposers is not readily available to accomplish such an electrical test. In addition, many interposers have electrical connection between the top side and the bottom side of the interposer. Contact with probes would have to be to both sides of the interposer. This further increases the difficulty of manufacturing an electrical test mechanism for silicon interposers. In IC manufacturing, inspection to confirm correct, defect-free manufacturing is routinely used to examine wafers and PCBs before proceeding to the next manufacturing step. Integrated circuits (ICs) are inspected at many steps in the process, from bare wafer inspection to inspecting printed circuit boards (PCBs) before and after attaching ICs. Different types of microscopes are used at different inspection points: electron and optical microscopes are often used for inspecting the ICs during the manufacturing process, and x-ray microscopes can be used for inspecting PCBs. The inspection techniques using optical photons or electrons to inspect silicon wafers cannot be used to inspect 3D and 2.5D IC packages because they do not penetrate through the ICs or interposers sufficiently to provide an internal view of the packaged ICs. They are also not capable of performing inspection or metrology for partially packaged components, a critical requirement for process control. X-rays, however, can penetrate through many layers of packaging to provide an internal view of the assembled device. The initial discovery of x-rays by Röntgen in 1897 [W. C. Röntgen, “Eine Neue Art von Strahlen (Würzburg Verlag, 1895); “On a New Kind of Rays,” Nature, Vol. 53, pp. 274-276 (Jan. 23, 1896)] was in the form of shadowgraphs, in which the contrast of x-ray transmission for biological samples (e.g. bones vs. tissue) allowed internal structures to be revealed without damaging the samples themselves. However, because of their short wavelength (10 to 0.01 nm, corresponding to energies in the range of 100-100,000 eV), and the absence of materials for which the refractive index for x-rays differs significantly from 1, there are no easy equivalents to refractive or reflective optical elements so commonly used in optical system design. So, even now, the most common use of x-rays is still as a simple shadowgraph, observing the structure of bones and teeth in the offices of doctors and dentists. Early x-ray “microscopy,” developed more than 50 years after the initial discovery of x-rays, simply consisted of elaborate shadowgraph apparatus, in which the diverging x-rays cast a shadow larger than the object [S. P. Newberry and S. E. Summers, U.S. Pat. No. 2,814,729]. With the advent of computer data collection, it became possible to gather more information from the specimen, changing the relative positions and illumination angles of the x-ray source and specimen in a systematic way. Using multiple transmission measurements taken at multiple angles around the specimen, images can be synthesized by computer that represent a 2-dimensional or 3-dimensional model of the specimen [G. N. Hounsfield, U.S. Pat. No. 3,778,614]. The “slices” of interior bodies so revealed are amazing to look at, revealing a great deal about the internal structures without invasive surgery. However, as far as the physics of the x-ray interaction with the specimen, these tomographic reconstructions represent nothing more than an elaborate map of x-ray absorption—a sophisticated shadowgraph. Over time, other imaging tools for x-ray optical systems were invented. An apparatus using grazing incidence reflection from surfaces provided cone reflectors [C. G. Wang, U.S. Pat. No. 4,317,036] and capillary collimators [F. Kumasaka et al., U.S. Pat. No. 5,276,724] to allow a diverging x-ray beam to be manipulated into a collimated beam or to concentrate x-rays onto a specimen. Systems using an x-ray microscope for the inspection of integrated circuits have been disclosed by the Xradia Corporation [W. Yun and Y. Wang, U.S. Pat. No. 7,119,953; Y. Wang et al., U.S. Pat. No. 7,394,890; M. Bajura et al., U.S. Pat. No. 8,139,846; <http://www.xradia.com/>]. FIG. 1 illustrates a prior art x-ray microscope system as disclosed on Drawing Sheet 2 of U.S. Pat. No. 7,119,953. In such a system, x-rays from a source 010 are collected by a condenser 012, which relays x-rays from the source 010 to a test object 020 to be examined. This condenser 012 is described in some embodiments as a capillary condenser with a suitably configured reflecting surface, while in others as a zone plate. The converging beam from the condenser 012 irradiates the test object 020 to be examined, and the radiation emerging from the test object 020 to be examined is scattered and diffracted out of the path of the direct radiation beam. An x-ray objective 041 is therefore used to form an image of the object, collecting the scattered x-rays. This objective 041 is described as being possibly a zone plate lens, a Wolter optic, or a Fresnel optic. In some embodiments, an additional phase plate 045, often in the form of a ring around the center axis of the system, is included to enhance contrast. Both the phase plate 045 and the objective 041 are described as being attached to a “high-transmissive substrate” 048 to form a composite optic 040. The focused radiation 051 forms an image of the test object 020 on a detector 050, which is described as possibly comprising in some embodiments a charge-coupled device (CCD), and in some embodiments comprising a scintillator, and in others being a film-based detector. X-ray systems with Fresnel zone plate (FZP) optics such as this prior art Xradia system can be effective for the non-destructive examination of integrated circuits, but the limitations of the zone plate optics [J. Kirz and D. Attwood, “Zone Plates”, Sec. 4.4 of the “X-ray Data Booklet”<http://xdb.lbl.gov/Section4/Sec—4-4.html>] reduce the wavelength range over which x-rays can be effectively collected, thereby decreasing the collection efficiency and increasing the time to collect data for a complete IC. The system is therefore very slow and inefficient for collecting large volumes of data on multiple layers of an IC. X-ray systems using point projection microscopy (PPM) provide another way to form images of ICs, PCBs, or other packaging structures such as interposers. These systems form direct shadows of objects using x-rays emitted from a small point source. Such a prior art x-ray inspection system is the XD7600NT manufactured by Nordson DAGE of Aylesbury, Buckinghamshire UK. A schematic of a PPM system is illustrated in FIG. 2. In a PPM system, a “point” source 10 emits x-rays 11 at a wide range of angles. The object 20 to be examined comprising detailed structures 21 is placed some distance away, so that it casts an enlarged shadow 30 comprising features 31 corresponding to the structures 21 on a detection screen 50 some distance behind the object. The advantage to such a system is its simplicity—it is a simple shadow projection, and the magnification can be increased by simply placing the detector farther away. By not using inefficient zone plates, higher intensity and therefore faster image collection times are achieved. For an object of infinite thinness and with no internal structure, this may be adequate. Unfortunately, ICs and packaging materials are not infinitely thin; they have complex 3D structures, and the wide angular range of the shadow projection system means that identical features illuminated at an angle cast very different shadows from those same features illuminated head on. This parallax error, illustrated in FIG. 2, must be taken into account in the image analysis of any shadow projection system, and prevents its easy use in an inspection system, since pixel-by-pixel comparison is impossible for images taken with different illumination angles. Resolution is also an issue with PPM systems. Although x-ray wavelengths can be chosen to be short enough that significant diffraction does not occur, blurring is still a significant problem. The “point” source is actually the spot where an electron beam collides with an anode, and a typical x-ray source spot is at least 1 micron in diameter. The resolution of the shadow is therefore limited by the size of the original source spot, and at some distance, the shadows from an extended source will blur. This blurring is illustrated in FIG. 3. For an object 20 with an opaque feature 21 of width A, a “point” source 10 of size S a distance L1 away from the object 20 casts a shadow 31 of width A1 corresponding to the opaque feature 21. At the edge of the shadow 31, the extended source 10 also casts an extended penumbra 32 of width A2. The larger the extended source 10, the larger the penumbra 32, and the poorer the image contrast and resolution. [Note: a penumbra will appear on all sides of the shadow; only one is shown for illustrative purposes. It should also be noted that the penumbra for a PPM system will not be symmetric for off-axis features due to the parallax effects illustrated in FIG. 2.] Throughput is therefore also an issue with PPM systems. To achieve the necessary resolution, all the x-rays must be emitted from as small a point as possible. Because x-rays are usually generated by colliding a beam of electrons into the surface of a metal, and there are therefore limits on the brightness that can be achieved from a single spot. Attempts to increase the current too high will not increase the brightness from the point source, but instead may simply melt the a hole in the anode. Attempts to increase the x-ray flux by extending the area of the source spot reduce the system resolution further. The x-ray target must generally be a thin foil, to limit the size of the x-ray spot due to electron scattering in the target. As a rule of thumb, approximately 1 watt of electron-beam energy can be deposited into a 1 micron spot on this type of x-ray target. Better resolution can only be obtained by reducing the size of the electron beam generating the x-rays, which in turn requires the beam current to be reduced to avoid thermal damage to the thin target. No existing x-ray source with spot size of 1 micron has been able to reliably operate at over 10 watts of power. Therefore, existing x-ray systems lack sufficient resolution and imaging speed to meet the needs for high-resolution, high-throughput IC and electronic packaging inspection. Therefore, a new approach is needed to combine the penetrating power of x-rays with high-power, high-resolution, telecentric imaging techniques to provide measurement and inspection capabilities for the next generation of 3D and 2.5D integrated circuit packages, such as silicon interposers with TSVs. The inventions disclosed herewith comprise an x-ray system and various methods using an x-ray system. The x-ray system uses proximity imaging with a high-resolution scintillator coupled to a camera. The object to be examined is mounted in close proximity to a thin scintillator that converts x-rays into visible light. When collimated x-rays are directed at the object, a detailed high-resolution close proximity x-ray shadow image is created on the scintillator. The thin scintillator converts this x-ray shadow image into a high-resolution visible light image, and a high-magnification optical microscope creates a magnified optical image of the scintillator on a 2D optical sensor, such as a charge-coupled device (CCD) or complementary metal-oxide-semiconductor (CMOS) detector. One advantage of the x-ray system architecture disclosed herewith is an increase in the x-ray flux. The system architecture can be implemented using embodiments that allow the full spectrum of x-rays, or a substantial portion thereof (e.g. greater than 1 percent of the energy spread of the beam) to be used for image formation, and that further allow the ratio of the x-ray source spot size to the resolution of the imaging system to be greater than 1 while simultaneously achieving a high contrast image resolution smaller than 10 microns (and in some cases, achieving sub-micron resolution). The detector is coupled to electronics that convert the image to electronic signals. The resulting electronic representation of the 2D image of the object is stored in computer memory by the electronics. This electronic image from the x-ray system can then be used for metrology, in which the contents and structure of the image are analyzed to determine information about the physical dimensions of the object. Measurements can also be made within the image or between features in multiple aligned images to detect variation in the materials and manufacturing processes used. Multiple images of the same object made using different angles of incidence can also be used to determine 3-dimensional structures within the object. Because the x-rays can penetrate multiple layers of the structure, the structures can be determined without disassembling the object. This electronic image from the x-ray system can also be used for inspection, in which the dimensions of the object determined from the image are compared with a set of rules, or in which the image of the object is compared with other images used as a reference to determine if there is a defective structure within the image. Defect detection and location identification can occur manually, or by comparison with other areas within the object, or by comparison with stored information such as an image of a similar device known to be correctly manufactured. The use of images and measurements obtained from the x-ray system during the development and manufacture of components, such as those utilizing emerging interconnect technologies such as 2.5D IC, 3D IC, fine pitch TSVs, flip chip microbumps, interposers, etc., can accelerate process development and time to market for these new technologies. The present invention addresses the need for a rapid, real time or near real time inspection tool for fine pitch detail including TSV, flip chip and interposer applications as well as any rapid inspection of MCP devices. The present invention may be used in a failure analysis lab, or inline with the TSV or MCP packaging processing. The rapid real time or near real time advantages of the invention allow feedback during the MCP, interposer or TSV packaging, manufacture or processing and allow significant yield improvement. Other advantages allow real time alignment of interposers and TSV dice. The electronic image or images from the x-ray system can also be used for manufacturing process quality control or failure analysis, in which defects are detected by an inspection system using the x-ray images, and the defect information is used in determining the origin of the defects. The electronic image or images from the x-ray system can also be used for yield improvement, in which the origin of defects detected by a quality control or failure analysis system using the x-ray images is used in identifying and eliminating the cause of the defects, improving yield. This may be accomplished using the methods of statistical process control (SPC). In the absence of suitable tools for making these kinds of images and measurements at the pitches and dimensions required by new generations of manufacturing technologies, the economic feasibility of these technologies and the potential digital products that use them will be impacted negatively through higher cost and poor yields. Note: Elements shown in the drawings are meant to illustrate the functioning of the invention, and have not been drawn to scale. The system and methods disclosed in this application all comprise a system or the use of a system that illuminates an object to be examined or inspected with x-rays, converts x-rays to visible (or near-visible) photons, forms an image of the visible (or near-visible) photons, and then converts the image into an electronic form. As such, the various embodiments of this x-ray image formation system will be presented first, followed by the various embodiments of methods and systems that utilize the x-ray imaging system. Although many kinds of objects can be examined or inspected using the apparatus disclosed here, it is expected to be especially suitable for the examination and inspection of integrated circuit wafers and packaging assemblies. One example of these are silicon interposers, comprising silicon with multiple TSVs, but the invention can also be used, for the inspection of an integrated circuit (IC) itself, a silicon interposer, a silicon dioxide interposer, a printed circuit board (PCB) with or without ICs already installed, a 3D IC package or assembly, a 2.5D IC package or assembly, a multi-chip module (MCM), a system-in-package (SIP) and other electronic microdevices or portion thereof that comprise microscopic structures. These may be examined as incoming materials, completed products, or as partially manufactured objects at any stage of their manufacture for the purpose of metrology, process control, inspection, or yield management. Non-electronic devices with micro- or nano-structures, such as magnetic recording media, photonic structures and photonic crystals, metamaterials, etc, can also be examined and inspected using this invention. Capacitive sensors, such as fingerprint sensors, can also be examined. A particularly attractive feature of the apparatus is that it is possible to make non-destructive, high-resolution observations and measurements of features within an object that cannot otherwise be seen using electrons or optical photons, as are used in conventional metrology and inspection tools. In general, objects suitable for use with this invention will comprise at least one flat side. Examples include: electronic circuits on semiconductor wafers, parts of wafers or selected areas on wafers; integrated circuit chips, dice, assemblies, packages, or portions thereof; micro-fluidic devices; micro-electro-mechanical systems (MEMS), including accelerometers, gyros, magnetic and capacitive sensors and the like; photonic devices, particularly those fabricated using planar waveguides; biological tissues, including stained samples; photomasks or templates for printing or fabricating any of the above mentioned devices; and solar cells, parts thereof or parts pertaining to solar cells. Other objects without flat sides may be observed and inspected as well, but the image quality may not be uniform for objects of irregular dimensions. X-Ray Imaging System. FIGS. 4 through 21 illustrate various embodiments of an x-ray imaging system according to the invention. Those skilled in the art will realize that these illustrations each depict only one possible embodiment, and that the figures illustrate the relative placement of elements. The actual items as depicted are not intended to be interpreted as being drawn to scale with respect to each other, nor is the vertical orientation as depicted in some figures intended to be limiting—the arrangement of elements can be oriented in any manner, including horizontally on a table, or even inverted, with a source below and the detector above. FIG. 4 illustrates an overview of an embodiment of an x-ray imaging system according to the invention. An x-ray emitter 101 emits x-rays 111. These x-rays are then shaped into a collimated x-ray beam 211, in some embodiments using distance from the emitter 101 and a plate 140 with an aperture 142. This collimated x-ray beam 211 then illuminates an object 200 to be examined. The x-rays that are transmitted through the object 200 illuminate a scintillator assembly 300 comprising a scintillator 310 and, in some embodiments, a support 350 for the scintillator. The scintillator 310 absorbs a portion of the x-rays and releases some of the energy so absorbed with the emission of visible photons 411. Using an optical system 400, a magnified image 511 of the visible photons 411 emitted by the scintillator is formed on an image detector 500. The image detector 500 converts the intensity of the magnified image 511 to an electronic signal. The image detector 500 can comprise an electronic sensor, such as a charge-coupled device (CCD), or another image sensor known to those skilled in the art. The electronic signal is transmitted to a system of electronics 600 that, in some embodiments can display the image results, and in some embodiments can store the image results and/or perform image processing algorithms on the image results in conjunction with a computer system 700. For any source emitting ionizing radiation such as x-rays, it is often wise to provide shielding 998 around the x-ray source 100, and in some situations legally required for operation. Such shielding 998 can be a simple enclosure of shaped sheets of lead metal, or a more intricate design fabricated from any of a number of x-ray absorbing materials, such as lead-doped glass or plastic, that will be known to those skilled in the art. Shielding is desirable to keep random x-rays, either directly from the emitter 101 or reflected from some other surface, from causing unwanted effects, particularly spurious signals in the various electronic components used to control the system. Likewise, for some embodiments, additional shielding 999 around the beam path may also be desired, and in some cases be legally required for operation. Such additional shielding 999 can be a simple enclosure of shaped sheets of lead metal, or a more intricate design fabricated from any of a number of x-ray absorbing materials such as lead-doped glass or plastic, that will be known to those skilled in the art. Additional shielding 999 is desirable to keep random x-rays, either directly from the emitter 101 or reflected from some other surface, from causing unwanted effects, particularly spurious signals in the various electronic components used to control the system. Because certain image detectors 500 such as those comprising CCD sensors can be particularly sensitive to x-ray exposure, in some embodiments a portion of the scintillator assembly 300 can also be fabricated in whole or in part using a material, such as a lead-doped glass, which absorbs x-rays while transmitting the visible photons 411 emitted by the scintillator. Other embodiments comprising a system design that places the image sensor 510 out of the x-ray beam path, as will be disclosed in more detail later in this application, may also be used if additional isolation from x-rays is desired. FIG. 5 shows the embodiment of the x-ray system of FIG. 4 in more detail. Some elements, such as the additional shielding 999, may still be used in conjunction with this embodiment, but are not shown in FIG. 5 to allow other additional details to be shown with more clarity. In FIG. 5, an x-ray source 100 comprises an x-ray emitter 101 that emits x-rays 111. One technique to generate x-rays is to accelerate a beam of electrons with high voltage and collide the electrons into an anode target fabricated from a designated material. In the embodiment shown, a high voltage is created by a power supply 119 and connected through positive lead 114 and negative lead 112 to create a high voltage between an electron source 102 and an anode 104, which serves as the x-ray emitter 101. Electrons 103 are then emitted from the electron source 102 to collide with the anode 104, creating x-rays 111. A window 115 in the x-ray source 100 may be provided if maintaining a vacuum or having a fill gas of some particular composition and/or pressure in the x-ray source 100 is desired. The window 115 may comprise beryllium or some other x-ray transparent material. The energy of the x-rays 111 emitted for such an x-ray source 100 will typically vary depending on the spot size, the accelerating voltage, the electron current, and the target materials of the emitter 101. These parameters can be adjusted and optimized independently or together to generate x-rays with particular properties. For one embodiment for an x-ray source 100 in a system designed to examine copper (Cu) micro-structures, the spot size of the emitter 101 is 1 millimeter in diameter, the accelerating voltage for electrons is 75 kV, the current is 20 mA, and the target anode is fabricated from tungsten (W). This produces x-rays of with an energy of 20-30 keV (corresponding to a wavelength range of 0.062-0.041 nanometers). If lower energy x-rays (e.g. 1.7 keV, corresponding to a wavelength of 0.730 nanometers) are desired for examining structures fabricated from aluminum (Al), an accelerating voltage of 5-10 kV can be used with a target anode comprising silicon (Si) or silicon compounds. In some embodiments, an accelerating voltage between 90 and 160 kV can be used. The choice of window material and thickness will affect the low-energy range of the x-rays due to x-ray absorption. One example of an x-ray source is the MXR-75HP/20 1 kW x-ray source manufactured by COMET Industrial X-ray of Flamatt, Switzerland. In other embodiments, the x-ray source 100 can be a fixed or rotating anode x-ray tube, a synchrotron, a liquid metal source, or any other x-ray source known to those skilled in the art. The x-ray source may be operated in a continuous mode or a pulsed mode. Other specific x-ray sources or synchrotron sources will be known to those skilled in the art. X-rays 111 from an emitter 101 generally emit in all directions. However, for high-resolution imaging, collimated x-rays are often preferred. Illumination of an object 200 to be examined with collimated x-rays can be achieved either by providing enough distance between the emitter 101 and the object 200 to be examined, so the angular spread of the x-ray illumination at the object 200 is small, or by using a variety of x-ray beam shaping optical elements such as zone plates or x-ray mirrors. In one embodiment, using a 1 kW x-ray source with a source spot size diameter of 1 mm and no additional beam shaping elements to illuminate an object 200 with dimensions 1 cm×1 cm, with a separation distance of 10 cm between the emitter 101 and the object 200, achieves a beam angular spread of about 1 milliradian at each point on the object 200. In some embodiments of the invention, one or more beam adjusters 125 such as filters can be inserted between the emitter 101 and the object 200 and used to change the x-ray energy spectrum in order to provide better contrast, depending on the material composition of the object 200 under examination. For example, if the object 200 contains copper (Cu) structures (e.g. copper TSVs) embedded in a material such as silicon (Si), the x-ray spectrum can be adjusted to increase the relative portion of the x-rays with energy greater than the copper absorption k-edge at 8.9 keV. This can be achieved by increasing the electron beam voltage generating the x-rays, which increases the portion of the x-ray spectrum that is more energetic, or by using a beam adjuster 125 comprising, for example, aluminum (Al) metal to absorb lower-energy x-rays. This can lead to an adjusted x-ray beam 211 with energy that is peaked near 8.9 keV, thus increasing the contrast of the copper relative to the silicon substrate. In some embodiments, the window 115 of the x-ray source may be selected to also function as a metallic filter, eliminating any need for a separate element such as a beam adjuster 125 to serve this function. In some embodiments, the beam adjuster 125 can also comprise beam shaping optics, such as capillary collimators, grazing incidence reflecting cones, zone plates, crystals and the like to further shape the x-ray beam angle and direction, as well as the energy spectrum. In some embodiments, an x-ray monochromator may also be inserted between the x-ray emitter 101 and the object 200 and be used to select a specific x-ray beam energy. Aside from beam adjusters 125, the beam path between the emitter 101 and the object 200 can also include one or more shutters 130 to limit the time of x-ray exposure as well as a plate 140 with an aperture 142 to limit the physical extent of the x-ray beam. The shutter 130 can be electronically driven by a shutter controller 139 through a connector 138 such as an electrical lead, which in turn can be synchronized with the computer system 700 controlling the system, or can be operated manually by some other means. Mechanical alteration of the x-ray intensity (e.g. turning the x-rays on and off) can also be achieved in some embodiments by controlling the x-ray source power supply 119 using the controller 139. The beam path between the window 115 of the x-ray source and the object 200 in some embodiments will be filled with ambient air at standard conditions of temperature, pressure and/or humidity, but can alternatively be filled with a designated gas composition at various temperatures and pressures, or even pumped out to low pressure or to be a vacuum. This may be of more concern if the object 200 to be examined will be heated or cooled during the time the images are collected, and an environment without oxygen, for example, may help prevent corrosion or change in the object 200. For such an embodiment, the system may be additionally provided with a chamber 180 to contain the beam path and the appropriate portions of the x-ray source 100. This chamber 180 may be inside or outside the additional shielding 999. This chamber 180 can be further connected to one or more sources of gas 182 with a suitable means, such as a gas valve 183, for adding amounts of gas to the chamber. This chamber 180 can additionally be connected to a vacuum pump 184 with a suitable means, such as a vacuum valve 185, for removing amounts of gas from the chamber. The gas composition and conditions can be selected based on the energy of the x-rays and the environmental requirements of the object 200 under examination. For example, if low energy x-rays are to be used to provide better contrast for aluminum (Al) structures within an object, such as the interconnect layers in traditional IC, filling the beam path with helium may be preferred. In some embodiments, the object 200 to be examined may be placed in direct contact with the scintillator 310. However, in other embodiments, the object 200 will be placed in a mount 250 and positioned in close proximity to the scintillator. This mount 250 can be a clamp, a vise, a stage (including a stage designed to hold manufactured silicon wafers), an air bearing, a membrane support, or any number of support structures that will be known or can be designed for observing objects of various sizes, shapes and compositions. The mount 250 in some embodiments will allow the object to be moved relative the x-ray beam. Translation motion in x-y planes (with x- and y-axes defined as orthogonal axes in the plane of the scintillator) will allow observation of an object 200 larger than the size of the beam. Adjustment along the z-axis (i.e. along the direction perpendicular to the scintillator) will allow the object 200 to be moved as close as practical to the scintillator, improving resolution. Rotation in the x-y plane (around the z-axis) may also be used to align images of the object with axes of manufactured objects within the object (e.g. aligning copper wires in the object to appear horizontal or vertical in the image). Tilting the object 200 by rotation of the mount 250 around the x- or y-axis can also allow observation of an object 200 using different angles of incidence for the x-rays. Multiple images at multiple angles can be used to allow the reconstruction of 3D structures that a single image may not provide. More information on these embodiments will be provided later in this application. In some embodiments, the motion of the mount 250 is controlled by a controller 259 through a connector 258. The controller 259 is in turn directed either by direct input from an operator, or by electronic instructions provided by the computer system 700. The adjusted x-ray beam 211 illuminates the object 200 to be inspected as it is held in the mount 250. Depending on the nature and construction of the object, it may comprise various internal 2D and 3D structures of various material compositions. These various materials can have varying degrees of absorption for the adjusted x-ray beam 211, producing different levels of transmission intensity. For example, for x-rays with an energy of 20 keV, absorption by 10 microns of silicon will be about 1%, while that of 10 microns of copper will be about 26%. Referring now to FIG. 6, which illustrates elements of the embodiment of FIGS. 4 and 5 in more detail, the object 200 to be inspected is illustrated as a silicon interposer 200-I, comprising a silicon wafer which can be, for example, 500 microns thick, with multiple copper TSVs 210 fabricated in the wafer and extending from one face of the silicon wafer to the other. Copper TSVs typically have a cylindrical shape, and can typically range from 1 to 150 microns in diameter and be fabricated in a wide range of pitches, for example, from a pitch range of 20 to 500 microns. The variable transmission of x-rays through the silicon and copper of the TSVs 210 results in a pattern of intensity in the output x-rays 311 emerging from the object 200-I, shown as the absence or presence of continuing arrows in the figure. The output x-rays 311 propagate towards the scintillator assembly 300. The distance between the object 200-I and the scintillator assembly 300 can be as large as 1 mm, but if the distance between the object 200-I and the scintilltor assembly 300 is sufficiently small, typically on the order of 100 microns or smaller, there will be little scattering or spreading of the output x-rays 311 between the object and the scintillator, and the profile of the emitted visible photons 411 from the scintillator will more accurately reproduce the intensity of the output x-rays 311. In some embodiments, better pattern fidelity can be achieved if the propagation distance is minimized, and the object 200-I and the scintillator assembly 300 are in close proximity. In some embodiments, the object 200-I being examined may actually be in direct contact with the scintillator assembly 300 itself. More variations of these embodiments are disclosed later in this application. The scintillator 310 comprises a material designed to absorb x-rays and emit visible photons 411. Although many such materials are known to those skilled in the art, one such material is lutetium aluminum garnet, doped for activation with cerium (LuAG:Ce, chemically represented by Lu3Al5O12:Ce), which emits green light at 535 nm when x-rays are absorbed. LuAG:Ce is both mechanically and chemically stable, and its high density (6.76 g/cm3) and hardness (8.5 Mho) allows a thinner scintillator screen (thinner than 50 microns) with higher emission to be fabricated. Scintillator materials are generally grown as single crystals, and then polished to be thin crystal wafers that are both optically smooth and relatively thin. Other scintillator materials are: lutetium aluminum garnet doped with praseodymium (LuAG:Pr), yttrium aluminum garnet (YAG, Y3Al5O12) doped with cerium or praseodymium (YAG:Ce, YAG:Pr), bismuth germanate (BGO, Bi4Ge3O12), lutetium oxyorthosilicate (LSO, Lu2SiO5), gadolinium gallium garnet doped with chromium (GGG:Cr, Gd3Ga5O12:Cr), and sodium iodide doped with thallium (NaI:Tl). Other scintillator materials, both fabricated from crystals and from and organic compounds embedded in plastic, will be known to those skilled in the art. Commercial scintillator screens are available from companies such as Saint Gobain Crystals of Hiram, Ohio. Specific embodiments of the scintillator 310 can be designed to optimize either the emission brightness (which increases with scintillator thickness) or the resolution of the emission pattern (which decreases with scintillator thickness, due to increasing emission blur). Other embodiments can be co-optimized for both brightness and resolution. In some embodiments, the scintillator 310 is a crystal of LuAG:Ce 1 cm in diameter and 20 microns thick. In other embodiments, the scintillator is a crystal of LuAG:Ce 1 cm in diameter and 5 microns thick. In some embodiments, the scintillator 310 will be controlled for thickness and surface quality, minimizing thickness variations and surface scratches. In some embodiments, the thickness variations will be controlled to be less than 10%. In some embodiments, the scintillator assembly 300 may simply comprise a thin crystal of scintillator material. However, since such crystals can be fragile and break if an object to be examined were to be placed in contact with the crystal with too much force, a scintillator with additional mechanical supports and coatings may be preferred. As illustrated in FIG. 6, in some embodiments the scintillator assembly 300 may have a coating 320 on the side facing the x-ray source. This coating 320 can prevent scratching of the scintillator 310 when the object 200-I being inspected is placed in contact with the scintillator assembly 300. In some embodiments, as described in further detail below, the coating 320 can be selected to have specific mechanical and optical properties that reduce the impact of dust in the image. In some embodiments, the scintillator 310 may also be attached to a support 350 such as a substrate. The support 350 may be a glass slide 1 mm thick made from conventional BK7 glass. This attachment of the scintillator 310 to the support 350 may be achieved by using an index-matching adhesive between the scintillator and the substrate. In some embodiments, the substrate can also provide shielding, transmitting the visible photons 411 from the scintillator 310 to the optical system 400 while also absorbing x-rays, so that unabsorbed x-rays transmitted through the scintillator 310 do not irradiate optical components, such as an objective lens, which may be damaged by exposure to x-rays. This can be achieved if the support 350 comprises a lead-doped glass. One such glass comprising 65% lead oxide by weight is RD-50 radiation shielding glass manufactured by SCHOTT North America Inc. of Elmsford, N.Y. Returning to FIG. 5, the emitted visible photons 411 are collected by an optical system 400 that forms a magnified image 511 of the emitted visible photons 411. The magnification of the optical system 400 can be as small as 1×, but more typically will be designed to magnify the image by 10× to 100×. In some embodiments, the optical system 400 comprises an objective lens 410. This objective lens can be similar to those commonly used for microscopy applications. In some embodiments, the objective lens will be a 10× lens, with a numerical aperture (NA) of 0.23. One such objective lens is a Nikon Plan 10× objective lens, manufactured by the Nikon Corporation of Tokyo, Japan. The objective lens 410 may also have elements manufactured using radiation-hard glass, to reduce the effects of x-ray radiation exposure on the optical components. In some embodiments, the optical system 400 also comprises a transfer or tube lens 450 to relay the image from the objective lens 410 to the image detector 500. The transfer or tube lens 450 may also serve to additionally magnify the optical image. The transfer or tube lens 450 may also have elements manufactured using radiation-hard glass, to reduce the effects of x-ray radiation exposure to on the optical components. The transfer or tube lens 450 may also have elements manufactured using lead-doped glass, to provide additional absorption of x-rays and shielding for the optical sensors in the system. In some embodiments, the optical system 400 can comprise additional elements 425 in the optical path to alter properties of the optical image. These additional elements 425 can comprise neutral density (ND) filters to decrease the intensity of the light reaching the detector and otherwise shape and adapt the image. Conversely, additional elements 425 can comprise an image intensifier to increase the intensity of light reaching the detector. Additional elements 425 in the optical path may also comprise elements fabricated from lead-doped glass to further shield the detector from x-rays. Although the figures have illustrated the various optical components of the optical system 400 in a particular configuration, these can be assembled in a number of arrangements. Optical systems may or may not comprise a tube lens, may or may not comprise a filter, etc. If a filter is used, the filter may be between the transfer or tube lens 450 and the image detector 500, or may be integrated as a component within the objective lens 410 or the transfer or tube lens 450. Other arrangements and embodiments will be apparent to those skilled in the art. An image detector 500 is used to detect the magnified image 511 of the visible photons 411. In some embodiments, this image detector 500 comprises an image sensor 510 such as a charge-coupled device (CCD) with associated electronics 550. This image sensor 510 can be placed in the image plane of the optical system 400 to convert the magnified image 511 of the visible photons 411 emitted by the scintillator 310 into electronic signals. A CCD Camera will have a number of image sensing elements, typically arranged in a square or a rectangular array. Each element can generate a pixel of the electronic image, with the electronic signal comprising data representing the position of the pixel and the image intensity. The position of the pixel can be calibrated into x-y coordinates for the corresponding position on the object 200 being examined. One example of a camera with a CCD image sensor is the Prosilica GT 2750 6 Megapixel CCD camera for extreme environments, manufactured by Allied Vision Technologies. The camera uses an ICX694 EXview HAD CCD sensor with 6.09 megapixels, capable of generating 25 frames/second, manufactured by Sony Corporation of Tokyo, Japan. When used with a 10× objective having an NA=0.23, a single CCD image pixel corresponds to 0.453 microns, making this an x-ray imaging system with sub-micron resolution. Although the frame rate from this camera can be 1/25 of a second, the low light intensity emitted by the scintillator leads in some embodiments to using a longer integration time in the CCD sensor and electronics. Typical single pixel integration time in the above described embodiment is 8 seconds. Faster image generation speed at the cost of resolution can be achieved by “binning” the pixels, collecting the signals from several pixels into one image pixel. In the above embodiment, when 8×8=64 sensor pixels are binned into one image pixel, an image can be generated in 1 second. This “binning” mode can be especially useful for real-time navigation and alignment for specific structures within an object 200 to be examined before a final, high-resolution image is collected. “Binning” can be accomplished using 2×2, 4×4, 8×8, or other combinations of sensor pixels. Faster image collection can also be achieved by using a greater x-ray flux, which in turn increases the number of photons emitted by the scintillator 310. In other embodiments, the image sensor 510 may be a front-illuminated CCD or a back-thinned CCD capable of detecting ultraviolet (UV) light. The CCD may be operated in interline-transfer mode, frame-transfer mode or as a time-delay and integration (TDI) sensor. The image sensor 510 may also be a CMOS sensor, or a “scientific CMOS” (sCMOS) sensor. The sensor may also be cooled to below room temperature. Other image collection sensors will be known to those skilled in the art. The electronic signal from the image sensor 510 is then transmitted through a connector 558 to a system of electronics 600 that, in some embodiments can display the image results, and in some embodiments can store the image results and/or perform image processing algorithms on the image results in conjunction with a computer system 700. When properly calibrated, the electronic signals correspond to the transmitted x-ray intensity at corresponding locations in the object 200. In addition to providing image signals from the detector 500 to the system of electronics 600, connector 558 may also provide information from the system of electronics 600 to the detector 500 to control settings of various elements of the detector. In some embodiments of the invention, connector 558 may extend beyond the detector 500 and connect the system of electronics 600 with the optical system 400 as well, controlling various aspects of the optical system 400 (such as focus, aperture settings etc.). In some embodiments, the system of electronics may read in the images over an internet connection (via packets), or a serial bus (e.g. USB 2.0). In some embodiments, the system of electronics 600 may comprise a frame-grabber board and connection, such as the Orion HD high performance graphics and video capture board manufactured by Matrox Electronic Imaging of Quebec, Canada. One or more computer systems 700 may be used to control various aspects of the x-ray system, including: properties of the x-ray source 100, including the angle of the x-ray source 100; the x-ray source power supply 119; the controller 139 directing shutters 130 or other beam conditioning or shaping equipment; and the controller 259 directing the stage 250 that manages the position and/or orientation of the object 200. In some embodiments of the invention, additional controllers can be used to control: properties of the optical system 400, including focusing or magnification; the operation of the sensor; and the collection of images from the image detector 500. The computer system 700 can be any of a number of commercially available computers, such as an HP ENVY DV7-7212nr Notebook PC, comprising an Intel® Core i7-3630QM Processor; a 2 GB GDDR5 NVIDIA® GeForce GT 650M Graphics capability; a 750 GB 7200 RPM hard drive; a memory module comprising 8 GB DDR3 1600 MHz RAM (2 DIMM); and a 17.3-inch diagonal display (1920×1080) display. More detail on possible variations for the computer system will be presented later in this disclosure. Results of the X-ray Imaging System. Shown in FIGS. 7 and 8 are x-ray images of a test pattern having sub-micron test features fabricated in gold on silicon. The smallest line/space pitch for the innermost circle is 1 micron, comprising 500 nm lines with 500 nm spaces. For each example, one image is generated using a system constructed according to the embodiments described above, and one image is generated using commercial x-ray microscope using the prior art PPM configuration as previously described. FIG. 7 illustrates an example of the larger size of the field of view for the system according to the invention. The image for the field of view for a prior art PPM system is shown in FIG. 7A, and the field of view for the system according to the invention is shown in FIG. 7B. Both images were both collected using a resolution where one pixel corresponds to 0.5 microns, and with comparable integration times. The system according to the invention clearly demonstrates a field of view of 1.375 mm×1.1 mm, or 1.51 mm2. This is more than 50 times larger than the field of view of 0.20 mm×0.15 mm, or 0.030 mm2, for the PPM system with comparable image contrast and quality. A set of images covering an entire IC or chip package will therefore be collected ˜50 times faster using the system according to the invention than for the prior art PPM system. FIG. 8 illustrates an example of the higher resolution for the system according to the invention. Using the same test pattern shown in FIGS. 7A and 7B, an image showing the resolution of the prior art PPM system is shown in FIG. 8A, and an image showing the resolution of the system according to the invention is shown in FIG. 8B. Both images were collected using a resolution where one pixel corresponds to 0.1 microns, and with comparable integration times. The system according to the invention clearly demonstrates a resolution capability for the smallest line/space features in the test pattern, which are 500 nanometers wide, while the prior art PPM system only resolves features as small as 1.5 microns (3 times larger than the system according to the invention), and only shows a blur for the 500 nanometer features. Further Embodiments of the X-Ray Imaging System. The previous section disclosed an overview of several embodiments of the x-ray imaging system. Those skilled in the art will know some variations of the system that may offer additional advantages in certain situations. What follow are more detailed descriptions of embodiments of the invention. X-ray Source Variations. Some embodiments of the invention may comprise additional variations of the x-ray source 100. Referring now to FIG. 9, in some embodiments, the x-ray source can comprise a mount 106 that can move the position of the x-ray source 100 relative to the object 200, thereby changing the angle of incidence of the x-ray beam on the object. The mount 106 can be designed to allow the x-ray source 100 to swing in the x-z plane, in the y-z plane, or any other combination of axes. The source can also be moved along the z-axis to move the x-ray source 100 closer to the object 200. This may have the effect of making the beam brighter, increasing signal strength, at the cost of having an x-ray beam that is less collimated, reducing resolution. This effect may be reduced or eliminated by reducing the spot size of the x-ray source. Motion of the x-ray source 100 using the mount 106 can be controlled by the computer system 700 several ways. In some embodiments, the source mount 106 may move the x-ray source 100 to a fixed location to allow an image to be captured. In some embodiments, the mount 106 can move the x-ray source 100 continuously as images are gathered, allowing the dynamic change of x-ray intensity as transmitted through the object 200 to be recorded as a function of illumination angle. In some embodiments, the x-ray emitter 101 can be moved to at least 10 degrees off the normal incidence angle. In some embodiments, further adjustment of the angle of incidence of the x-ray beam 211 on the object 200 can be achieved by coordinating the motion of the x-ray source 100 using the source mount 106 with the motion of the object 200 using the object mount 250. This coordination can be done manually or using the computer system 700. In some embodiments, the shielding 998 will be designed to enclose the x-ray source 100 and the source mount 106. In other embodiments, the shielding 998 can be designed to only enclose the x-ray source, with the mount 106 designed to move the shielding 998 as it moves the x-ray source 100. In some embodiments of the invention, multiple x-ray sources may be used to produce images with different angles of incidence. The x-ray sources may be fixed in space or moveable, and may be operated sequentially or simultaneously. They can be operated manually or controlled by one or more computer systems 700. Beam Path Element Variations. Some embodiments of the invention may comprise additional variations of the elements in the x-ray beam path. X-rays 111 produced by the emitter 101 propagate in all directions from the source spot. In some embodiments, free space propagation and a suitable choice of distance to the object 200 and scintillator assembly 300 can provide collimated or near-collimated x-rays incident on the object 200. In some embodiments, such as that shown in FIG. 10, a plate 140 with an aperture 142 can be placed between the emitter 101 and the object 200 to select a portion of the x-ray beam 211 to continue propagation to the object 200. The plate 140 can be fabricated from any number of materials that absorb x-rays. The most commonly used material is lead (Pb). Other radiation blocking materials such as steel or lead-doped glass or lead-doped polymers may be used in some embodiments. Other radiation shielding materials will be known to those skilled in the art. In some embodiments, the plate 140 may be attached to, or otherwise connected with the shielding 998 around the x-ray source 100 or the additional shielding 999 around the beam path. In some embodiments, the aperture 142 can be circular. In some embodiments, the aperture shape can be a square, rectangle, triangle, pentagon, trapezoid, or any one of a number of geometric shapes. The aperture 142 can have a shape and size selected to be either the same or similar to the size and shape of the scintillator 310 or the object 200. The aperture 142 can be fabricated by simply punching a hole perpendicularly through the plate 140, or by the creation of more detailed geometric shape, having, for example, edges beveled with a particular angle. Various dimensions for the thickness of the plate 140 can be used, with the thickness being specified by a desired absorption for the x-rays. In some embodiments, beam adjusters such as an x-ray filter may also be attached to the plate 140. In some embodiments, the shutter may also comprise a plate with aperture that allow transmission of an x-ray beam with particular shape and properties. In some embodiments, the shutter may also comprise both a filter and a plate with aperture that allow transmission of an x-ray beam with particular energy spectrum as well as a particular shape and properties. Mounting System Variations. Some embodiments of the invention may comprise additional variations of the elements of the mount 250. In some embodiments, the object 200 to be examined may be held by a mount 250 that secures the object from the sides. In some embodiments, mount 250 may comprise a clamp or a vise. In some embodiments, the object 200 to be examined may be held by a mount 250 that secures the object from the sides and/or the edges. In some embodiments, the object 200 to be examined may be held by a mount 250 that comprises an aperture so that the face of the object 200 facing the scintillator 310 is exposed. These various embodiments can allow the portion of the object 200 facing the scintillator 310 to have nothing intervening in the space between the object 200 and the scintillator assembly 300. In these various embodiments, the mount 250 securing the object 200 may be moved to place the object 200 in very close proximity or in direct contact with the scintillator assembly 300. Referring now to FIG. 11 through FIG. 14, in some embodiments, such as that illustrated in FIG. 11, the mount is a structure 250-M that comprises a membrane 254 that supports the object (now shown as an interposer 200-I) to be examined. The membrane 254 with object 200-I can be moved to be in close proximity or in actual contact with the scintillator assembly 300. In some embodiments, the membrane 254 may be opaque, to prevent light from the scintillator 310 from reflecting off the object 200-I and scattering back into the optical system 400. In some embodiments, the membrane 254 may be manufactured from a radiation resistant material such as Kapton®, a radiation resistant polyimide film with mechanical and thermal stability having high transparency for x-rays and insensitivity to radiation damage. Kapton® is a commonly used material for windows of all kinds in x-ray sources (synchrotron beam-lines and x-ray tubes) and x-ray detectors. A typical commercial Kapton® film is 25 microns thick, with thin Teflon® coatings 2.5 microns thick on each side. Kapton® is manufactured by the E.I. du Pont de Nemours and Company of Wilmington, Del. In some embodiments, the membrane 254 may be comprise a carbon fiber film, such as Scotchprint® Wrap Film Series 1080™ vinyl film manufactured by the 3M™ Corporation of St. Paul, Minn. In some embodiments, the membrane 254 may comprise beryllium, a rigid metal with high transparency for x-rays as well as a high thermal conductivity and a low coefficient of thermal expansion. In some embodiments, the membrane 254 may comprise glass or fused silica. In some embodiments, the membrane 254 may comprise a crystal such as quartz, LuAG, or other crystals listed above that are used for scintillators but without the doping that causes scintillation to occur. In some embodiments, the membrane 254 may additionally comprise an aperture, such that the membrane 254 supports the object 200, but the aperture allows the face of the object 200 being examined to be directly exposed to the scintillator 310. The mount 250-M comprising membrane 254 may also comprise support structures for the membrane 254. In some embodiments, as illustrated in FIG. 12A and FIG. 12B, these support structures 251 comprise a ring-shaped object with an aperture in the middle, allowing the membrane 254 to support the object 200 in the aperture. In some embodiments, as illustrated in FIG. 13A and FIG. 13B, these support structures 252 comprise a pair of finger-like supports for the membrane 254. Other designs and shapes for the support structures will be known to those skilled in the art. In some embodiments, as illustrated in FIG. 14A and FIG. 14B, the object 200 to be examined will have an additional overcoat 256 to secure it in place. This overcoat 256 may also be a film made of Kapton®, carbon fiber, or other x-ray transparent materials known to those skilled in the art. In some embodiments, motion of the mount 250-M with support structures 252, including translations in the x-y plane to change the position of the object 200, as well as vertical translations along the z-axis to move the object closer to (or even in contact with) the scintillator assembly 300, or further away from the scintillator assembly 300 (for example, for loading or unloading the object 200), is also possible. In some embodiments, rotation of the mount 250-M around various axes is achieved. In some embodiments, these various motions can be controlled by the same controller 259 as discussed above. In other embodiments, motion may be controlled by independent controllers. Referring again to the embodiment of FIG. 11, the object 200-I moves but the scintillator 310 does not; instead, the mount 250-M with membrane 254 moves the object 200-I to allow images to be collected for different locations of the object. Another motion, perpendicular to the plane of the object 200-I, may also be actuated to cause the object 200-I and/or membrane 254 to be brought into proximity or contact with the scintillator 310, or conversely moved away from the scintillator 310 for changing objects or replacement of the membrane 254. In some embodiments, the object is attached to, or sits on, a membrane that separates the object from the scintillator. In this embodiment, the membrane may be opaque, to prevent light from the scintillator from reflecting from the object into the optical system. The membrane may be made from radiation-resistant materials, such as Kapton® or carbon fiber films. The membrane may serve as a stage to move the object over the scintillator, to permit viewing of different parts of the object. In some embodiments of the invention, such as when the entire object 200 to be examined is relatively small and can be imaged completely on the image detector 500, the mount 250 can be a static mount that does not move relative to the scintillator assembly 300 and the optical system 400. In some embodiments, the mount 250 can be clamped or otherwise attached to the scintillator assembly 300 to prevent relative motion or vibration. In some embodiments, the mount 250 can comprise an air bearing to support the object 200 under examination, which can provide both close proximity for the object and the scintillator as well as uniform separation. In some embodiments of the invention, such as when the object 200 to be examined is large, and cannot be completely imaged entirely with the image detector 500 in one exposure, the mount 250 for the object 200 can comprise a motion control stage, which moves the object 200 in the x-y plane. In some such embodiments, an image can be collected for one portion of the object 200 while it is static in one position, and then the object can be moved and stopped at a second position, and a second image can be collected for a second portion of the object. This process can proceed until images from multiple areas or even the entire object 200 have been collected. In other embodiments, mount 250 can be designed so that the object 200 to be examined moves continuously as x-ray exposures are made, and the motion only stops after images have been collected for a designated portion or the entirety of the object 200. In such an embodiment, the x-ray source 100 may be operated in a pulsed mode to reduce the effect motion will have on the blur in the resulting images. The pulsing can be achieved either by varying a voltage in the power supply 119 for the x-ray source 100, or by using the shutter 130 in the x-ray beam 211 to control the exposure time. In some embodiments of the invention, the motion of the object 200 and the pulsing of the x-ray beam 211 can be synchronized, so that a stroboscopic effect is achieved. This can be especially useful if the object contains periodic arrays, and the object moves by one period (or multiple thereof) between each pulsed exposure. The strobe effect may be used to limit blurring of an image without stopping the stage completely at each location an image is desired. In some embodiments, the mount 250 comprises a wafer stage to support an entire silicon wafer. Typical silicon wafers used in manufacturing have diameters of 6 inches, 8 inches (200 mm), 12 inches (300 mm), and 450 mm. Silicon wafer thicknesses typically vary with wafer diameter, with 200 mm wafers having a thickness of 725 microns, 300 mm wafers having a thickness of 775 microns, and 450 mm wafers having a proposed standard thickness of 925 microns. The electronic devices are typically manufactured on one side of the wafer, so for examination in the x-ray system, if the object 200 to be examined is a silicon wafer, the wafer will be inverted to allow the side with the electronic devices to be facing the scintillator 310. In some embodiments, the wafer stage will comprise a mounting system that holds the wafer by its edges, so that the x-rays are not attenuated by the wafer mount as they enter the rear of the wafer. One example of a wafer stage is the Razor™ Atmospheric Transfer Robot from Brooks Automation of Chelmsford, Mass. In some embodiments of the invention, as was illustrated in FIG. 9, the angle of incidence of the x-rays on the object will be variable, and the system can be adjusted to take multiple images of the object to be examined at multiple angles. When a set of images at pre-determined angles are collected, the set of images can be used by a computer program to synthesize a 3D representation of the layers of the object. In some embodiments, this 3D synthesis can be achieved using the algorithms of computed laminography (CL). These algorithms can be operated on the one of more computer systems 700 controlling the system, or the images can be exported through a network to a different computer for further analysis. Referring now to FIG. 15, the angle of incidence for the x-ray beam 211 on the object 200-I can also be adjusted by tilting or rotating the object 200-I and mount 250-M. Referring now to FIG. 16, in other embodiments the invention, the object 200-I to be examined is placed in contact with the scintillator assembly 300. In this embodiment, the entire scintillator assembly 300 is also supported by a scintillator mount 350-M, which can also comprises a membrane 354 and finger-like support structures 352 analogous to the mount 250-M with membrane 254 and support structure 252 shown in FIGS. 11, 13 and 14. In some embodiments, the scintillator mount may also be constructed to comprise a support such as a thick substrate in place of a membrane 354 to support the object being examined. In some embodiments, the membrane 354 may be selected to have properties similar to that of the earlier described support 350, such as stiffness, rigidity, and opacity to x-rays. For the embodiment shown in FIG. 16, the membrane 354 is now between the scintillator 310 and the optical system. In this case, the optical system must be designed to anticipate this additional optical element affecting light from the scintillator, and will therefore be a modified optical system 400-M and may not be identical to the earlier described optical system 400. Additional embodiments of the invention may comprise a membrane 354 to support the scintillator assembly as previously described for the mount 250 for the object 200. In some embodiments, the membrane 354 may comprise Kapton®, glass or fused silica, a crystal such as quartz, LuAG, or other crystals listed above that are used for scintillators but without the doping that causes scintillation to occur. Other materials that are transparent to visible photons that can be used for membranes may be known to those skilled in the art. In some embodiments, the mount 350 may secure the scintillator assembly 300 from the sides. In some embodiments, mount 350 may comprise a clamp or a vise. In some embodiments, the mount 350 may secure the scintillator assembly 300 from the sides and/or the edges. In some embodiments, the mount 350 that secures the scintillator assembly 300 may comprise an aperture so that the face of the scintillator assembly 300 facing the optical system 400 is exposed. These various embodiments can allow the portion of the scintillator assembly 300 facing the optical system 400 to have nothing intervening in the space between the scintillator assembly 300 and the optical system 400. In these various embodiments, the mount 350 securing the scintillator assembly 300 may be moved to place the scintillator assembly 300 in very close proximity or in direct contact with the optical system 400. Referring now to FIG. 17, in this embodiment, the combination of object 200-I and scintillator assembly 300 can be translated, rotated or tilted, as shown in the illustration. The object 200-I in this tilted configuration can then be rotated about the z-axis to allow images at multiple angles to be collected. In a configuration such as that shown in FIG. 17, the optical system 400-MT may be further modified from the optical system 400 used for imaging where the scintillator is perpendicular to the optical axis of the optical system 400 (normal incidence imaging), as was shown in FIGS. 6, 11 and 16. The optical system 400-MT may also be further modified from the optical system 400-M that was used for imaging an object where the scintillator is supported by a membrane 354, as was shown in FIG. 17. The optical system 400-MT will need to collect an image of the scintillator 310 while it is supported by a membrane 354 and is tilted off axis. In some embodiments, as will be known to those skilled in the art, this can be achieved by using a design for the optical system 400-MT that produces an image with enhanced depth of focus. Referring to FIG. 18, in some embodiments, this can be achieved by using a design for the optical system 400-MT in which the image plane of the optical system 400-MT may be tilted at an angle to the x-y plane. In some embodiments, the image sensor 510 can be placed at this tilted image plane. As was the case for moving the mount 250-M, connector 358 and controller 359, analogous to connector 258 and controller 259, can be used to move the object 200-I and scintilaltor assembly 300 combination. The motions may be the analogous translations, rotations, and tilts as discussed above. If the mount 350-M is rotated to collect images at different angles of incidence, the image sensor 510 will need to be correspondingly rotated to ensure the image remains in focus. Referring now to FIG. 19, in other embodiments, one set of ends for a fiber optic bundle 505 can be placed at the image plane 501 of the optical system 400-MT, and the other ends of the fiber bundle 505 placed in close proximity to the modified image sensor 510-F (which may be modified from the image detector 500 of other embodiments to accommodate coupling to the fiber bundle) of the detector 500-F. In this embodiment, if the mount 350-M is rotated to collect images at different angles of incidence, the ends of the fiber optic bundle 505 may need to be correspondingly rotated to ensure the image remains in focus. Referring now to FIG. 20, the emission of photons by the scintillator 310 is typically uniform in all directions. However, if the scintillator 310 and support 350 are tilted, because the angles encountered by the emitted photons at the face of the tilted support 350 are not uniform, the light emerging from the support 350 may be attenuated more for some angles than others, distorting the image. For some tilt angles, light emitted along the optic axis of the objective lens may be internally reflected. In FIG. 20, scintillator emission that exits the support 350 in directions near normal incidence 411-A to the plane of the support 350 will be mostly transmitted through the support-air interface. However, scintillator emission that exits the support 350 in directions far from normal incidence 411-B to the plane of the support 350 will be much weaker, and have much more of the light reflected back into the support 350 at the support-air interface. The reflection and transmission coefficients can be calculated from the relative indices of refraction for these materials, as will be known to those skilled in the art. Referring now to FIG. 21, in some embodiments the non-uniformity of the light transmitted through the support-air interface can be addressed by a replacing the support 350 with a prism 405. In some embodiments, this prism fills the optical path between the scintillator 310 and the optical system 400-P (designed to anticipate the prism 405 in the optical path). Because the bottom of the prism is perpendicular to the optical axis of the system 400-P, these rays are no longer internally reflected and are therefore transmitted into the optical system 400-P. In some embodiments, the modifications in design for the optical system 400-P may include design for a larger working distance, to accommodate the prism in the optical path. In some embodiments, the scintillator will be attached to the prism 405 using a index-matching optical quality adhesive. In some embodiments, the prism 405 may be used in conjunction with a support 350 for the scintillator 310 as well. In this case, the prism 405 can be index-matched to the support 350 to reduce reflections from the support-prism interface. In some embodiments, the support 350 will be attached to the prism 405 using an index-matching optical quality adhesive. In some embodiments, the optical properties of the prism 405 will be index-matched to the scintillator, so that no additional reflections occur at the scintillator—prism interface. With a suitable design of the prism comprising one face towards the optical system 400-P, a clear view of the emitted light is provided, and the image is formed with less distortion. In some embodiments, the prism 405 will be index matched to the elements in the optical system 400-P to act as a solid immersion lens. In some embodiments, the prism may comprise LuAG. LuAG has a refractive index n=1.84 at a wavelength of 535 nm, allowing the optical system 400 to be designed with LuAG elements to have an effective NA≈1.75. This can have the effect of improving the achievable resolution of the optical system 400-P. Scintillator Variations. Some embodiments of the invention may comprise additional variations of the elements of the scintillator assembly 300. In some embodiments, providing a coating 320 on the scintillator 310 can also reduce the impact of dust on the image. As illustrated in FIG. 22A, unless operated in an ultra-clean environment, one or more dust particles 333 can settle on the surface of the scintillator assembly 300. Light emitted by the scintillator 310 in response to the absorption of x-rays is emitted in all directions, and some emission 411-R propagates back towards the object 200. If there is no coating on the scintillator-air interface, the dust can settle directly onto the scintillator 310 itself. The emitted light 411-R can then scatter off the dust particle 333 back towards the optical system 400 as scattered light 411-S. The dust particle 333 can then appear as a bright, often out-of-focus spot in the image that has nothing to do with the object 200 being examined. If, however, as shown in FIG. 22B, the scintillator assembly 300 has a coating 320 made from a material such as carbon black as manufactured by the Cabot Corporation of Boston, Mass., light 411-R emitted towards the dust particle 333 is absorbed as it passes through the coating 320, and the attenuated light that scatters off the dust particle 333 is in turn further attenuated as it again passes through the coating 320 and becomes light 411-SA propagating towards the optical system 400. The “noise” in the image from unwanted particles can therefore be significantly reduced. As shown in FIG. 22C, in some embodiments, the coating 320-R may comprise a uniform layer of a reflective metal such as silver (Ag) or aluminum (Al) that can more uniformly reflect all light from the scintillator-coating interface back into the scintillator 310 and on to the optical system 400. This can have the dual advantage of reducing the susceptibility to scattering from dust particles 333 which may settle on the coating, while adding additional light to the image. Scintillator light 411-O emitted towards the object 200 will reflect off the coating 320-R and propagate back towards the optical system as reflected emitted light 411-O1. As long as the scintillator 310 is thin enough, the reflected emission 411-O1 will be collected by the optical system and be imaged close to the directly emitted visible photons 411 from the scintillator. Likewise, the emission at greater angles 411-R will reflect away from the optical system 400 as light 411-R1, and dust particles 333 will have little effect on the image. In some embodiments, the scintillator coating 320 is fabricated from carbon as a coating of diamond or a diamond-like carbon (DLC) fabricated by chemical vapor deposition (CVD). In some embodiments, a coating of sapphire (Al2O3) may also be used. In a similar manner to the way the coating 320 prevents dust from adding unwanted light into the image, the coating 320 may also prevent light from the scintillator from scattering back from the object 200 being examined as well. The coating 320 may comprise layer of silver, aluminum or carbon deposited by evaporation or sputtering. The coating 320 may also comprise a layer of nano-particles. As discussed above, the scintillator assembly 300 may also comprise a support 350. In some embodiments the support may be fabricated from a simple microscope slide. In other embodiments, the support 350 may be fabricated using an optical flat. In some embodiments, the support 350 may also be fabricated from a material designed to transmit UV light, such as fused silica or quartz. Optical System Variations. Some embodiments of the invention may comprise additional variations of the elements of the optical system 400. In some embodiments, the lenses of the optical system 400 may comprise UV compatible optical materials, such as fused silica or quartz. In some embodiments, the lenses of the optical system 400 may comprise radiation resistant optical materials, for example glasses doped with Cerium Oxide (CeO2) such as SCHOTT BK7G18, manufactured by SCHOTT Glasswerke of Mainz, Germany. In some embodiments, the optical system 400 is secured or fastened to the scintillator assembly 300 to prevent relative motion. In some embodiments, as illustrated in FIG. 23, the optical system 400-S can be designed so that the scintillator 310 can be mounted onto the face of objective lens 410. In such an embodiment, the lens material and the material used for the scintillator 310 may be selected to have matched refractive indices and/or matched dispersion properties. The scintillator 310 may be attached to the objective lens 410 using an index-matching adhesive. In some embodiments, the scintillator 310 as attached to the housing of the objective lens 410. In some embodiments, the scintillator 310 attached to the objective lens 410 or its housing may have a coating 320, as described above. In some embodiments, the scintillator 310 attached to the objective lens 410 or its housing may have an additional support 350, as described above, which is also attached to the objective lens 410 or its housing. In some embodiments, such as the embodiment described above in which the space between the scintillator 310 and the optical system 400-P comprises a prism 405, or in other designs for the optical system 400 in which a “solid immersion lens” is used, the materials for the scintillator, prism or solid immersion lens, as well as the lenses of the optical system 400, may be selected to have matched refractive indices. In some embodiments, the materials for the scintillator, prism or solid immersion lens, as well as the lenses of the optical system 400, may be selected to have matched dispersion characteristics over a defined wavelength range. The optical system may be designed to bend the optical path, so that the image is not formed along the same axis as the direction in which the x-rays are propagating. This can further isolate the image detector 500 from potential harmful exposure to x-rays. Referring again to FIG. 19, one approach to remove the image detector from the x-ray beam path is the use of a fiber optic bundle 505 or array to collect the image formed by the optical system 400 and convey it to the modified image detector 500-F. In another embodiment, an optical path comprising a beamsplitter or mirror can be used to reflect the optical portions of the image onto an image detector 500 while transmitting the x-rays. Referring now to FIG. 24, additional, elements 425 in the optical system may also be used to introduce additional properties to the image. In some embodiments, additional elements 425 may comprise a color filter, to select specific wavelengths emitted by the scintillator 310. This filter may, for example, select visible scintillator emission for imaging while blocking or absorbing UV emission. This filter may, for example, select UV scintillator emission for imaging while blocking or absorbing visible emission. In some embodiments, as illustrated in FIG. 24, the optical system 400 can be governed by a controller 459 through a connector 458. The optical system controller 459 may manipulate mechanical elements of the optical system 400 to change the focus, the tilt of the image plane, to coordinate lens rotation with the rotation of the object 200, to adjust internal apertures to change the image intensity, to adjust filters or other additional elements 425, and other lens adjustments that will be known to those skilled in the art. In some embodiments, the signals from the optical system controller 459 will be coordinated with signals to the mount 250 provided by the mount controller 259. In some embodiments, the signals from the optical system controller 459 will be coordinated with signals to the shutter 130 provided by the shutter controller 139. In some embodiments, the signals from the optical system controller 459 will be coordinated with signals to the x-ray source 100 provided by the source power supply 119. In some embodiments, some or all of the coordination of the various controllers will be directed by programs run using the one or more computer systems 700. In some embodiments, the control programs for the optical system 400 executed using the one or more computer systems 700 will have auto-focus algorithms that adjust the image for best contrast and definition. In some embodiments, the control programs for the optical system 400 executed using the computer system 700 will have look-up tables for a pre-focus map. In some embodiments, the control programs for the optical system 400 executed using the computer system 700 will have coordinated motions for both the object 200 and mount 250 and the optical system 400. In some embodiments, the control programs for the optical system 400 executed using the computer system 700 will have alignment algorithms to allow a specific region of an object 200 to be recognized and examined. Detector Variations. Some embodiments of the invention may comprise additional variations of the elements of the image detector 500. In some embodiments of the invention, images are obtained continuously while the object 200 is moving using a time-delay and integration (TDI) device or method. The TDI detector may be based on a CCD camera that is synchronized with the motion of the mount 250 or stage. In some embodiments, the image detector 500 will produce a signal with components corresponding to a pixel number and a corresponding intensity. In some embodiments, the image detector 500 will produce a signal with components corresponding to coordinate locations and a corresponding intensity. In some embodiments, this signal is transmitted over a connector 558 to a system of electronics 600 for additional signal processing and possible display. In some embodiments of the invention, the system of electronics 600 associated with the detector will analyze the image signal and perform adjustments such as image alignment, brightness adjustment, contrast enhancement, digital filtering, or fast Fourier transforms (FFTs). In some embodiments, single images or multiple images will be analyzed to allow 3D reconstructions of the structures in the object, including algebraic reconstructions, backpropagation algorithms, adaptive kernel filtering, computed laminography (CL), as well as other reconstruction techniques that are based on some degree of pre-knowledge of the intended layout. Such pre-knowledge may comprise design databases such as GDS-II or OASIS data, specifications for planarity of layers, material properties, and the anticipated geometry and number of layers. In some embodiments, the system of electronics 600 will additionally comprise a display that allows an operator to view the image in real time. In some embodiments, the system of electronics will comprise control programs that communicate operator input or automatically generated input to the various power supplies and controllers 119, 139, 259, and 459 for the x-ray source 100, the shutter 130, the mount 250 holding the object 200, and the optical system 400. In some embodiments, the network that interfaces the computer system 700 with the various controllers 119, 139, 259, 459, and 600 may be an Ethernet network. In some embodiments, the images may be accessed by the computer system 700 using an internet connection (via packets) or a serial bus (e.g. USB 2.0). Control programs and image analysis procedures may comprise the operation of software programs for equipment control and image analysis such as those written in LabVIEW® by National Instruments Corp. of Austin, Tex., MatLab® by MathWorks, Inc. of Natick, Mass., or public domain image processing programs such as ImageJ, developed by the National Institutes of Health in Bethesda, Md. Computer System Detail and Variations. Some embodiments of the invention may comprise additional variations of the elements of the one or more computer systems 700. In some embodiments, a computer system 700 will comprise stored control programs that communicate instructions to the various power supplies and controllers 119, 139, 259, 459 for the x-ray source 100, the shutter 130, the mount 250 holding the object 200, and the optical system 400, as well as for collecting corresponding images from the system of electronics 600 gathering image signals from the image detector 500. These instructions can be pre-programmed recipes for specific measurement sites, or a general program for inspection of an entire object 200 or portion thereof. In some embodiments, the computer system 700 may also be used for processing images. This may include image alignment, sub-pixel interpolation, or modification of the image histogram such as brightness or contrast adjustments. In some embodiments, the computer system 700 may also be used for three-dimensional image reconstruction. In this case, two or more images are used to generate a three-dimensional representation or model of the object being examined. The images may be obtained by changing the object orientation with respect to the x-ray beam (or vice versa), for example. These reconstructions may comprise any one of, or a combination of, algebraic reconstructions, backpropagation methods, or Fourier transform methods. Furthermore, these reconstruction methods may incorporate knowledge of the object structure or materials to aid in the reconstruction. For example, the knowledge of the number of interconnect layers may be used to improve the reconstruction. FIG. 25 illustrates a block diagram of an exemplary computer system that can serve as a platform for portions of embodiments of the present invention. Computer system 700, as described above, can comprise a bus 7007 which interconnects major subsystems of computer system 700, such as a central processing unit (CPU) 7000, a system memory 7010 (typically random-access memory (RAM), but which may also include read-only memory (ROM), flash RAM, or the like), an input/output (I/O) controller 7020, one or more data storage systems 7030, 7031 such as an internal hard disk drive or an internal flash drive or the like, a network interface 7700 to an external network 7777, such as the Internet, a fiber channel network, or the like, an equipment interface 7600 to connect the computer system 700 to a network 607 of other electronic equipment components, and one or more drives 7060, 7061 operative to receive computer-readable media (CRM) such as an optical disk 7062, compact disc read-only memory (CD-ROM), compact discs, floppy disks, universal serial bus (USB) thumbdrives 7063, magnetic tapes and the like. The computer system 700 may also comprise a keyboard 7090, a mouse 7092, and one or more various other I/O devices such as a trackball, an input tablet, a touchscreen device, an audio microphone and the like. The computer system 700 may also comprise a display device 7080, such as a cathode-ray tube (CRT) screen, a flat panel display or other display device; and an audio output device 7082, such as a speaker system. The computer system 700 may also comprise an interface 7088 to an external display 7780, which may have additional means for audio, video, or other graphical display capabilities for remote viewing or analysis of results at an additional location. Bus 7007 allows data communication between central processor 7000 and system memory 7010, which may comprise read-only memory (ROM) or flash memory, as well as random-access memory (RAM), as previously noted. The RAM is generally the main memory into which the operating system and application programs are loaded. The ROM or flash memory can contain, among other code, the basic input/output system (BIOS) that controls basic hardware operation such as the interaction with peripheral components. Applications resident with computer system 700 are generally stored on storage units 7030, 7031 comprising computer readable media (CRM) such as a hard disk drive (e.g., fixed disk) or flash drives. Data can be imported into the computer system 700 or exported from the computer system 700 via drives that accommodate the insertion of portable CRM drives, such as an optical disk 7062, a USB thumbdrive 7063, and the like. Additionally, applications and data can be in the form of electronic signals modulated in accordance with the application and data communication technology when accessed from a network 7777 via network interface 7700. The network interface 7700 may provide a direct connection to a remote server via a direct network link to the Internet via an Internet PoP (Point of Presence). The network 7700 may also provide such a connection using wireless techniques, including a digital cellular telephone connection, a Cellular Digital Packet Data (CDPD) connection, a digital satellite data connection or the like. Many other devices or subsystems (not shown) may be connected in a similar manner (e.g., document scanners, digital cameras and so on). Conversely, all of the devices shown in FIG. 25 need not be present to practice the present disclosure. In some embodiments, the devices and subsystems can be interconnected in different ways from that illustrated in FIG. 25. The operation of a computer system 700 such as that shown in FIG. 25 is readily known in the art and is not discussed in further detail in this application. Code to implement the present disclosure can be stored on computer-readable storage media such as one or more of: the system memory 7010, internal storage units 7030 and 7031, an optical disk 7062, a USB thumbdrive 7063, one or more floppy disks, or on other storage media. The operating system provided for computer system 700 may be any one of a number of operating systems, such as MS-DOS®, MS-WINDOWS®, UNIX®, Linux®, OS-X® or another known operating system. Moreover, regarding the signals described herein, those skilled in the art will recognize that a signal can be directly transmitted from one block to another, between single blocks or multiple blocks, or can be modified (e.g., amplified, attenuated, delayed, latched, buffered, inverted, filtered, or otherwise modified) by one or more of the blocks. Further Embodiments Using the X-Ray Imaging System. So far, this disclosure has described embodiments of an x-ray system that can rapidly collect images of high quality at high resolution with a large field of view. The images so generated can reveal information about the internal structures of an IC, a chip package or assembly without damaging the object itself. As a result, these electronic images can be used for various metrology systems and inspection systems. These metrology and inspection results can in turn be used as a part of manufacturing process control systems using statistical process control (SPC), or for process yield management and improvement systems that enable manufacturing products with higher yield. Metrology. The images from the disclosed x-ray system may be used for metrology. This may include measuring the sizes and/or shapes of features in the object being examined, such as the diameter or side-wall angle of TSVs. The metrology may also include measuring features at different places on the object and comparing them to each other, or comparing them to a standard, as well as reporting the measurements. The images may also be used to reverse-engineer the internal structure of the object being examined. For example, the images or three-dimensional reconstruction may be used to generate a list of electrical connections or a file to be used for creating a reticle for printing the circuits. The images may be used to detect changes in the object structure from nominal. These images may also be used to identify certain features in the object that may be of interest. They may be used to reverse engineer a product, determining the materials and internal structures of an object non-destructively. FIG. 26 illustrates one embodiment of a method for conducting metrology using an x-ray system as disclosed in this application. This method for metrology 2000 has, as its first step 2001, the selection of an object to be examined. This object may be blank incoming material before processing begins, or a partly or fully manufactured integrated circuit or portion thereof, a 2.5D IC or 3D IC package, a silicon interposer with TSVs, a C4 flip chip interconnect package, a multi-chip module (MCM), or any one of a number of the objects, devices and structures disclosed in this application, as well as others that will be known to those skilled in the art. In the next step 2020, a particular position on the object is selected for measurement. This may be selected manually, or it may be selected by reference to a stored program or recipe stored in a Measurement Recipe Database 2300. In the next step 2221, the object is mounted in the x-ray system according to the disclosed invention, and one or more x-ray images are collected. The x-ray system will typically comprise a source, preferably with high x-ray flux, a mount to position the object, a scintillator, an optical system, and an optical image detector, as has already been disclosed in detail in this application. In typical embodiments according to the invention, the ratio of the source spot size to the resolution of the optical imaging system and detector combination will be greater than 1, while the resolution will be less than 10 microns. In the next step 2231, the one or more x-ray images are gathered and the image data analyzed for certain features, such as CD measurements. Feature linewidths, diameters, shapes, thicknesses, depths, spaces between objects, line edge roughness, etc. may be calculated based on the x-ray image data collected. Some of these calculations may be done manually, but more commonly, a stored computer program comprising image analysis algorithms and image comparison procedures, as well as stored files of reference images and design databases, may be provided in a Measurement Algorithm Database 2320. In some embodiments, these algorithms may include image processing algorithms, such as Fourier Transforms, contrast enhancement, shape or pattern recognition, etc. In some embodiments, these algorithms may be called automatically as part of the recipe for measurement stored in the Measurement Recipe Database 2300. In some embodiments, these algorithms may combine data from two or more images to compute 3D depth information. Other metrology protocols will be known to those skilled in the art. In the next step 2250, the results of the analysis of the image are stored as metrology results in a Results Database 2360. These results may be indexed or stored with reference to the Measurement Recipe Database 2300 or the Measurement Algorithm Database 2320. Once the images and measurements have been collected for one position on the object, the next step 2255 comprises a determination of whether all positions required by the recipe for object being examined have been measured, or if the recipe requires additional measurements. If the determination is YES, a new position is determined and then selected on the object according to the earlier mentioned step 2020, x-ray measurements generated 2221, and the measurement results generated 2231 and stored 2250, and the determination 2255 is again made. If the determination is NO, i.e. that all required measurement data for the object has been gathered, the last step 2260 outputs the measurement results, in some embodiments calling them from the Results Database 2360. The output results can be in the form of a collection of data, or further prepared as a formatted report to be printed, filed and possibly archived and reviewed at a later time. Although this is one embodiment of a metrology process using the x-ray system according to the invention, it will be recognized that variations on this process will be known to those skilled in the art of metrology. In some embodiments, all x-ray images may be gathered before any analysis is carried out. In some embodiments, the process may be entirely automatic, governed by one or more computers. In some embodiments, the one or more computers controlling the metrology process may also be one or more computers controlling the x-ray system itself. This metrology process 2000 or similar variations may be used to examine individual objects to determine their structure and properties. However, this metrology process 2000 or similar variations can be inserted into a manufacturing process as a form of process control. Such process control techniques, such as statistical process control (SPC) or others that will be known to those skilled in the art, measure predefined structures on an ongoing basis and alert the operator when a process variable is either out of specification, or is drifting out of specification. Often, a body of knowledge about the process will have been built up over the history of the manufacturing line, and the process control alerts can be a signal that triggers some predefined process improvement. For example, an alert that wafers or chips processed by a particular tool now have linewidth variation that is increasing relative to its previous performance may trigger preventive maintenance for that particular tool. FIG. 27 illustrates one embodiment of a method for conducting process control 2500 for a manufacturing process using the metrology process 2000 comprising measurement using an x-ray system as disclosed in this application. In the initial step 2501, the manufacturing process is defined and identified. This may be a small single unit process, such as a wafer lithography stepper and track combination, or an entire multi-step process for fabricating an entire integrated circuit, or a packaging process for assembling multiple integrated devices into one package. The manufacturing recipe or recipes and the associated specification values and control limits may be stored in one or more Specification Databases 2600. Once the manufacturing line is operational, in the next step 2505, one or more objects are fabricated according to the predefined process, calling on the Specification Database 2600 for recipes as needed. The object may be incoming material to the manufacturing process, a partially manufactured product, a test or pilot wafer, a fully manufactured IC, a wafer prior to dicing, chips from a wafer after dicing, chips partially or fully assembled in a 3D IC package, an interposer, incoming packaging boards or material, partially mounted ICs in packages, a fully assembled IC package, or any one of a number of products and by-products associated with a manufacturing process. In the next step 2000, the metrology process disclosed above is executed to generate measurement results for the fabricated object using the x-ray system as disclosed. The Specification Database 2600 may provide some or all of the data required by the Measurement Recipe Database 2300 to direct the metrology process. The next step is the output step of metrology process 2000, which was the step of outputting measurement results 2260. In the next step 2530, the measurement results are evaluated according to information provided by the specification database 2600, and the particular process variables and parameters are determined and compared to their historical values. If this step 2530 determines that all processes are functioning within the predetermined parameters as defined in the Specification Database 2600, then the determination is YES, and the product manufacturing 2599 proceeds. The products, such as integrated circuits, IC packages, etc., are within the predefined specification, and the execution of the method for conducting process control 2500 helps to identify problems before significant amounts of material are manufactured incorrectly, and have to be scrapped. The cost per working unit of the product is therefore reduced. However, if this step 2530 determines that a measurement is currently out of specification, or that certain derived parameters, such as the standard deviation of a process variable, are out of specification, or any one of a number of predefined conditions are met, then the determination is NO. In this case, the next step 2540 is an evaluation of the deviant conditions, either manually or by automated means using one or more computers, and a determination of what might be changed to fix it. In some embodiments, there may be two major classifications of problems: those arising from product DESIGN, and those arising from a manufacturing PROCESS. DESIGN problems will typically appear repeatedly as systematic failures for parts when the design has the same configuration. One example of a design problem is the bridging of interconnect lines that have been fabricated too close together, i.e. when the space between the lines cannot be resolved by the lithography stepper printing the lines. Another example of a design problem is when TSVs in an interposer have simply been designed to be smaller than the manufacturing process can reliably support. If the result of this step 2540 is a determination that there is a DESIGN problem, the next step 2560 is to redesign the object. For the examples given above, the if two nearby lines are bridging, a new design which separates these lines will be created; likewise, if TSVs are too small, or have an un-manufacturable aspect ratio, a new design with larger TSVs, or with multiple (i.e. redundant) vias for critical connections may be created. Once the new design has been created, the next step 2595 is to fabricate the re-designed object, and then proceed to again make measurements 2000 with the x-ray system, output the measurement results 2260, and evaluate the measurement results 2530 as before. In some cases, a redesign may also require a step 2591 in which a revision to the manufacturing process is also made. This revision may in turn require a change to the data in the Specification Database 2600, which will now comprise recipes and specifications that correspond to the re-designed product. If the result of this step 2540 is a determination that there is a PROCESS problem, the next step 2550 is to determine any changes in the manufacturing process. For the examples given above, comparable process problems can also occur. Two lines that are fabricated close to each other may normally be printable, but a process may no longer be reliably printing them. This may indicate a focus error in one or more of the steppers used to print the IC layer containing the line pairs. Likewise, vias that are normally manufactured reliably may now have internal voids, making electrical contact unreliable. This may require a change in the copper deposition process for the TSVs, or the adaptation of an annealing process that reduces susceptibility to void growth. In the next step 2591, the changes are made to the manufacturing process. Corresponding changes to the data in the Specification Database 2600 may also be made, so that the Database 2600 will now comprise recipes and specifications that correspond to the re-engineered process. Inspection. The images from the disclosed x-ray system may be used for inspection. By comparing these gathered images to those from another nominally identical device, or to an idealized estimate of how the design should appear in the manufactured part, or by comparison with a pre-determined set of design rules, defects can be identified, and the object under investigation set aside for further investigation. The images or a reconstructed model may then be used to perform defect detection on the object being examined. Defects may be classified as critical or non-critical, or sorted by type. The location of defects may be reported, as well a Pareto analysis of defects by size, shape, location or other relevant parameter. These results may be used for statistical process control. FIG. 28 illustrates one embodiment of a method for conducting inspection using an x-ray system as disclosed in this application. This method for inspection 3000 has, as its first step 3001, the selection of an object to be examined. This object may be blank incoming material before processing begins, or a partly or fully manufactured integrated circuit or portion thereof, a 2.5D IC or 3D IC package, a silicon interposer with TSVs, a C4 flip chip interconnect package, a multi-chip module (MCM), or any one of a number of the objects, devices and structures disclosed in this application, as well as others that will be known to those skilled in the art. In the next step 3020, a particular position on the object is selected for measurement. This may be selected manually, or it may be selected by reference to a stored program or recipe stored in an Inspection Recipe Database 3300. In the next step 3221, the object is mounted in the x-ray system according to the disclosed invention, and one or more x-ray images are collected. The x-ray system will typically comprise a source, preferably with high x-ray flux, a mount to position the object, a scintillator, an optical system, and an optical image detector, as has already been disclosed in detail in this application. In typical embodiments according to the invention, the ratio of the source spot size to the resolution of the optical imaging system and detector combination will be greater than 1, while the resolution will be less than 10 microns. In the next step 3231, the one or more x-ray images are gathered and the image data analyzed for indications of defects. The determination of the presence or absence of defects may be carried out by conducting an analysis of the data in the x-ray image alone, evaluating the x-ray image data for the signature of various known defects (such as black dots for voids, anomalous bright spots, etc.) using algorithms that are the same as, or similar to, those used for metrology analysis as described above. The determination of the presence or absence of defects may be carried out by comparing the image data to data previously gathered for a similar section of another object (such as is done in die-to-die inspection), or it may be carried out by comparison to a corresponding portion of a reference database of design data (such as is done in die-to-database inspection). Some of these calculations may be done manually, but more commonly, a stored computer program comprising image analysis algorithms and image comparison procedures, as well as stored files of reference images and design databases, may be provided in a Reference Database 3320. In some embodiments, these algorithms may include image processing algorithms, such as Fourier Transforms, contrast enhancement, shape or pattern recognition, etc. In some embodiments, these algorithms may be called automatically as part of the recipe for measurement stored in the Inspection Recipe Database 3300. In some embodiments, these algorithms may combine data from two or more images to compute 3D depth information. Other inspection protocols will be known to those skilled in the art. In the next step 3231, the data corresponding to the one or more x-ray images of the object will be analyzed for potential defects, using any or all of the techniques mentioned above. If none of the analyses conducted on the one or more x-ray images suggests the presence of a defect in the location of the object corresponding to the x-ray image, the determination for the set of locations is CLEAR. If at least one analysis of the image shows an anomaly, the determination for the set of locations is DEFECT. If the determination for an x-ray image is CLEAR, the next step 3255 comprises a determination of whether all positions required by the recipe for object being examined have been measured, or if the recipe requires additional measurements. If the determination is YES, a new position is determined and then selected on the object according to the earlier mentioned step 3020, x-ray measurements are generated 3221, and the inspection results for the new location evaluated 3231 and the determination 3255 is again made. If the determination is DEFECT, i.e. one of the analysis procedures indicates that the there is a potential defect at the location, further analysis of the image is carried out. In this case, the next step 3431 is the comparison of the signature of the result of the defect analysis with a Defect Database 3340, which, among other things, may comprise known signatures of known types of defects. For example, an irregular, skinny blob detected within a structure corresponding to a TSV may represent a signature of a void within the copper. As another example, the comparison of an image with a corresponding portion of the design database may indicate that a interconnect line should be present when there is no corresponding feature in the x-ray image. If the determination of the comparison step 3431 is that there is NO recognition of a particular defect type, the potential defect at this location is determined to be NEW. The next step 3480 will be will be to catalog the data associated with this anomalous result, and in some embodiments also storing this new data in the Defect Database 3340. After this, the next step 3255 comprises a determination of whether all positions required by the recipe for object being examined have been measured, or if the recipe requires additional measurements. If the determination is YES, a new position is determined and then selected on the object according to the earlier mentioned step 3020, x-ray measurements are generated 3221, and the inspection results for the new location evaluated 3231 and the determination 3255 is again made. If the determination is NO, then the next step 3260 may comprise outputting the final results for the inspection. If the determination of the comparison step 3431 is the recognition of a particular defect type, the location is determined to be KNOWN. The next step 3450 will be the prediction of any failure mode known to occur with this particular defect type. This prediction 3450 may be carried out with reference to a Failure Database 3360, which may comprise information on historical records or theoretical models for the object, process, and product being inspected. Once the failure mode is predicted, steps to prevent future defects and product failures may be made. In the next step 3490, a correction to the process may be recommended, either by an automated computer program based on historical data for the process, or based on an engineering evaluation of the defect type and failure mode. Once the correction is recommended, the next steps are two-fold. On the one hand, one of the next steps 3260 comprises outputting the inspection results, typically along with the recommended changes. On the other hand, one of the next steps 3255 comprises a determination of whether all positions required by the recipe for object being examined have been measured, or if the recipe requires additional measurements. If the determination is YES, a new position is determined and then selected on the object according to the earlier mentioned step 3020, x-ray measurements are generated 3221, and the inspection results for the new location evaluated 3231. If the determination is NO, then the next step 3260 may comprise outputting the final results for the inspection. The output results 3260 can be in the form of a collection of data, or further prepared as a formatted report to be printed, filed and possibly archived and reviewed at a later time. They may contain a list of identified electrical connections (such as a netlist), a listing of geometric polygons (such as a layout), a listing of locations and the number of defects detected, a listing such as a Pareto chart of the types of defect detected. Counts of both defect and regular features sizes and shapes may also be included. Means may be provided for manual review of defects, or for an automated review of defect. Although this is one embodiment of an inspection process using the x-ray system according to the invention, it will be recognized that variations on this process will be known to those skilled in the art of inspection. In some embodiments, all x-ray images may be gathered before any analysis is carried out. In some embodiments, the process may be entirely automatic, governed by one or more computers. In some embodiments, the one or more computers controlling the inspection process may also be one or more computers controlling the x-ray system itself. This inspection process 3000 or similar variations may be used to examine individual objects to determine their structure and properties. However, this inspection process 3000 or similar variations can be inserted into a manufacturing process as a form of yield management. Such yield management techniques will be known to those skilled in the art, and are commonly used to inspect manufactured products or samples from a manufacturing line on an ongoing basis, and alert the operator when a defects or anomalies are beyond certain specified limits. Often, a body of knowledge about the process will have been built up over the history of the manufacturing line, and the defect statistics can be used to produce a signal that triggers some predefined process improvement. For example, an alert that wafers or chips processed using a particular tool now have an increase in dust particles larger than 10 microns may trigger preventive maintenance for that particular tool. FIG. 29 illustrates one embodiment of a method for conducting yield management 3500 using the inspection process 3000 comprising inspection using an x-ray system as disclosed in this application. In the initial step 3501, the manufacturing process is defined and identified. This may be a small single unit process, such as a wafer lithography stepper and track combination, or an entire multi-step process for fabricating an entire integrated circuit, or a packaging process for assembling multiple integrated devices into one package. The manufacturing recipe or recipes and the associated specification values and control limits may be stored in one or more Manufacturing Databases 3600. Once the manufacturing line is operational, in the next step 3505, one or more objects are fabricated according to the predefined process, calling on the Manufacturing Database 3600 for recipes as needed. The object may be incoming material to the manufacturing process, a partially manufactured product, a test or pilot wafer, a fully manufactured IC, a wafer prior to dicing, chips from a wafer after dicing, chips partially or fully assembled in a 3D IC package, an interposer, incoming packaging boards or material, partially mounted ICs in packages, a fully assembled IC package, or any one of a number of products and by-products associated with a manufacturing process. In the next step 3000, the inspection process disclosed above is executed to generate inspection results for the fabricated object using the x-ray system as disclosed. The Manufacturing Database 3600 may provide some or all of the data to direct the inspection process. The next step is the final step of the inspection process 3000, which was the step of outputting results 3260. In the next step 3530, the inspection results are evaluated to see if the process can be labeled “Defect Free”, according in part to the definitions and specifications provided by the Manufacturing Database 3600. This step may comprise determining particular defect statistics and yield parameters and comparing them to their historical values. If this step 3530 determines that all processes are functioning within the predetermined defect and yield parameters as defined in the Manufacturing Database 2600, then the determination is YES, the process can be labeled “Defect Free”, and the product manufacturing 3599 proceeds. The products, such as integrated circuits, IC packages, etc., are within the predefined yield, and the execution of the yield management process 3500 helps to identify problems before significant amounts of material are manufactured incorrectly, and have to be scrapped. The cost per working unit of the product is therefore reduced. However, if this step 3530 determines that some portion of the process is not functioning within the predetermined defect and yield parameters as defined in the Manufacturing Database 2600, or any one of a number of predefined conditions are met, then the determination is NO, the process is not “Defect Free”. In this case, there may be at least two possible the next steps 3580, 3590 based on the results 3480, 3490 of the inspection process 3000. If one or more anomalies were cataloged in the previous step 3480 of inspection process 3000, one of the next steps 3580 comprises analyzing the detected anomalies, either manually or automatically using programs for defect analysis and pattern recognition. One example of such analysis may be tabulating the locations of a set of defects to identify a cluster that may indicate a piece of equipment is occasionally scratching a wafer. Although the individual pits and other defects individually may only appear locally random when considered one-by-one, an analysis of the cluster may reveal the characteristic pattern of a scratch. Likewise, if one or more process corrections were recommended in the previous step 3490 of inspection process 3000, one of the next steps 3590 comprises tabulating the process corrections, either manually or automatically using programs for defect analysis and pattern recognition. Once the steps of analyzing the anomalies 3580 and tabulating the corrections 3590 have been completed, the next step 3550 is an evaluation of the deviant conditions, either manually or by automated means using one or more computers, and a determination of what might be changed in the manufacturing process. For example, if scratches on wafers from one particular tool are being consistently detected, it may be recommended that the deviant tool may be removed from the manufacturing process until the indicated mechanical problem with the wafer handling stage can be fixed. In the next step 3591, the changes are actually implemented in the manufacturing process. Corresponding changes to the data in the Manufacturing Database 3600 may also be made, so that the Database 3600 will now comprise recipes and specifications that have been updated with the new information. Metrology and Inspection Variations. Some embodiments of the invention may comprise additional variations of the elements of the metrology 2000 and inspection 3000 processes, as well as the associated methods for process control 2500 and yield management 3500. Several embodiments of the invention can be used, depending on the imaging properties of the detector and the design of the stage that holds the object to be examined. In some embodiments of the invention, multiple images of the object or portions thereof may be taken with different x-ray energy spectral distributions. These multiple images may be combined, subtracted or otherwise processed numerically to produce a new image that has can make potential defects more apparent. In some embodiments of the invention, the images of the entire object to be investigated, or images of portions of the object to be investigated, or 3D reconstructions of the object to be investigated, can be compared to stored reference data, typically data representing a correctly manufactured object. The stored reference data can comprise previously collected images, or stored information representing what is expected for a correctly manufactured object, or a set of mathematical or geometric rules that a correctly manufactured object must follow. In some embodiments of the invention, when discrepancies with the stored reference data are identified, further image processing and analysis can be carried out to attempt to classify the discrepancies as corresponding to particular types or classes of defects. In some embodiments of the invention, additional imaging at higher resolution or using additional imaging angles for the incident x-ray beam may be performed to further identify or characterize a potential defect. In some situations, particularly with more elaborate structures such as multiple ICs or ICs and interposers stacked in a 2.5D or a 3D configuration, an embodiment of the invention can be used to examine the configuration prior to completing the bonding of the configuration, to insure the components have been correctly aligned. It can also be used as a component of a system to not only examine and inspect, but to align and bond these multi-chip structures. In some situations, the inspection system may be used to align to objects before bonding or otherwise connecting them. Some embodiments of the invention also include a method of manufacturing using the apparatus described in this specification. Some embodiments of the invention also include devices manufactured using the apparatus described in this specification, in which the manufacturing process for the product uses the apparatus to maintain the process within a process window or above a certain product yield, and in which the process includes metrology or defect detection or statistical process control. In some embodiments of the invention, the images and measurements may be used to adjust downstream processes in real time or near real time in order to improve manufacturing yields. In some embodiments of the invention, the images and measurements may be used to identify process variations, variation in incoming materials, changes in the condition of manufacturing equipment, or changes in the manufacturing recipe or process set up into the manufacturing equipment. In some embodiments of the invention, the images and measurements may be used to screen defective or non-complying materials from the manufacturing line to prevent further destruction of other materials such as expensive active silicon devices. In some embodiments of the invention, the images and measurements may be used to check the alignment between a via in an interposer or chip and a capture pad on the surface of the interposer or chip. The capture pad may also be used as a connection to the next device or interposer. In some embodiments of the invention, the images and measurements may be used to detect voids or the absence of fill material in vias so as to reject the parts from manufacturing, or to determine if the voids are a factor in the long-term reliability of the component or system. In some embodiments of the invention, the images may be taken as part of an alignment process between a chip and an interposer prior to bonding or attaching the individual components. Based on these images, the process of alignment and bonding can be adjusted to improve the accuracy and quality of these connections. Other embodiments of the invention allow real time feedback to alignment tools in aligning dice or interposers. In some embodiments of the invention, the images and measurements may be used to inspect the shape and dimensions of solder used to connect devices or interposers. These inspections may be used to control the manufacturing process or screen out defective material. The presence of some patterns of solder after bonding may be used to detect improper solder joints including joints in which the solder is not continuous between the two connection points and is therefore not a useful conductor of electricity or heat. In other embodiments, multiple solder reflow processes may be utilized to repair or improve solder connections that are determined to be non-complying with manufacturing or product specifications based on results of inspection with the system according to the invention. In some embodiments of the invention, the images and measurements may be used to determine the relative deviation in position and dimensions of multiple layers of metal lines, bumps, pads, or connecting layers in a stack of chips and interposers. Advantages of the Invention: Inspection Speed. A significant advantage of this invention is that an extended source of x-rays can be used, increasing the available flux of x-rays used for imaging. This in turn increases the throughput possible for the system. Put another way, in the time to acquire a single inspection image with a PPM system, the proposed invention can acquire over 300,000 images with the same resolution. Consider the following comparison with the PPM x-ray system. The time to acquire an image depends on the flux Φ of x-rays:Tacquire=(P#×XP)/Φwhere P# is the number of pixels, XP is the number of x-rays per pixel, and Φ is the x-ray flux. The x-ray flux from a point source is:Flux=Φ=β×Ω×SA where β is the point source brightness, Ω is the angular distribution in mrad2 and SA is the point source area SA=πr2. The source spot size for x-ray systems is typically defined using the ASTM standard SE-1165 [“Standard Test Method for Measurement of Focal Spots of Industrial X-Ray Tubes by Pinhole Imaging,” ASTM Committee E-7 on Nondestructive Testing, May 15, 1992]. A typical x-ray source brightness β isβ=108 x-rays/sec/mm2/mrad2.To avoid parallax errors in automated inspection, the PPM x-ray beam should be well collimated; a divergence of 20 mrad is typical. For a point source withΩ=(20 mrad)2=400 mrad2 and a source spot diameter d=2r=1 μm=10−3 mm, the flux is given by: Flux = Φ = β × Ω × S A = 10 8 × 400 × π × [ 0.5 × 10 - 3 ] 2 x - rays / sec = 400 × π × 0.25 × 10 8 × [ 10 - 3 ] 2 x - rays / sec = 400 × π × 25 x - rays / sec = 31 , 416 = 3.14 × 10 4 x - rays / sec . A typical x-ray image sensor may have 512×512 pixels that need 1,000 x-rays/pixel for image formation. An image for a PPM system will therefore be collected in approximately 8,350 seconds, or 2.3 hours. On the other hand, keeping the same source brightness, but illuminating with a larger source spot size according to the invention dramatically increases the x-ray flux illuminating the object. As an example, assume a source with a 1 mm diameter (r=0.5 mm) separated by 100 mm from the object and, furthermore, assume that the distance from the object to scintillator is 100 microns. The angular divergence of the x-ray beam is given by:α=1 mm/100 mm=10 mrad,makingΩ=100 mrad2.The spot area is =π×[0.5]2=0.785 mm2, so the flux becomes: Flux = Φ = 10 8 × 100 × 0.785 photons / sec = 7.85 × 10 9 photons / sec which is higher than the PPM configuration by a factor of 250,000 times. Therefore, the same 512×512 image (with 1,000 x-rays per pixel) can now be produced at high speed and, for example, may now have a proportionally faster image collection time of approximately 33 msec. As a practical matter, the throughput enhancement may be further reduced by a factor of between 2 and 10 from this number. A PPM imaging system can detect x-rays in the enlarged shadow image directly with a CCD x-ray detector, which can have a quantum efficiency between 50% to 100%. The typical x-ray CCD array comprises an array of pixels, with a pixel size of approximately 100 μm×100 μm. In comparison, the high-resolution direct-shadow images for the system of the disclosed invention come from an extended x-ray source, and are not magnified. The pixels of contemporary x-ray imaging detectors are far too large to resolve the proximity images. Instead, the invention disclosed here comprises a scintillator to convert the x-rays to optical photons, and then magnifies this optical image. In order to achieve a particular resolution, there may be thickness specifications for the scintillator. For a resolution of 1 micron, for example, the scintillator may have a specified thickness between 1 and 10 microns. For thin scintillators, some of the incident x-rays will pass through the scintillator without being absorbed. Therefore, the quantum efficiency of this conversion process may worse than the PPM system, emitting visible photons for approximately 20% of the x-rays passing through the scintillator. Beyond this, the microscope may lose additional photons, depending on the optical system NA and the quantum efficiency of the visible CCD detector. However, even with these losses, the benefit provided by the higher flux of the extended source still provides a significant advantage. Advantages of the Invention: Imaging Resolution. The resolution of the prior art PPM system is determined by the spot size of the x-ray source. For example, a source with a 1 micron spot size will produce images with 1 micron resolution, assuming the system is operating at optimal resolution. Practically speaking, it is difficult to achieve resolution much below 1 micron with a PPM system, due to rapidly decreasing efficiency of the x-ray source for small spot sizes. As the spot size of the x-ray source decreases, the x-ray power must be reduced to avoid melting the x-ray target. Furthermore, the x-ray target must be made thinner, to reduce scattering in the target. As a result, for each 2× decrease in spot size, the flux from the source decreases a factor of about 10×. For the imaging system according to the invention, the scintillator is in close proximity to the object being examined, and photons emitted are in proportion to the x-rays. For the optical system that relays the photons emitted by the scintillator to the detector, assuming a scintillator emission wavelength of λ=535 nm and a solid immersion optical system with NA≈1.75 comprising LuAG optical elements with refractive index n=1.84, the definition for the diffraction-limited resolution R of the optical system relaying scintillator photons to the detector is: R = λ 2 * N A = 535 nm 2 * 1.75 = 153 nm which is 6.5 times smaller than the 1 micron resolution of the PPM system. Resolution is not only limited by the optical system, however. When using an extended source, as with the PPM system, distortion and blur in the projected shadows can still occur. FIG. 30 illustrates a system according to the invention with a geometric projection of x-rays from an extended source 101-E of width S onto an object 200-A with two apertures 221 separated by a distance A. The distance from the extended source 101-E to the object 200-A is given by D, and the distance from the object 200-A to the scintillator 310 is given by L. Depending on the ratio of L and D, some magnification can occur, so in a preferred embodiment, D is much greater than L, and L is typically 1 mm or smaller. In some embodiments, L will be 100 microns or smaller, with the scintillator placed as close to the object as practically possible. In some embodiments, L will be 0 microns, and the object 200-A and scintillator 310 or scintillator assembly 300 will be in contact. The bright spots of x-rays 321 on the scintillator 310 that correspond to the apertures 221 will have some blur 322 at the edges, depending on the size S of the extended source 101-E and the distances D and L. The two spots with separation A can be resolved if A ≥ L D S For L=100 μm, D=10 cm, and S=1 mm, the minimum resolvable distance A=1 μm, comparable to the PPM system. For L=25 μm, D=10 cm, and S=0.5 mm, the minimum resolvable distance A=125 nm, smaller than the estimated resolution of the optical system. In general, as a practical matter, a system will have adequate resolution if the contrast between two adjacent black and white objects (a line and a space) produces a modulation transfer function (MTF) greater than 5% Clearly, these parameters can be optimized for certain circumstances and embodiments, as can the design of the optical microscope system, to produce a system with high-resolution at high speed while minimizing cost. Advantages of the Invention: Time to Market. The high speed at which non-destructive images at resolutions smaller than 50 microns can be gathered can improve the time to market for the development of manufacturing processes such as the flip chip interconnect (FCI) process described earlier. The destructive processes for failure analysis, also described earlier, can take weeks to collect a single image, and months to acquire statistical data on parts. Because of the rapid time in which images can be collected and analyzed using the system of the present invention, process development time for such products can be counted in days, and is typically a fraction of the total time required to design and bring to market a new product Furthermore, because of the enhanced resolution, the present invention can be used for the new FCI processes with pitches smaller than 50 microns. The present invention can be used for significantly smaller pitches, and still maintain the desired image resolution and speed. In terms of the product development cycle, an increase in time for feedback of one to several weeks has a distinct and significant impact on the time required to develop a new product. In a simple case, perhaps three to five cycles of setup and data collection may be sufficient to establish a process for a new device. In a more complex case, such as a high-density interposer or a 3D IC, tens or hundreds of iterations may be required. Without the present invention, each of these cycles may take several weeks, and the total time to market of the product may come to be dominated by these cycles. Clearly a method of determining the quality of fine pitch (50 microns and smaller) bonds at the time of processing offers a significant advantage. The images and calculations produced by the system and methods disclosed herewith allow the quality of bonds to be examined immediately after bonding in a matter of seconds or minutes. In order to develop and qualify a new semiconductor product for mass production, many individual processes and the integration of these processes must be established, tuned, and tested. In the case of forming a through-silicon via (TSV) in a semiconductor wafer, the process flow typically requires that the vias be formed first and the capture pads be subsequently formed on the wafer surface over the vias. Since the capture pads obscure optical inspection of the vias themselves, in the absence of the present invention, the alignment between the vias and the capture pads may not be accurately determined at the time of manufacturing without cutting the silicon wafer and inspecting this feature in cross-section. Since this procedure is time consuming and also destroys the silicon wafer and any economic value contained within it, it is therefore undesirable. In the case of bonding two or more chips or substrates or even complete wafers together using FCI, the alignment, bonding force, bonding temperature, rate of heating, and rate of cooling among other factors must be tightly controlled. While control of manufacturing equipment and processes can enable some of the necessary control, inspection and measurement of features within the product that are not optically visible may also be required. Without the use of the apparatus disclosed in this invention, assembled parts must be cross-sectioned in order to be inspected. Given the fine pitch of the interconnect bonds and the very large quantity of connections, this procedure can take several weeks. Even so, typically only a very small subset of the total interconnect bonds may actually be inspected. The inability to inspect bonds quickly can add significantly to the length of time required to fine tune both individual process steps as well as the integration of multiple process steps to create a finished product. For example, consider a case where 25 iterations of the bonding process are required to develop and qualify a product. In the case without the apparatus disclosed in this invention, each iteration may require 1 week to build each group of samples under various process and tooling configurations. After manufacturing a group of samples, an additional 2 weeks may be required to cross-section individual units and inspect the quality and attributes of the bonds that have been created. The total time is therefore:25 cycles×(1 week making+2 weeks inspection)=75.0 weeks. With the use of the apparatus disclosed in this invention, the 2 weeks of inspection can be reduced to a few minutes by eliminating the need for time consuming cross-sectioning. The total time for the sequential cycles may now be calculated as:25 cycles×(1 week making+1 hour inspection)=25.15 weeks,a reduction by 49.85 weeks (or 66% of the initial time to market). With high-volume consumer electronic devices such as mobile phones selling in volumes of more than 100 million units a year, it can be easily seen that a decrease in time to market by 50 weeks (almost one year) can have significant impact on the market. The apparatus may further be integrated into the bonding tool or via filling tool, for example the electrochemical deposition tool, to provide feedback to the bonding process in real time. The use of the apparatus in this way reduces time to market by many weeks and may in fact enable a product to enter the market that otherwise would be too costly or too late to market to have economic value. Advantages of the Invention: Product Yield and Cost. Currently, active silicon devices mounted onto a fine pitch silicon interposer with a bump pitch of around 50 microns have been demonstrated, but have not achieved high volume manufacture or acceptable yields. A well known case at this time is the Xilinx Virtex 7 chip. This chip has 4 homogeneous processors mounted onto one silicon interposer <http://www.xilinx.com/products/silicon-devices/3dic/index.htm>. The application of this device is in high-speed network processors, where selling prices are at the very highest end of the semiconductor market. It has been reported that commercial production began on these devices with overall yields related to package assembly and interconnect in the range of 80%. This yield is far lower than typically accepted in the semiconductor field, and there is considerable additional cost associated with the scrap material. However, this particular part was determined to have such high commercial value that, even considering the cost associated with low yield, it was commercially feasible to produce with only 80% package assembly yield. In other lower-cost, more consumer-oriented segments of the market, pressure on pricing is much more intense, and it is unlikely that a product with package assembly yields at this level could be commercially viable. For this reason, it is necessary for the manufacturing process to be highly capable and tightly controlled, such that the amount of scrap product or yield loss resulting from the bonding process is reduced. Traditionally, package assembly yields are in the 98 to 99% range. Those skilled in the art will quickly realize that scrapping good chips by using poorly yielding bonding techniques, and packaging yields of 80% for lower value chips, are simply not acceptable. It should be noted that, in the case of multiple dice mounted together either as a 3D IC or onto a high-density interposer, the failure of one connection on any chip will result in the scrapping of the entire MCP or package assembly. There may be thousands or tens of thousands of connections that must all function as designed. It is rare that any kind of rework or recovery of materials can be accomplished if any of the bonds are not produced correctly. For example, take the case when a processor chip with a cost of $10 is mounted together with four memory chips costing $5 each, or $20. The total cost of the chips is therefore $30. Chip assembly and packaging may add another $5 of cost for a total assembly cost of $35. In the case where the assembly yield for these parts is 80%, for each 100 sets of chips, 20 will be scrapped. The manufacturing cost for 100 units is given by:Cost=[$10+(4×$5)+$5]×100=$3,500.However, with only 80% of the assemblies being functional, the total cost per working assembly will be: Cost / unit = $3 , 500 80 = $43 .75 which is 24% more expensive than a process yielding 99% would provide. This cost increase may consume the entire profit margin for some low-end products, and in any case represents an undesirable outcome. By using the images and measurements produced by the apparatus in this disclosure, the processes of aligning, inspection bonding can be controlled and monitored such that the yield can be rapidly increased. For MCP packages, in the example above, detecting a flaw between the first two dice will allow the packaging assembler to scrap the first two die only, and not require the loss of all five dice, therefore saving scrap costs and improving yield. It is common for well-controlled and monitored assembly processes to have yields of over 99.9%. The present invention allows a packaging assembler to achieve a yield of greater than or equal to 90% in MCP structures having more than 4 dice and having more than 100 TSVs per interposer or die layer at pitches where the smallest pitch is less than 100 microns. The same yield advantage may be achieved in the flip chip configuration having more than 400 microbumps at a pitch where the smallest pitch is less than 100 microns. This same advantage in cost and yield can be seen at other steps in the manufacturing process for fine-pitch interposers and 3D die stacking, such as via fill monitor for voids, via capture pad alignment to via, alignment of chip-bump to chip or interposer pad, and quality of completed joint after bonding. It may also be used to measure bondline in the assembly of multiple slices of silicon devices or fine pitch interposers or between silicon devices and other materials of interest where this bondline thickness is critical to device performance. With this application, several embodiments of the invention, including the best modes for various circumstances, have been disclosed. While specific materials, designs, configurations and fabrication steps have been set forth to describe this invention and the preferred embodiments, such descriptions are not intended to be limiting. Modifications and changes may be apparent to those skilled in the art, and it is intended that this invention be limited only by the scope of the appended claims. |
|
summary | ||
048715107 | summary | BACKGROUND OF THE INVENTION The present invention relates to a fuel assembly, and particularly to a fuel assembly suitable for use in a boiling water reactor (referred to as BWR hereinafter) and a reactor core thereof. A conventional BWR comprises a core which is constituted by a plurality of fuel assemblies arranged at equal intervals in the form of a lattice. A control rod having a cruciate cross-sectional form is inserted between the fuel assemblies. Such fuel assembly that is loaded in the conventional BWR core comprises fuel rods which are arranged in 8 rows and 8 columns (8.times.8) in a channel box and two water rods which are arranged at the center thereof, as shown in FIGS. 4A and 4B of Japanese Patent Laid-Open Publication No. 179391/1983 (corresponding to U.S. patent application Ser. No. 360,390 filed on Mar. 22, 1982). The above-described conventional BWR core is shown in FIG. 2 of the Japanese Patent Laid-Open Publication. The enrichment of each of the aforementioned fuel assemblies is decreased in an upper portion thereof in an axial direction thereof in order to increase the cold shutdown margin of a reactor, as shown in FIGS. 4C, 5A and 5B of the Publication. It is also possible to increase the cold shutdown margin of a reactor by increasing a total cross-sectional area of the water rods in each of the fuel assemblies. An example of such fuel assemblies is shown in FIG. 2 of Japanese Patent Laid-Open Publication No. 178387/1984 (corresponding to West German Patent Application No. 3309449.7 filed on Mar. 16, 1983). This fuel assembly comprises fuel rods which are arranged in 9 rows and 9 columns (9.times.9) in a channel box and five water rods, each of which has a square cross-sectional form, arranged at the center of the channel box. The five water rods are arranged in a cruciate form. A fuel assembly with a high level of burn-up has been now developed with the aim of achieving effective utilization of uranium resources. One of methods of obtaining a high burn-up type fuel assembly is to increase the average enrichment of fuel assembly. However, any increase in the average enrichment of the fuel assembly causes a decrease in the cold shutdown margin of the reactor concerned. SUMMARY OF THE INVENTION It is an object of the present invention to provide a fuel assembly which can assure a sufficient cold shutdown margin for a reactor. The present invention is characterized in that, if a distance between the outer walls of a channel box is L, a distance between the inner walls thereof is D, and a pitch of the fuel assemblies arranged in a core is P, the channel box has the distance L between the outer walls and the distance D between the inner walls which satisfy the following equation. EQU 0.12.ltoreq.(P-L)/D. |
summary | ||
summary | ||
043158005 | abstract | A nuclear reactor having a vertical annular space defined between a shroud enclosing a reactor core and a pressure vessel surrounding the shroud, and a plurality of internal circulation pumps disposed in a lower portion of the space for forcing a coolant in the space to flow into below the reactor core so that the coolant flows through the core in forced circulation. A tubular guide member is disposed adjacent to a suction port of each internal pump for vertically guiding a flow of the coolant being sucked into the pump to increase the effective inertia of the flow of the coolant, thereby to enhance the stability of the flow conditions of the coolant flowing through the reactor core. |
claims | 1. A radiation device, comprising:a radiation source that generates a radiation beam that is directable towards a subject;a radiation controller connected to the radiation source, the radiation controller having a fixation zone module that determines a fixation zone of an operator of the radiation source and the radiation controller generates a collimator control signal based in part on the determined fixation zone of the operator; anda collimator, connected to the radiation controller, that based on the collimator control signal, continually filters the radiation that is outside of a region of interest to reduce a radiation dose from the radiation source for radiation that is outside of the region of interest. 2. The device of claim 1, wherein the radiation source has an movable anode that is mechanically moved to move the radiation beam. 3. The device of claim 2, wherein the movable anode changes a direction of the radiation beam. 4. The device of claim 1, wherein the radiation source has a matrix of radiation emitters. 5. The device of claim 4, wherein the matrix of radiation emitters further comprises a square matrix of multiple field emission x-ray tubes and each field emission x-ray tube is separately programmable to direct a radiation dose towards a particular area. 6. The device of claim 5, wherein the matrix of radiation emitters further comprises a square matrix of multiple radiation tubes and each radiation tube is separately programmable to direct a radiation dose towards a particular area. 7. The device of claim 6, wherein a first field emission x-ray tube directs a maximum radiation dose to an area of maximum attention and a second field emission x-ray tube directs a lower radiation dose to a field of view that does not include the area of maximum attention. 8. The device of claim 7, wherein a first radiation tube directs a maximum radiation dose to an area of maximum attention and a second radiation tube directs a lower radiation dose to a field of view that does not include the area of maximum attention. 9. A radiation minimization method, comprising:generating, using a radiation source, a radiation beam that is directable towards a subject;determining, by a fixation zone module of a radiation controller, a fixation zone of an operator of the radiation source;generating, by the radiation controller, a collimator control signal based in part on the determined fixation zone of the operator; andfiltering, by a collimator connected to the radiation controller based on the collimator control signal, the radiation that is outside of a region of interest to reduce a radiation dose from the radiation source for radiation that is outside of the region of interest. 10. The method of claim 9 further comprising mechanically moving an anode of the radiation beam to move the radiation beam. 11. The method of claim 10, wherein mechanically moving the anode further comprises changing a direction of the radiation beam. 12. The method of claim 9, wherein generating the radiation beam further comprises directing, by a square matrix of multiple field emission x-ray tubes, a radiation dose by each field emission x-ray tube to a particular area. 13. The method of claim 9, wherein generating the radiation beam further comprises directing, by a square matrix of radiation tube, a radiation dose by each radiation tube to a particular area. 14. The method of claim 12, wherein directing the radiation dose further comprises directing, by a first field emission x-ray tube, a maximum radiation dose to an area of maximum attention and directing, by a second field emission x-ray tube, a lower radiation dose to a field of view that does not include the area of maximum attention. 15. The method of claim 13, wherein directing the radiation dose further comprises directing, by a first radiation tube, a maximum radiation dose to an area of maximum attention and directing, by a second radiation tube, a lower radiation dose to a field of view that does not include the area of maximum attention. |
|
claims | 1. A multi-step X-ray contrast sensitivity gauge, comprising:a first step comprising:a first planar top face; anda first planar bottom face, the first planar top face being parallel to the first planar bottom face, the first step having a first thickness between the first planar top face and the first planar bottom face, the first planar top face comprising a first plurality of recesses of differing depths; and a second step comprising:a second planar top face; anda second planar bottom face, the second planar top face being parallel to the second planar bottom face, the second step having a second thickness between the second planar top face and the second planar bottom face that is greater than the first thickness, the second planar top face comprising a second plurality of recesses of differing depths; the first planar bottom face of the first step being coplanar with the second planar bottom face of the second step. 2. The sensitivity gauge of claim 1, the first planar top face comprising a first recess, a second recess, and a third recess, the first recess having a first depth that is 1% of the first thickness, the second recess having a second depth that is 2% of the first thickness, and the third recess having a third depth that is 4% of the first thickness, the second planar top face comprising a fourth recess, a fifth recess, and a sixth recess, the fourth recess having a fourth depth that is 1% of the second thickness, the fifth recess having a fifth depth that is 2% of the second thickness, and the sixth recess having a sixth depth that is 4% of the second thickness. 3. The sensitivity gauge of claim 1 being a unitary structure. 4. The sensitivity gauge of claim 1, the first step and the second step being modular steps. 5. The sensitivity gauge of claim 4, the first step comprising a first threaded aperture and the second step comprising a second threaded aperture, the first and second apertures configured to receive a first threaded fastener and a second threaded fastener, respectively, the sensitivity gauge of claim 4 further comprising:a bracket that is operative to couple the first step and the second step, the bracket comprises a first bracket aperture and a second bracket aperture that receive the first threaded fastener and the second threaded fastener, respectively. 6. The sensitivity gauge of claim 1, wherein a difference between the first thickness and the second thickness is approximately one inch. 7. The sensitivity gauge of claim 1, the first planar top face having a first length and a first width, the first plurality of recesses each extending the first width of the first planar top face. 8. The sensitivity gauge of claim 7, wherein the recesses in the first plurality of recesses have identical widths of approximately 0.5 inches. 9. The sensitivity gauge of claim 7, the second planar top face having a second length and a second width, the second plurality of recesses each extending the second width of the second planar top face, the recesses in the first plurality of recesses being in alignment with the recesses in the second plurality of recesses. 10. The sensitivity gauge of claim 1 being composed of one of stainless steel, iron, aluminum, brass, Lucite, or Poly (methyl methacrylate). 11. The sensitivity gauge of claim 1, wherein the second thickness is at least six inches. 12. The sensitivity gauge of claim 1, further comprising a plurality of other steps each having respective planar top surfaces that comprise recesses of varying depths. 13. A system, comprising:an X-ray contrast sensitivity gauge that comprises a plurality of steps of varying thicknesses, each step in the plurality of steps comprising a top face and a plurality of recesses, wherein depths of the plurality of recesses are a function of a thickness of the step associated therewith;an X-ray source that is positioned to project X-rays onto top faces of the steps in the X-ray contrast sensitivity gauge; anda detector that detects an amount of attenuation of the X-rays caused at least partially by the X-ray contrast sensitivity gauge. 14. The system of claim 13, wherein a thickness of a step in the plurality of steps is at least five inches. 15. The system of claim 13, wherein depths of the recesses on a step in the X-ray contrast sensitivity gauge are approximately 1% of a thickness of the step, approximately 2% of the thickness of the step, and approximately 4% of the thickness of the step. 16. The system of claim 13, further comprising a computing device that generates an image based at least in part upon the amount of attenuation detected by the detector, the image indicative of a contrast-to-noise ratio of the detector for a step thickness and recess depth. 17. The system of claim 13, the steps of the X-ray contrast sensitivity gauge being modular. 18. The system of claim 13, the X-ray source emitting X-rays at an energy above 5 MeV. 19. The system of claim 13, the X-ray source emitting X-rays at an energy above 20 MeV. 20. An X-ray contrast sensitivity gauge, comprising:a plurality of modular steps of differing thicknesses, each modular step in the plurality of modular steps comprising a plurality of recesses with differing depths that are a function of a thickness of the step associated therewith; andcoupling means that couples the plurality of modular steps. |
|
summary | ||
description | The present invention relates generally to computed tomography (CT) diagnostic imaging systems and, more particularly, to a method of manufacturing a collimator mandrel having variable attenuation characteristics. Typically, in CT imaging systems, an x-ray source emits a fan-shaped beam toward a subject or object, such as a patient or a piece of luggage. Hereinafter, the terms “subject” and “object” shall include anything capable of being imaged. The beam, after being attenuated by the subject, impinges upon an array of radiation detectors. The intensity of the attenuated beam radiation received at the detector array is typically dependent upon the attenuation of the x-ray beam by the subject. Each detector element of the detector array produces a separate electrical signal indicative of the attenuated beam received by each detector element. The electrical signals are transmitted to a data processing system for analysis which ultimately produces an image. Generally, the x-ray source and the detector array are rotated about the gantry within an imaging plane and around the subject. X-ray sources typically include x-ray tubes, which emit the x-ray beam at a focal point. X-ray detectors typically include a collimator for collimating x-ray beams received at the detector, a scintillator for converting x-rays to light energy adjacent the collimator, and photodiodes for receiving the light energy from the adjacent scintillator and producing electrical signals therefrom. Typically, each scintillator of a scintillator array converts x-rays to light energy. Each scintillator discharges light energy to a photodiode adjacent thereto. Each photodiode detects the light energy and generates a corresponding electrical signal. The outputs of the photodiodes are then transmitted to the data processing system for image reconstruction. Pre-patient collimators are commonly used to shape, or otherwise limit the coverage, of an x-ray or radiation beam projected from an x-ray source toward a subject to be scanned. Typically, the CT system will include a pair of collimator mandrels, each of which is mounted on an eccentric drive, such that the collimators may be positioned relative to one another to define a non-attenuated x-ray or radiation path. For example, by increasing the relative distance between the collimators, the width of the x-ray or radiation beam that impinges on the subject increases. In contrast, by moving the collimators closer to one another, the x-ray or radiation beam narrows. The eccentrics are designed to position the collimator mandrels with respect to one another and relative to an x-ray focal point to modulate the width of an x-ray or radiation path that bisects the collimators. Collimators are frequently implemented to provide variable patient long axis (z-axis) coverage when a curvilinear detector assembly is used to detect radiation passing from the x-ray source through and around the subject during data acquisition. Conventional collimator mandrel configurations utilize a solid rod of attenuating material such as tungsten that is machined with a slight increase in diameter in the center of the mandrel relative to its ends. However, as the detector size increases in the z-axis, the constraints on the collimator tighten. Moreover, the collimator must be constructed to accommodate the increase in detector size while limiting x-ray coverage. Increased x-ray coverage increases patient radiation dose and degrades image quality due to the increased scatter in the reconstructed image. Accordingly, the collimator mandrel must be constructed to have a complex shape to accommodate the increase in detector size. One known manufacturing process requires that the solid tungsten rod be machined to provide the complex shape necessary to achieve the desired beam shaping. Tungsten is a rigid material that is highly absorptive of x-rays. As such, tungsten is considered well-suited for collimator assemblies in CT systems. The rigidity of the tungsten, however, makes machining of a solid tungsten rod to have a complex shape difficult and time consuming. Moreover, machining with a precision required for a CT collimator can be difficult thereby compromising system performance. Therefore, it would be desirable to have an accurate and repeatable manufacturing process capable of providing a precise and complex-shaped collimator mandrel for a CT system. The present invention is a directed to a manufacturing process overcoming the aforementioned drawbacks. The present invention provides a repeatable and precise process of constructing a collimator mandrel for a CT system. A rod of rigid material is positioned within a cast. The cast defines a void circumferentially around the rod which serves as a layout or pattern for an attenuating layer of epoxy, resin, or other material. Epoxy or other material is then deposited within the void and is allowed to cure. After curing, the cast is removed, and a complexly shaped collimator mandrel results. Alternatively, a thin layer of variable thickness may be deposited or sputtered directly on the outer surface of the rod to provide the complex shape desired. Therefore, in accordance with one aspect of the present invention, a method of manufacturing a collimator mandrel for a CT imaging system includes the steps of forming a core of base material and applying a tapered layer of attenuating material to the core. In accordance with another aspect of the invention, a CT collimator mandrel comprises a solid cylindrical rod positioned within a layer of attenuating material. The mandrel is formed by shaping a bulk of supporting material into a core and positioning the core in a cast such that a non-uniform void is created between an outer surface of the core and an inner surface of the cast. The mandrel is further formed by injecting attenuating material into the void and removing the cast upon curing of the attenuating material. According to yet another aspect, a process of constructing a mandrel for a CT imaging system is provided and includes the steps of forming a solid cylindrical rod of first material and depositing a layer of second material designed to substantially block x-rays on the cylindrical rod. Various other features, objects and advantages of the present invention will be made apparent from the following detailed description and the drawings. The present invention will be described with respect to the blockage, detection, and conversion of x-rays. However, one skilled in the art will appreciate that the present invention is equally applicable for the detection and conversion of other high frequency electromagnetic energy. The present invention will be described with respect to a “third generation” CT scanner, but is equally applicable with other CT systems. Referring to FIGS. 1 and 2, a computed tomography (CT) imaging system 10 is shown as including a gantry 12 representative of a “third generation” CT scanner. Gantry 12 has an x-ray source 14 that projects a beam of x-rays 16 toward a detector array 18 on the opposite side of the gantry 12. Detector array 18 is formed by a plurality of detectors 20 which together sense the projected x-rays that pass through a medical patient 22. Each detector 20 produces an electrical signal that represents the intensity of an impinging x-ray beam and hence the attenuated beam as it passes through the patient 22. During a scan to acquire x-ray projection data, gantry 12 and the components mounted thereon rotate about a center of rotation 24. Rotation of gantry 12 and the operation of x-ray source 14 are governed by a control mechanism 26 of CT system 10. Control mechanism 26 includes an x-ray controller 28 that provides power and timing signals to an x-ray source 14 and a gantry motor controller 30 that controls the rotational speed and position of gantry 12. A data acquisition system (DAS) 32 in control mechanism 26 samples analog data from detectors 20 and converts the data to digital signals for subsequent processing. An image reconstructor 34 receives sampled and digitized x-ray data from DAS 32 and performs high speed reconstruction. The reconstructed image is applied as an input to a computer 36 which stores the image in a mass storage device 38. Computer 36 also receives commands and scanning parameters from an operator via console 40 that has a keyboard. An associated cathode ray tube display 42 allows the operator to observe the reconstructed image and other data from computer 36. The operator supplied commands and parameters are used by computer 36 to provide control signals and information to DAS 32, x-ray controller 28 and gantry motor controller 30. In addition, computer 36 operates a table motor controller 44 which controls a motorized table 46 to position patient 22 and gantry 12. Particularly, table 46 moves portions of patient 22 through a gantry opening 48. Referring to FIG. 3, a collimator assembly 50 having a pair of collimator mandrels 52 and 54 that are constructed to collimate x-rays projected toward a patient and detector assembly or array. Each collimator mandrel 52, 54 is designed to be rotated along a lengthwise axis by pivot assemblies 56. As will be described in greater detail below, collimator mandrel 52 is rotated clockwise and collimator mandrel 54 is rotated counterclockwise to define the width of the aperture 58 that is formed between the pair of mandrels. However, one skilled in the art would readily recognize that other rotational orientations are possible and contemplated to achieve a desired aperture shape and/or width. X-rays are projected from an x-ray tube toward the collimator assembly 50. The mandrels 52, 54 are positioned relative to one another to define an aperture size tailored to the specific CT study to be carried out. In this regard, each mandrel is designed and constructed of material to block or prevent passage of those x-rays that are not passed through aperture 58. As such, each mandrel 52, 54 has a complexly-shaped outer layer 60, 62 of attenuating material. That is, each outer layer extends circumferentially around a rod 64, 66 of base material and a non-constant diameter. The rods 64, 66 form a solid and rigid base for the layers of attenuating material. Preferably, the rods are constructed of steel, but other materials are possible. The attenuating layers may be fabricated from tungsten or other attenuating epoxy or alloy. As shown, each rod 64, 66 has a circular or constant diameter. In contrast, each mandrel, as a result of the non-circular attenuating layer, has a complex shape. This complexity in shape allows the collimator assembly to provide a more variable aperture size without a change in the collimator assembly itself. Simply, in one preferred embodiment, the mandrels 52 and 54 have oblong or egg-like cross-sectional shapes that extends the entire length of rods 64 and 66, respectively. However, the manufacturing process described herein allows for other mandrel shapes as well as varying attenuating layer thickness along the length of the rods. Referring now to FIG. 4, a side view of the collimator assembly 50 illustrates a first or minimum aperture size that can be achieved by dynamically controlling the rotation of the mandrels 52 and 54. In the relative position illustrated, each mandrel has been rotated to maximize the amount of attenuating material 60, 62 axially positioned between each rod 64, 66. As a result, the size of aperture 58 is affected to control the expanse and coverage of x-ray beams 16 projected toward the patient (not shown) and detector assembly 18. In FIG. 5, the collimator assembly 50 is shown with a maximum aperture size. To achieve a maximum in the size of aperture 58, eccentrics 56 rotate each mandrel 52 and 54 such that the thinnest amount of attenuating material is positioned adjacent the x-ray path through the aperture 58. As a result, more of the x-ray beam is allowed pass through the collimator assembly unaltered by mandrels 52 and 54. Eccentric assemblies 56 may be rotated mechanically by a user or, preferably, by a controller mechanism that is electronically controlled to rotate the mandrels based on a desired aperture size. Further, while FIG. 5 illustrates rotation of both mandrels compared to that shown in FIG. 3, one mandrel may be rotated while the other mandrel remains stationary. Additionally, since each mandrel may be rotated independently by eccentrics 56, one mandrel may be rotated more than the other mandrel. As a result, the number of aperture sizes that is possible is a function of the degree change in attenuating material thickness around each rod. Moreover, one mandrel may have a layer of attenuating material that is dimensionally different from the layer of attenuating material around the other mandrel. In this regard, the number of aperture sizes available is increased. FIG. 6 is a side view similar to that of FIG. 4 but illustrates a second or maximum aperture size that is achieved as a result of the relative rotation of both mandrels 52 and 54. The position of each rod 64 and 66 remains fixed, but each mandrel is caused to rotate along a lengthwise axis through the center of the rod. As a result, the thickness of the attenuating layer placed in the x-ray path is variably controlled to fit the particulars of the CT study. As is shown, aperture 58 has a much larger size in FIG. 6 than in FIG. 4; therefore, the x-ray path therebetween is much larger which allows for greater coverage in the z-direction on detector 18. The collimator mandrel profile illustrated in FIGS. 3–6 represents one embodiment of the shape each collimator mandrel may have. However, as will be described, the manufacturing process disclosed herein is capable of constructing other-shaped mandrels than that illustrated in FIGS. 3–6. For example, the mandrels could be constructed to have lobes or other geometrical shapes to achieve the desired aperture shape. Shown in FIG. 7 is a cross-sectional view illustrating the construction of a collimator mandrel in accordance with the present invention. The construction process begins with the formation of a cylindrically or other shaped rod 68 of base material having a constant cross-section. The rod 68 is constructed to have an eccentric pivot 70 on each end to support rotation of the mandrel once assembled and fit in the CT system. As noted above, the rod is preferably constructed of a solid, rigid material, i.e. steel, that is designed to receive and support a layer of attenuating material, such as tungsten, lead, a high atomic weight alloy, or epoxy laden with high atomic weight material. Rod 68 is placed is a cast 72 that envelops the rod. The cast 72 envelopes the rod such that a void 74 is created circumferentially around the outer surface of the rod 68 between the inner surface of cast. The void defines the dimensions, thickness, and shape of a layer of attenuating material to be deposited or otherwise formed to the outer surface of the rod. In the example illustrated in FIG. 7, a highly attenuative epoxy or resin is deposited in void 74 and is allowed to cure. Once cured, the cast is removed and a tapered layer of attenuating material affixed to the outer surface of the rod results. However, use of a cast and the filling of a void between the cast and rod illustrates only one technique for forming a complexly shaped mandrel. For example, a thin layer of tungsten or other attenuative layer could be vapor or chemically deposited about the rod in a controlled manner such that a non-circular cross-sectioned or other complex shaped mandrel is constructed. In another embodiment, a thin layer of attenuating material could be sealed against the rod or core material using adhesive, glues and other intermediaries. Further, given the cast layer provides the x-ray attenuation, other attenuating materials other than tungsten may be used. As a result, the non-tungsten layer with improved machinability could be sealed against the rod and machined to provide the desired complex shape. Referring now to FIG. 8, package/baggage inspection system 100 includes a rotatable gantry 102 having an opening 104 therein through which packages or pieces of baggage may pass. The rotatable gantry 102 houses a high frequency electromagnetic energy source 106 as well as a detector assembly 108 having scintillator arrays comprised of scintillator cells. A conveyor system 110 is also provided and includes a conveyor belt 112 supported by structure 114 to automatically and continuously pass packages or baggage pieces 116 through opening 104 to be scanned. Objects 116 are fed through opening 104 by conveyor belt 112, imaging data is then acquired, and the conveyor belt 112 removes the packages 116 from opening 104 in a controlled and continuous manner. As a result, postal inspectors, baggage handlers, and other security personnel may non-invasively inspect the contents of packages 116 for explosives, knives, guns, contraband, and the like. Therefore, in accordance with one embodiment of the present invention, a method of manufacturing a collimator mandrel for a CT imaging system includes the steps of forming a core of base material and applying a tapered layer of attenuating material to the core. In accordance with another embodiment of the invention, a CT collimator mandrel comprises a solid core positioned within a layer of attenuating material. The mandrel is formed by shaping a bulk of supporting material into a core and positioning the core in a cast such that a non-uniform void is created between an outer surface of the core and an inner surface of the cast. The mandrel is further formed by injecting attenuating material into the void and removing the cast upon curing of the attenuating material. According to yet another embodiment, a process of constructing a mandrel for a CT imaging system is provided and includes the steps of forming a solid cylindrical rod of first material and depositing a layer of second material designed to substantially block x-rays on the cylindrical rod. The present invention has been described in terms of the preferred embodiment, and it is recognized that equivalents, alternatives, and modifications, aside from those expressly stated, are possible and within the scope of the appending claims. |
|
040015882 | description | |
054935911 | claims | 1. An internal pump for a nuclear reactor, comprising: a pump unit disposed in a nuclear reactor pressure vessel, said pump unit including an axially extending pump shaft drivingly connected to a pump impeller, and a motor unit disposed in a motor casing joined to the pressure vessel and having a motor shaft coaxial with and connected to the pumpshaft, said motor unit including: a motor rotably driving the motor shaft, a flywheel fixed to the motor shaft at one axial side of the motor, a thrust disc fixed to the motor shaft at an axial side of the motor opposite the one axial side, said thrust disc serving as an auxiliary impeller, said thrust disc exhibiting a plurality of coaxial cylinder portions of different diameters including a bulging cylinder portion of large diameter and a constricted cylinder portion of smaller diameter than said bulging cylinder portion, a large ring housing section surrounding the bulging cylinder portion with a first fixed orifice formed between the bulging cylinder portion and the large ring housing section, and a small ring housing section surrounding the constricted cylinder portion with a second fixed orifice formed between the constricted cylinder portion and the small ring housing section, said ring housing sections and cylinder portions defining a pressurized chamber between the first and second fixed orifices. 2. An internal pump according to claim 1, wherein said pressurized chamber is formed below the bulging cylinder portion of said thrust disc. 3. An internal pump according to claim 1, wherein said thrust disc further has cooling water circulating channel for circulating motor cooling water and pressure boosting channels for boosting pressure in said pressurized chamber, and wherein said pressurized chamber pressure boosting channels have their suction ports positioned at a discharge side of said motor cooling water circulating channels. 4. A reactor internal pump according to claim 1 wherein said thrust disc further has channels having discharge ports directed toward said pressurized chamber for boosting the pressure in said pressurized chamber, so that the pressure-boosted liquid may be fed as motor cooling circulating water into a motor chamber through the first fixed orifice. 5. A reactor internal pump according to claim 1, wherein said thrust disc further has first channels for circulating motor cooling water and for boosting the pressure in said pressurized chamber, and wherein said pressurized chamber pressure boosting channels have suction ports positioned at a suction side of said motor cooling water circulating channels. 6. A reactor internal pump according to claim 1, wherein said thrust disc further has channels having discharge ports directed toward said pressurized chamber for boosting the pressure in said pressurized chamber, so that the pressure boosted liquid may be fed as motor cooling circulating water into a motor chamber through a passage formed at a stationary side of said pressured chamber. |
abstract | A method and system for producing a neutral beam of spin polarized Hydrogen isotopes by photodissociating compound molecules are provided. Each compound molecule comprises a Hydrogen isotope and a second element. A molecular beam is generated by passing the compound molecules through a nozzle. The molecular beam is introduced into a photodissociation chamber. The molecular beam is photodissociated into spin polarized Hydrogen isotopes and second elements by intersecting the molecular beam with a circularly polarized photolysis laser beam. The spin polarized Hydrogen isotopes are guided, accelerated, and neutralized. |
|
claims | 1. A mask comprising an electromagnetic radiation sensitive mask material, wherein:a first percentage of electromagnetic radiation at a first wavelength is transmitted through the electromagnetic radiation sensitive mask material prior to the exposure of the electromagnetic radiation sensitive mask material to electromagnetic radiation at a second wavelength;a second percentage of electromagnetic radiation at the first wavelength is transmitted through at least a portion of the electromagnetic radiation sensitive mask material after the at least a portion of the electromagnetic radiation sensitive mask material is exposed to electromagnetic radiation at the second wavelength; andthe electromagnetic radiation sensitive mask material is suitable to define features on a substrate at the first wavelength after the exposure of the at least a portion of the electromagnetic radiation sensitive mask material to electromagnetic radiation at the second wavelength to form patterns defining the features in the electromagnetic radiation sensitive mask material. 2. The mask as claimed in claim 1 wherein the first wavelength and the second wavelength are the same. 3. The mask as claimed in claim 1 wherein the first wavelength and the second wavelength are different. 4. The mask as claimed in claim 1 wherein the second percentage of electromagnetic radiation at the first wavelength comprises a gradient of electromagnetic radiation. 5. The mask as claimed in claim 1 wherein the electromagnetic radiation sensitive mask material comprises a polymer matrix comprising an electromagnetic radiation sensitive polymer. 6. The mask as claimed in claim 5 wherein the electromagnetic radiation sensitive mask material comprises at least one acid sensitive additive. 7. The mask as claimed in claim 5 wherein the polymer matrix comprises a modified polymer, and wherein the modified polymer is modified such that the modified polymer has at least one electromagnetic radiation sensitive portion. 8. The mask as claimed in claim 1 wherein the electromagnetic radiation sensitive mask material comprises a polymer matrix having at least one electromagnetic radiation sensitive additive. 9. The mask as claimed in claim 8 wherein the electromagnetic radiation sensitive mask material comprises a polymer matrix having at least one acid sensitive additive. 10. The mask as claimed in claim 8 wherein the at least one electromagnetic radiation sensitive additive is dispersed in the polymer matrix. 11. The mask as claimed in claim 8 wherein the at least one electromagnetic radiation sensitive additive is infused in the polymer matrix. 12. The mask as claimed in claim 8 wherein the at least one electromagnetic radiation sensitive additive is disposed on the polymer matrix. 13. The mask as claimed in claim 8 wherein the at least one electromagnetic radiation sensitive additive undergoes a chemical reaction at the second wavelength. 14. The mask as claimed in claim 8 wherein the at least one electromagnetic radiation sensitive additive is selected from compounds that undergo a photo elimination reaction, a photo rearrangement reaction, a photo oxidation reaction, and a photo-fries product generation reaction. 15. The mask as claimed in claim 1 wherein the electromagnetic radiation sensitive mask material comprises a single layer. 16. The mask as claimed in claim 1 wherein the electromagnetic radiation sensitive mask material comprises more than one layer. 17. The mask as claimed in claim 1 wherein the first percentage of electromagnetic radiation is greater than the second percentage of electromagnetic radiation. 18. The mask as claimed in claim 1 wherein the first percentage of electromagnetic radiation is less than the second percentage of electromagnetic radiation. 19. The mask as claimed in claim 1 wherein the electromagnetic radiation sensitive mask material has a contrast ratio between an area of the electromagnetic radiation sensitive mask material not exposed to electromagnetic radiation at the second wavelength and an area of the electromagnetic radiation sensitive mask material exposed to electromagnetic radiation at the second wavelength of less than about 5:1. 20. The mask as claimed in claim 1 wherein the electromagnetic radiation sensitive mask material has a contrast ratio between an area of the electromagnetic radiation sensitive mask material not exposed to electromagnetic radiation at the second wavelength and an area of the electromagnetic radiation sensitive mask material exposed to electromagnetic radiation at the second wavelength of between about 4:1 to about 10:1. 21. The mask as claimed in claim 1 wherein the electromagnetic radiation sensitive mask material has a contrast ratio between an area of the electromagnetic radiation sensitive mask material not exposed to electromagnetic radiation at the second wavelength and an area of the electromagnetic radiation sensitive mask material exposed to electromagnetic radiation at the second wavelength of between about 10:1 to about 25:1. 22. The mask as claimed in claim 1 wherein the electromagnetic radiation sensitive mask material has a contrast ratio between an area of the electromagnetic radiation sensitive mask material not exposed to electromagnetic radiation at the second wavelength and an area of the electromagnetic radiation sensitive mask material exposed to electromagnetic radiation at the second wavelength of between about 25:1 to about 100:1. 23. The mask as claimed in claim 1 wherein the electromagnetic radiation sensitive mask material is deformable. 24. The mask as claimed in claim 23 wherein the electromagnetic radiation sensitive mask material can deform by about 30 percent to about 100 percent. 25. The mask as claimed in claim 23 wherein the electromagnetic radiation sensitive mask material can deform by about 100 percent to about 500 percent. 26. The mask as claimed in claim 24 wherein the electromagnetic radiation sensitive mask material can deform at a temperature of less than about 50° C. 27. The mask as claimed in claim 1 wherein the electromagnetic radiation sensitive mask material is between about 1 μm to about 200 μm thick. 28. A method, comprising:exposing at least one selected portion of a mask comprising an electromagnetic radiation sensitive mask material having at least one electromagnetic radiation sensitive portion to electromagnetic radiation at a patterning wavelength to cause a reaction of the at least one electromagnetic radiation sensitive portion such that a pattern is formed in the mask; andsubsequently exposing a substrate to electromagnetic radiation at an exposure wavelength through the mask such that the pattern is formed on the substrate, wherein the substrate is sensitive to electromagnetic radiation at the exposure wavelength. 29. The method as claimed in claim 28 wherein the patterning wavelength and the exposure wavelength are the same. 30. The method as claimed in claim 28 wherein the patterning wavelength and the exposure wavelength are different. 31. The method as claimed in claim 28 comprising the step of contacting a substrate with the mask prior to the step of subsequently exposing a substrate. 32. The method as claimed in claim 31 wherein the step of contacting a substrate comprises contacting a non-planar substrate with the mask and deforming the mask to conform to the non-planar substrate. 33. The method as claimed in claim 32 wherein the step of contacting a substrate further comprises heating said mask prior to deforming the mask to conform to the non-planar substrate. 34. The method as claimed in claim 28 wherein the step of exposing comprises exposing the substrate to electromagnetic radiation at a wavelength of about 365-400 nm. 35. The method as claimed in claim 28 further comprising the step of exposing one or more additional substrates to electromagnetic radiation at an exposure wavelength through the mask after the step of subsequently exposing a substrate. 36. A composition for producing a mask, comprising:a polymer; andat least one electromagnetic radiation sensitive additive, wherein upon processing of the polymer an electromagnetic radiation sensitive mask material is formed, and wherein the electromagnetic radiation sensitive mask material transmits a smaller percentage of electromagnetic radiation at a first wavelength after exposure of the electromagnetic radiation sensitive mask material to electromagnetic radiation at a second wavelength than the electromagnetic radiation sensitive mask material transmits at the first wavelength prior to exposure of the electromagnetic radiation sensitive additive to electromagnetic radiation at the second wavelength. 37. The composition as claimed in claim 36 wherein the polymer comprises an uncured polymer and the processing comprises curing the uncured polymer. 38. The composition as claimed in claim 36 wherein the polymer comprises a thermoplastic polymer. 39. The composition as claimed in claim 36 wherein the polymer comprises a soluble polymer in a solvent and the processing comprises evaporating the solvent. 40. The composition as claimed in claim 36 wherein the at least one electromagnetic radiation sensitive additive is disposed on the processed polymer. 41. The composition as claimed in claim 36 wherein the at least one electromagnetic radiation sensitive additive is dispersed in the processed polymer. 42. The composition as claimed in claim 36 wherein the at least one electromagnetic radiation sensitive additive is selected from compounds that undergo a photo elimination reaction, a photo rearrangement reaction, a photo oxidation reaction, and a photo-fries product generation reaction. 43. The composition as claimed in claim 36 wherein the at least one electromagnetic radiation sensitive additive comprises a leuco dye. 44. The composition as claimed in claim 43 wherein the at least one electromagnetic radiation sensitive additive further comprises a photo acid generator. 45. The composition as claimed in claim 36 wherein the at least one electromagnetic radiation sensitive additive comprises a compound selected from aromatic esters, including but not limited to, bisphenol acetate and catechol diacetate, fluoran derivatives, triarylmethane derivatives, phenolic derivatives, aromatic isocyanate urethane derivatives, urea derivatives, and carbamate derivatives. 46. The composition as claimed in claim 36 wherein the polymer comprises an uncured polymer that produces an electromagnetic radiation transparent polymer matrix upon curing. 47. The composition as claimed in claim 46 wherein the polymer comprises a styrene and ethylene/butylene block copolymer. 48. The composition as claimed in claim 46 wherein the polymer comprises an isoprene polymer or copolymer. 49. The composition as claimed in claim 47 wherein the polymer further comprises maleic anhydride. 50. The composition as claimed in claim 46 wherein the polymer comprises an aliphatic polyurethane. 51. The composition as claimed in claim 41 wherein the polymer comprises a vinyl chloride polymer or copolymer. 52. A composition for producing a mask, comprising:at least one electromagnetic sensitive polymer; andat least one acid sensitive additive, wherein upon processing of the polymer an electromagnetic radiation sensitive mask material is formed, and wherein the electromagnetic radiation sensitive mask material transmits a smaller percentage of electromagnetic radiation at a first wavelength after exposure of the electromagnetic radiation sensitive mask material to electromagnetic radiation at a second wavelength than the electromagnetic radiation sensitive mask material transmits at the first wavelength prior to exposure of the electromagnetic radiation sensitive compound to electromagnetic radiation at the second wavelength. 53. A system, comprising:a source for a first and a second electromagnetic radiation;a mask sensitive to the second electromagnetic radiation;a substrate sensitive to the first electromagnetic radiation; anda device in conjunction with the source for the first and second electromagnetic radiations, wherein the device is capable of exposing the mask to the second electromagnetic radiation such that regions that are selectively opaque to the first electromagnetic radiation are formed in the mask, and wherein the device is capable of subsequently exposing the substrate to the first electromagnetic radiation through the selectively opaque mask such that portions of the substrate are selectively exposed to the first electromagnetic radiation. 54. The system as claimed in claim 53 wherein at least one additional substrate is exposed to the first electromagnetic radiation through the selectively opaque mask subsequent to the exposure of the substrate sensitive to the first electromagnetic radiation. |
|
description | The present invention relates to an X-ray target having a nano focus size and capable of producing X-rays of high intensity, and apparatuses using such an X-ray target. X-rays can be used to make a detailed nondestructive examination of the internal structure of a material. For example, observation by transmission X-ray imaging has extensively been applied to such as the diagnosis of a living body in medical and pharmaceutical fields, the evaluation of various industrial products and an apparatus for the inspection of pieces of luggage in an airport. Other than those utilizing synchrotron radiation, the X-ray sources currently used include an X-ray tube based on the method of striking accelerated electrons on a metallic target in vacuum to emit X-rays from the target. As the X-ray source that can be obtained by this method has a size of several μm generally, the resolution obtainable by the X-ray radiography has remained in several μm, too, which has not been satisfactory. It was reported by the present inventors that in around 1973, an X-ray photograph was taken of an ant with a microfocus X-ray source of 3 μm diameter to observe its body hair and blood vessels (see: Jinpei Harada and Masaru Kuribayashi, “High-Definition Images by Micro-focus X-ray Source”, Japan Society of Photography, vol. 65, No. 7, pp. 495-500, 2002). In this photographing, the X-ray target used was made of gold (Au) and had a thickness of 2 μm. While the X-ray radiographic imaging contrast has been said to be due to the scattering and absorption of X-rays, it is pointed out that the use of a microfocus X-ray source allows a phase-contrast image to be included and therefore to capture the contours of an object if it is such as a hair which is low in density and very small in thickness (see: S. W. Wilkins and four others, “Phase-contrast imaging using polychromatic hard X-ray”, Nature, vol. 384, pp. 335-338, 1996). FIG. 12 is a diagram schematically illustrating a conventional X-ray source. In the Figure, applying an electron beam 100 to a target thin film 101 of thickness t is shown to generate X-rays 102. In this case, electrons 103 diffuse into the target thin film 101 with a diffusion length L. X-rays are also used in the inspection of a semiconductor device, especially an ultra LSI (large Scale Integrated Circuit) by elemental analysis or X-ray photoelectron spectroscopy (XPS) with characteristic X-rays or fluorescent X-rays. For example, the Japanese laid open patent application JP H05-45306 A discloses a method for X-ray analysis in which X-rays radiated from a rotating target as the X-ray source are passed through a fine glass tube to generate a fine X-ray beam of 5 μm in diameter on a specimen. In this method, numbers of fine glass tubes are used to enhance the intensity of X-rays. For this reason, different focus sizes are apt to be produced from different fine glass tubes, and the arrangement is unsuitable for X-ray radiographic or transmission imaging. Further, there are also limits in machining such a fine glass tube. However, the requirement indeed exists for an X-ray source of still finer focus size to meet with semiconductor elements having a minimum feature size of 90 nm or 60 nm. However, while reducing the focus size of a conventional X-ray source as shown in FIG. 12 requires reducing the spot size of an electron beam and increasing the density of electrons in the electron beam for irradiation, the problem arises that if this is done, electrons forming the beam still then diffuse within the X-ray target and fail to give rise to a fine focus X-ray source. Also, if the density of electrons is raised in the beam for irradiation, the problem emerges that this will cause the X-ray target material to be heated to a molten state and then to a sublimed or evaporated state, and will damage the target thin film 101. With these problems taken into account, it is an object of the present invention to provide a nano focus X-ray target capable of generating X-rays of a high intensity and an apparatus using such an X-ray target. In order to achieve the object mentioned above, the X-ray target of the present invention is characterized in that it has a structure in which a first cap layer, a target layer and a second cap layer are successively laminated, wherein said first and second cap layers are each composed of a material which is lower in electron beam absorptivity than that of which said target layer is composed. There is also provided in accordance with the present invention in another construction thereof an X-ray target, characterized in that it is made of a target section composed of a material capable of generating characteristic X-rays and a film with which said target section is covered, wherein said film is composed of a material which is lower in electron beam absorptivity than that of which said target section is composed. In an X-ray target so constructed as mentioned above, said target layer or section is preferably composed of a material capable of generating characteristic X-rays of a wavelength ranging between 0.3 and 10 Å. Said first and second cap layers or said film with which said target section is covered is preferably composed of a material selected from the group which consists of B, C, SiC and B4C. With an X-ray target so constructed as mentioned above, the first and second cap layers which are lower in electron beam absorptivity than the target layer are harder to heat than the target layer. Therefore, if the target layer is heated and molten by the convergent electron beam, the molten target layer 22 will be confined within the first and second cap layers and thereby be prevented from its sublimation or vaporization. As a consequence, the intensity of an electron beam towards the X-ray target can be increased to raise the intensity or brightness of the X-ray source. Further, making the target layer thinner can also make the X-ray source three-dimensionally smaller in focus size. Thus, according to the X-ray target of the present invention, the emission efficiency of X-rays can be improved since the convergent electron beam can be narrowed to the size of the target layer or section and its intensity can be raised for application to the X-ray target. As a consequence, it is possible to make the focus size of the electron beam smaller according to the size of the target layer or the target section. For example, the focus size can be reduced to the nm order. Therefore, it becomes possible to provide a nano focus X-ray target. In the X-ray target so constructed as mentioned above, the target layer or section is preferably in the form of a line or an elliptical shape. Accordingly, even if the X-ray target is irradiated with an electron beam expanded in cross sectional area, the size of the X-ray focus size which is determined by the size of the target layer or section in the form of a line or an elliptical shape is no further increased. As a consequence, nano focus X-rays can be generated efficiently. Since the density of an electron beam can be increased so that the target layer or section may even be molten, the X-ray brightness or intensity can further be increased. In the X-ray target so constructed as mentioned above, such target layers or sections as aforesaid are preferably arranged in the form of a matrix. Also, said target layers or sections arranged in the form of a matrix are preferably linear and/or elliptical in shape, and identical or dissimilar in size. With the X-ray target so constructed as mentioned above, the individual target layer or section may be exchanged by a mechanical operation thereof or changing the position of irradiation with an electron beam and used for a selected time period or the like. This eliminates the need to exchange the X-ray target upon breaking the vacuum of a vacuum chamber, thereby enhancing its convenience. Also, the size of a target layer or target section as an individual matrix element may make the convergent electron beam having a desired focus size. Further, with the individual matrix elements varying in size, selecting one matrix element from another allows the focus size of a convergent electron beam to be varied and hence X-rays of different micro focus sizes to be generated. The X-ray target so constructed as mentioned above is preferably further provided with a support block with which said X-target is held. According to this construction, the X-ray target which is mechanically held by the support block is prevented from bending. Also, the heat is effectively dissipated from the X-ray target by the support block. In accordance with the present invention there is also provided in another form of implementation thereof an X-ray apparatus including an X-ray source made of an electron beam generating section and an X-ray target, characterized in that: said X-ray target has a structure in which a first cap layer, a target layer and a second cap layer are successively laminated, or is made of a target section composed of a material capable of generating characteristic X-rays and a film with which said target section is covered, wherein said first and second cap layers are each, or said film is, composed of a material which is lower in electron beam absorptivity than that of which said target layer or said target section is composed, whereby irradiating said X-ray target with a convergent electron beam generated by said electron beam generating section causes said X-ray target to generate microfocus X-rays. With the X-ray apparatus so constructed as mentioned above, the emission efficiency of X-rays can be improved since the convergent electron beam can be narrowed to the size of the target layer or section and its intensity can be raised for application to the X-ray target. It becomes possible, therefore, to generate nano focus X-rays of high intensity. In the X-ray apparatus so constructed as mentioned above, it is preferable that said electron beam generating section includes an electronic lens, that said X-ray target be disposed with an inclination to the convergent electron beam generated by said electron beam generating section, and that said convergent electron beam be similar in shape to said target layer or section in said X-ray target. The shape is preferably in the form of a line or elliptical shape. With the X-ray apparatus so constructed, since an X-ray target larger in area can be irradiated with a convergent electron beam, the brightness or intensities of X-rays can further be increased by reducing the focus size further. It follows, therefore, that the nano focus X-rays of high intensity can be obtained. The X-ray apparatus so constructed as mentioned above is preferably an X-ray diffraction apparatus further provided with an observatory sample holder section and an X-ray detecting means. So constructed, an X-ray diffraction apparatus can be provided that is capable of measuring the X-ray diffraction of a very small area with a highly intense and nano focus X-ray source. The X-ray apparatus so constructed as mentioned above is also preferably a fluorescent X-ray analysis apparatus further provided with an observatory sample holder section and an X-ray detecting means. So constructed, the fluorescent X-ray analysis apparatus can be provided that is capable of making the fluorescent X-ray analysis of a very small area with a highly intense and nano focus X-ray source. The X-ray apparatus so constructed as mentioned above is preferably further provided with an X-ray optical element. This feature makes it possible to irradiate an observable object efficiently with X-rays of a particular wavelength selected from a microfocus (or nanofocus) and highly intense X-ray source. In accordance with the present invention there is also provided in another form of implementation thereof an X-ray microscope including an electron beam generating section, an X-ray target, an observational sample holder section for an observable object, and an X-ray detecting means, characterized in that said X-ray target has a structure in which a first cap layer, a target layer and a second cap layer are successively laminated, or is made of a target section composed of a material capable of generating characteristic X-rays and a film with which said target layer is covered, wherein said first and second cap layers are each, or said film is, composed of a material which is lower in electron beam absorptivity than that of which said target layer is composed, whereby irradiating said X-ray target with a convergent electron beam generated by said electron beam generating section causes said X-ray target to generate microfocus X-rays, and said microfocus X-rays are used as divergent X-rays to obtain a transmission X-ray image of said observable object. So constructed as mentioned above, the X-ray microscope can be provided that is capable of obtaining an X-ray transmission image in a high resolution with divergent X-rays from microfocus X-rays generated by narrowing a convergent electron beam to the size of a target layer or section and raising its intensity. In the X-ray microscope so constructed as mentioned above, it is preferable that said electron beam generating section comprises an electronic lens, that said X-ray target be disposed with an inclination to the convergent electron beam generated by said electron beam generating section, and that said convergent electron beam is similar in shape to said target layer or section in said X-ray target. Also, said shape is preferably in the form of a line or elliptical shape. So constructed, the X-ray microscope can be provided in which the intensity of microfocus X-rays is further increased. Said transmission X-ray image preferably includes a contrast by phase contrast. This feature makes it possible to provide an X-ray microscope whereby there can be observed not only an absorption image of an observable object but also its phase contrast. Said X-ray detecting means is preferably an image sensor and provided with an image processing means for said image sensor. This feature makes it possible to provide the X-ray microscope that can process a variety of images and is therefore highly convenient. The present invention will better be understood from the following detailed description and the drawings attached hereto showing certain illustrative forms of implementation of the present invention. In this connection, it should be noted that such forms of implementation illustrated in the accompanying drawings hereof are intended in no way to limit the present invention but to facilitate an explanation and understanding thereof. In the Figures, the same reference numerals are used to designate the same or corresponding parts. First Form of Implementation FIG. 1 is a cross sectional diagram illustrating the structure of an X-ray target according to a first form of implementation of the present invention. As shown in the FIG. 1, the X-ray target designated by reference numeral 1 comprises, from the above from which a convergent electron beam 16 is applied, a first cap layer 21, a target layer 22 on which the convergent electron beam 16 is focused to generate X-rays, and a layer 23 consisting of a second cap layer. This X-ray target 1 operates as a so-called transmission type X-ray target which uses components transmitted downwards of the paper, of the X-rays produced at the target layer 22. The first cap layer 21, the target layer 22 where the electron beam generates X-rays, and the layer 23 made of the second cap layer may be of thickness through which the electron beam can be transmitted and so can be the X-rays generated. Each of the first and second cap layers 21 and 23 can be one or more than one layer. Desirably, the first and second cap layers 21 and 23 are each made of a light element through which the accelerated electron beam can easily pass or a compound thereof, which is a material that is lower in electron beam absorptivity than the target layer 22. The first and second cap layers 21 and 23 may be higher in melting point than the target layer 22. It may be that the first and second cap layers 21 and 23 when irradiated with the convergent electron beam 16 is low in electron beam absorptivity or absorptance and will not rise in temperature. In other words, if the target layer 22 is high in electron beam absorptivity, the first and second cap layers 21 and 2 may each be a material that is low in electron beam absorptivity. With the X-ray target 1 so constructed, the first and second cap layers 21 and 23 that are low in electron beam absorptivity are hard to be heated while the target layer 22 that is high in electron beam absorptivity is heated. Here, the first and second cap layer 21 and 23 may each be a layer, or a composite or multilayer of boron (B; with a melting point of about 2300° C.), carbon (C; with a melting point of about 3500° C. or more), SiC (with a melting point of about 2700° C.), B4C (with a melting point of about 2350° C.) or the like while the target layer 22 may be made of a material that is higher in electron beam absorptivity than the first and second cap layers 21 and 23. Otherwise, the target layer 22 may be of a material that is lower in melting point than the first and second cap layers 21 and 23. As such a material, use may be made of a metal such as Co, Cu, Fe, Mo or W which may be an element whose characteristic X rays have a wavelength in a range between 0.3 and 10 Å. The target layer 22 may comprise a multilayer, i.e., layers of different metals such as to generate X-rays of different wavelengths. The target layer 22 may not only be of a single metallic element but may be of a compound layer containing a special element such as to generate characteristic X-rays having a distinctive characteristic or characteristics. As such an element, for example, La (lanthanum) may be mentioned. The first cap layer 21 irradiated with the convergent electron beam 16 may further be formed thereon with an antistatic layer 24. The antistatic layer 24 is composed of an electrically conductive layer, preferably a light element or a compound containing a light element, e.g., carbon (C). Mention is next made of a modification of the X-ray target according to the first form of implementation. FIG. 2 is a cross sectional diagram illustrating the structure of such a modification of the X-ray target according to the first form of implementation. An X-ray target 20 as shown in FIG. 2 differs from the X-ray target 1 in that it is of so-called reflection type, i.e., it uses the X-rays generated on the side of the convergent electron beam 16. In case of the reflection type, the lower second cap layer 23 may be made thicker. The constructions in the other respects are the same as those of the X-ray target 1 in the first form of implementation and their repeated explanation is omitted. An X-ray target may also be constructed as follows. An X-ray target 25 as shown in FIG. 3 comprises a target section 26 for generating X-rays 17 with a convergent electron beam 16, and a film 27 with which the target section 26 is covered entirely or enveloped. The target section 26 as is the target layer 22 in the X-ray target 1 is made of a metal such as Co, Cu, Fe, Mo or W and made in the form of a film of such a heavy element in which characteristic X-rays are of a wavelength range between 0.3 and 10 Å. On the other hand, the film 27 as are the first and second cap layers 21 and 23 in the X-ray target 1 is made of a light element through which the accelerated electron beam can easily pass or a compound thereof, which is a material that is lower in electron beam absorptivity than the target section 26. For the film 27, use may be made, for example, of a layer, or a composite or multilayer of boron (B; with a melting point of about 2300° C.), carbon (C; with a melting point of about 3500° C. or more), SiC (with a melting point of about 2700° C.), B4C (with a melting point of about 2350° C.) or the like. FIG. 4 is a cross sectional diagram illustrating an exemplary planar structure of the X-ray targets 1, 20 according to the first form of implementation. In FIG. 4, while only the target layers 22 of the X-ray targets 1, 20 are shown, the target layers 22 are individually linear, and a number of them are arranged with a given spacing in X- and Y-axial directions in the form of a matrix, 22a to 22r. The target layers 22 may also be each elliptical or oval shape. They may also be each in the form of an elongated oval shape, i.e., in the form of a “koban” or small-sized Japanese gold coin containing straight lines. The term “elliptical” as it is used to refer to the shape of a target layer or section is intended to mean both oval and elongated oval shapes. While the target layers 22a to 22r are shown as being all linear in the same size, their matrix structure may be linear or elliptical shape, or a combination of lines and ellipses. They may be varied in a variety of sizes. If they are varied in size, X-rays can be generated which have their focus sizes according to their sizes. The target layer can be replaced with another target layer as a separate matrix element, since a number of target layers 22a to 22r are arranged in the form of a matrix and embedded in the first and second cap layers 22 and 23, if the intensity of X-rays of a target layer as one matrix element is weakened. The matrix element may be exchanged and used per a selected time period or the like. Exchanging a matrix element may be performed by a mechanical operation or by changing the position of irradiation with an electron beam. Specifically, it can be done by driving a placement section holding the X-ray targets 1, 20 or changing electrically the position at which the electron beam 16 is focused. Alternatively, the individual matrix element metals of the different target layers 22 may be varied and disposed. In this case, microfocus X-rays having different wavelengths can be generated from the separate target layers 22. The shape of the target layers 22 can apply to the target sections 26 of the X-ray target 25. A size of a target layer 22 or target section 26 as an individual matrix element may make the convergent electron beam 16 have a desired focus size. With the individual matrix elements varying in size, selecting one matrix element from another allows the focus size of the convergent electron beam 16 to be varied and hence X-rays 17 of different micro focus sizes to be generated. The X-ray targets 1, 20 can be prepared by the vapor deposition of a metal becoming target layers 22 on the whole surface of a second cap layer 23, causing the metal to be selectively etched, e.g., by photo lithography, to form a number of the target layers and then depositing thereon a first cap layer 21 to a selected thickness. Mention is made of operations of the X-ray target according to the first form of implementation. According to the X-ray targets 1, 20, 25, the accelerated convergent electron beam 16 when passing through the films made of a light element compound 21, 23, 27 which is low in electron beam absorptivity is not much absorbed by it, thus penetrating it while restraining the target layer 22 or the target section 26 within the film structure from being heated. And, if the first and second cap layers 22 and 23 or the film 27 with which the target section 26 is covered entirely are made lower in electron beam absorptivity or higher in melting point than the target layer 22 or the target section 26, it is seen that if the target layer 22 or the target section 26 is heated by the convergent electron beam 16 and thereby molten, the molten target layer 22 or target section 26 can then be confined within the films of the film structure by the cap layers 22 and 23 or the film (cap layer) 27 made of a light element compound and can thereby be prevented from its sublimation or vaporization. As a consequence, the intensity of the convergent electron beam 16 can be increased to raise the intensity or brightness of the X-ray source. Further, making the target layer 22 or target section 26 thinner can also make the X-ray source three-dimensionally smaller in focus size. According to the X-ray targets 1, 20, 25 of the first form of implementation, the emission efficiency of X-rays can be improved, since the convergent electron beam 16 can be narrowed to the size of the target layer 22 or the target section 26 and its intensity can be raised for application to the X-ray targets 1, 20, 25. As a consequence, it is possible to make the focus size of the electron beam smaller according to the size of the target layer 22 or the target section 26. For example, the focus size can be reduced to the nanometer (nm) order. Therefore, nano focus X-rays 17 can be obtained. The Second Form of Implementation FIG. 5 is a schematic diagram illustrating the construction of an X-ray apparatus according to a second form of implementation of the present invention. In FIG. 5, an X-ray apparatus 30 is shown to be an X-ray generator with an X-ray source comprising an electron beam generating section 10 and the X-ray target 1. The electron beam generating section 10 comprises a heater 12 disposed in a vacuum chamber 11 for generating electrons and an electron beam focusing part 13 for focusing electrons emitted from the heater 12 into a convergent electron beam 16. The X-ray target 1 is irradiated with the convergent electron beam 16 to emit X-rays 17 of a nano focus size. The electron beam focusing part 13 is made of, e.g., electronic lenses 14 and 15 in two stages and can focus the convergent electron beam 16 to around several nm. These electronic lenses may use an electrostatic lens by an electric field or a magnetic lens by a magnetic field. The X-ray target 1 is the same in construction as the X-ray target 1 according to the first form of implementation and its repeated explanation is omitted. The X-ray target 1 is disposed to extend in a plane perpendicular to the sheet of FIG. 5 (and containing a vertical line in FIG. 5) or in a plane with an inclination to that plane. Preferably, the X-ray target 1 is disposed to extend in a plane with an angle of inclination θ to the plane perpendicular to the sheet of FIG. 5 to increase the intensity or brightness of X-rays 17 of the nano focus size. In this case, the shape of the target layer 22 in the X-ray target 1 may be in the form of a line or an ellipse with its long axis elongated. The shape of the convergent electron beam 16 may be adapted to conform to the angle and be similar to the shape of the target layer 22 in the X-ray target 1. With said angle θ being about 10 degrees, it is possible to raise the intensity of micro focus X-rays tenfold (1/sin 10°=10). When it is mentioned that the target layer 22 and the convergent electron beam 16 are shaped in the form of a line or an elliptical shape, it should be understood that the geometrical strictness is not the intention but it is intended that they are generally so shaped. The X-ray target 1 used in this form of implementation may alternatively comprise the X-ray targets 20 and 25. In any case, the X-ray targets 1, 20, 25 is preferably fastened to the upper surface of a support block so that the filmy X-ray targets 1, 20, 25 may be held mechanically against its bending when they are irradiated with the convergent electron beam 16. For example, such a support block 35 as shown in FIG. 6 is in the form of a tubular truncated cone having a hollow 35A passing through its center. The hollow 35A is preset to be larger in size than the size of the convergent electron beam 16 so that the latter may be passed through without hitting on the body of the support block 25. The support block 35 is made of a material that is high in thermal conductivity such as copper to dissipate the heat generated at the X-ray targets 1, 20, 25 when the convergent electron beam 16 is applied thereto. It is designed to cause the heat created at the X-ray target 1 to be transferred to the support block. Further, the support block 35 may be provided internally with piping for cooling (not illustrated). In this case, circulating a coolant such as water through the piping for cooling further enhances the cooling effect. Mention is next made of a modification of the X-ray apparatus according to the second form of implementation. FIG. 7 is a schematic diagram illustrating the construction of such a modification of the X-ray apparatus according to the second form of implementation. The X-ray apparatus shown in the Figure as designated by reference numeral 40 differs from the X-ray apparatus 30 in that it uses the X-ray target 20 of reflection type as its X-ray target. As this X-ray target is the same in construction as the X-ray target 20 according to the first form of implementation, its repeated explanation is omitted. For the X-ray targets 1, 20, 25 in the X-ray apparatuses 30, 40, it is preferable to use the structure that a number of target layers are arranged in the form of a matrix as shown in FIG. 4. An individual matrix element may be exchanged by a mechanical operation thereof or changing the position of irradiation with an electron beam and used for a selected time period or the like. Thus, exchanging a target layer 22 and using it for a selected time period or the like eliminates the need to exchange an X-ray targets 1, 20, 25 upon breaking the vacuum of the vacuum chamber 11, thereby enhancing its convenience. Mention is next made of operations of the X-ray apparatus according to the second form of implementation of the invention. According to the X-ray targets 1, 20, 25 used in the X-ray apparatuses 30, 40 of the present invention, the accelerated convergent electron beam when passing through the films of the first and second cap layers 21 and 23 made of a light element compound is not much absorbed by it, thus penetrating it while restraining the target layer 22 within the multilayer film structure from being heated. As a result, the intensity of the convergent electron beam 16 can be increased. Therefore, the brightness of the X-ray source can be raised. Further, making the target layer 22 thinner can also make the X-ray source three-dimensionally smaller in focus size. According the X-ray apparatuses 30, 40, the X-ray emission efficiency can be improved, since the convergent electron beam 16 can be narrowed to the size of the target layer 22 or the target section 26 and its intensity can be raised for application to the X-ray targets 1, 20, 25. As a consequence, it is possible to make the focus size of the electron beam smaller according to the size of the target layer 22 or the target section 26. For example, the focus size can be reduced to the nm order. Therefore, the nano focus X-rays 17 can be obtained. The Third Form of Implementation Mention is made of an X-ray microscope using an X-ray generator according to a third form of implementation of the present invention. FIG. 8 is a schematic diagram illustrating the construction of such an X-ray microscope using an X-ray generator. As shown in the Figure, The X-ray microscope 50 according to the present invention comprises the X-ray generator 30, an observational sample holder section 51 and an X-ray detecting means 52. Microfocus X-rays 17 generated from the X-ray generator 30 becomes divergent X-rays 57 and are detected as an image at the X-ray detecting means 52. The observational sample holder section 51 may be equipped with stages for freely adjusting X-, Y- and Z-axis movements, rotation (φ) of the X-Y plane and angle (χ). The X-ray generator 30 may replace the X-ray generator 40. The X-ray detecting means 52 can use an X-ray film, an imaging plate or an image sensor such as CCDs. If the X-ray detecting means 52 comprises an image sensor such as CCDs, the same can further be furnished with an image processing means. This image processing means can process signals from CCDs, display on a display device and tint a contrast by a phase contrast or absorption method to be described later. Mention is next made of operations of the X-ray microscope according to the present invention. The microfocus X-ray source 17 provides divergent X-rays 57, which then make an image. Here, assuming that the distance between the microfocus X-ray source 17 and an object to be observed 53 is A and that the distance between the object 53 and the X-ray detecting means 52 is B, then the magnification m becomes m=(A+B)/A. The magnification can be adjusted by change of the intervals of A and B. Such an adjustment may be made by moving the observational sample holder section 51 up and down along the Z-axis. It follows therefore that having the X-ray source with a micro focus size of several nm, the X-ray microscope of the present invention can have its resolution reduced to such a reduced focus size, though depending upon the resolution of the X-ray detecting means 52. Consequently, when using a two-dimensional image sensor as the X-ray detecting means 52, it is preferable to select a pixel number therefor commensurate with the resolution. The X-ray microscope of the present invention, using X-rays having a micro focus size of several nm and high intensity, makes it possible to readily obtain a resolution of several nm. Further, an observable object image can be obtained with a contrast by both absorption and phase contrast of the object. FIG. 9 is a diagram schematically illustrating a contrast by phase contrast or phase differences. Incident divergent X-rays 57 emitted from the microfocus X-ray source 17 penetrate the observable object 53 and are caused to have their phases varied by changes in density and changes in external form of the object, creating their wave surfaces 58. And, X-ray photons 59 advance in directions perpendicular to the wave surfaces 58 and are incident upon the X-ray detecting means 52 to form an image 52A. In the Figure, the contrast of the image 52A is shown to be created at an edge of the observable object 53. According to the X-ray microscope 50 of the present invention, an image is obtained that is due to both X-ray absorption and phase contrast. If the X-ray detecting means 52 is an image sensor such as CCDs and is provided further with an image processing means, then the image can be processed with a view taken of its contrast intensity. Using the X-ray microscope to irradiate a living body as the observable object 53 with microfocus X-rays while utilizing the phase contrast makes it possible to obtain an X-ray image that can depict in detail hairs and blood vessels of, e.g., an insect while simultaneously expressing the three-dimensional shape of an eyeball thereof. Although this is an example of a living body as the observable object 53, the X-ray microscope according to the third form of implementation can be applied to other than living bodies and to a structure such a semiconductor element, a very large scale integrated circuit and a nano structure for their nondestructive inspections and analyses. Fourth Form of Implementation Mention is made of an X-ray diffraction apparatus according to a fourth form of implementation of the present invention. FIG. 10 is a schematic diagram illustrating the construction of such an X-ray diffraction apparatus according to the fourth form of implementation of the present invention. The X-ray diffraction apparatus 60 of the present invention comprises an X-ray generator 30, an observational sample holder section 51 and an X-ray detecting means 52. Microfocus X-rays 17 generated from the X-ray generator 30 are diffracted through a slit 61 to form only characteristic X-rays 62 desired to be incident onto an observable object 63 such as a single crystal. The slit 61 may be an X-ray optical element for selecting X-rays of a particular wavelength. Such an X-ray optical element comprises an optical element such as a spectrometer (monochromator) or a mirror or a combination of them. The observable object can efficiently be irradiated only with a characteristic wavelength component of microfocus X-rays 17 generated from the X-ray generator 30. And, diffracted X-rays 64 from the observable object 63 are detected at the X-ray detecting means 52. The X-ray detecting means 52 may use such as an X-ray film or imaging plate. Then, so that only diffracted X-rays 64 make an image and so as to prevent the X-rays 62 which are not diffracted but going straight on from being incident on the X-ray film 52, there is provided a douser 65. The observational sample holder section 51 may be provided with stages capable of freely adjusting the movements in the directions of X-, Y- and Z-axes for the observable object 63, the rotation (φ) of the X-Y plane and the angle (χ). Effecting a translational scanning movement of this observational sample holder section 51 together with the X-ray detecting means 52 allows an X-ray diffraction image in a plane of the observable object 63 to be obtained. The X-ray generator 30 may alternatively use the X-ray generator 40. According to the X-ray diffraction apparatus of the present invention that uses the X-ray generator with the X-ray source of a micro focus size of several nm and high intensity, an X-ray diffraction image of a very small area can easily be obtained. Fifth Form of Implementation Mention is made of a fluorescent X-ray analysis apparatus according to a fifth form of implementation of the present invention. FIG. 11 is a schematic diagram illustrating the construction of such a fluorescent X-ray analysis apparatus according to the fifth form of implementation of the present invention. As shown in the Figure, the fluorescent X-ray analysis apparatus 70 comprises an X-ray generator 30, an observational sample holder section 51 and an X-ray detecting means 76. Microfocus X-rays 17 generated from the X-ray generator 30 are diffracted through a slit 71 to form only characteristic X-rays 72 desired to be incident on an observable object 73 where fluorescent X-rays 74 and reflected X-rays 75 are generated. The slit 71 may be an X-ray optical element for selecting X-rays of a particular wavelength. Such an X-ray optical element comprises an optical element such as a spectrometer (monochromator) or a mirror or a combination of them. And, fluorescent X-rays 74 from the observable object 73 are detected by the X-ray detecting means 76. The X-ray detecting means 76 may use a scintillation detector including a spectrometer 76a for the analysis of X-ray wavelengths. It may alternatively be a gas flow proportional counter, or an energy dispersive semiconductor detector. In particular, with an energy dispersive semiconductor detector, the spectrometer 76a is unnecessary. The observational sample holder section 51 may be provided with stages capable of freely adjusting the movements in the directions of X-, Y- and Z-axes, the rotation (φ) of the X-Y plane and the angle (χ). Effecting a translational scanning movement of this observational sample holder section 51 together with the X-ray detecting means 76 allows a fluorescent X-ray distribution in a plane of the observable object 73 to be obtained. Further, the X-ray generator 30 may alternatively use the X-ray generator 40. According to the fluorescent X-ray analysis apparatus of the present invention that uses the X-ray generator with the X-ray source of a micro focus size of several nm and high intensity, the fluorescent X-ray analysis of a very small area can easily be made. As mentioned in the foregoing, according to the X-ray microscope using the X-ray generator of the present invention, the transmission image of an observable object which cannot be obtained with an optical microscope by visible light, a SEM, a scanning tunneling microscope or an atomic force microscope in a surface height image can be observed with high resolution. Also, according to the X-ray diffraction apparatus using the X-ray generator of the present invention, diffraction X-ray images can be obtained of very small areas of various observable objects. Further, according to the fluorescent X-ray analysis apparatus using an X-ray generator of the present invention, the fluorescent X-ray analysis can be made of a very small area of one of various observable objects. It is needless to say that the invention is not intended to be limited to the specific embodiments thereof set forth above, but to include all possible embodiments that can be made within the scope with respect to the features specifically set forth in the appended claims. According to an X-ray target of the present invention, it is possible to provide an X-ray target capable of generating highly intense X-rays having a micro focus size in an nm order. According to an X-ray generator of the invention, it is possible to generate X-rays which are highly intense and have a micro focus size in an nm order. According to an X-ray diffraction apparatus of the present invention, it is possible to measure the X-ray diffraction of a very small area with a highly intense and microfocus X-ray source. According to a fluorescent X-ray analysis apparatus of the invention, it is possible to make the fluorescent X-ray analysis of a very small area with a highly intense and microfocus X-ray source. Further, according to an X-ray microscope of the present invention, it is possible to provide a microscope with a high resolution of several nm that has never been achieved heretofore and to obtain a contrast image by phase contrast together with a conventional image by absorption. |
|
abstract | The present invention relates to an anti-fretting wear spacer grid having a plurality of canoe-shaped springs formed thereon, wherein each of the canoe-shaped springs includes: a fuel rod-contacting part having a flat surface having a predetermined longitudinal length so as to have linear contact with the fuel rod; a curved face-connecting part formed on the upper and lower portions of the fuel rod-contacting part; a leg-connecting part formed on the end portion of the curved face-connecting part; and legs each being formed of a plate shape having a predetermined length and connecting the both sides of the leg-connecting part with one unit grid cell surface. |
|
051464810 | summary | FIELD OF THE INVENTION This invention relates to X-ray lithography. More particularly, the invention relates to a substantially compressive stress free, pin-holes and defects free, continuous polycrystalline diamond membrane for X-ray lithography application, and to a method for producing same. BACKGROUND OF THE INVENTION X-ray lithography, because of its high resolution and excellent process latitude, offers considerable benefits over other lithography methods for producing devices with lateral dimensions in the vicinity of 0.5 micron and below. The membranes that are used in X-ray lithography typically comprise a thin foil substrate supporting an X-ray absorbing pattern. Since the thin foil substrate is usually only a few micrometers thick, it usually requires an additional peripheral supporting structure. Selection of a suitable material for the X-ray lithography membrane is not a simple process for many reasons. For example, an X-ray lithography membrane should have a usable area as large a possible (ideally as large as a silicon wafer), a thickness in the micron (.mu.m) range, to minimize absorption of X-rays and subsequent rise in membrane temperature, virtually absolute flatness, high strength, dimensional and mechanical stability against radiation, humidity, and heat, and compatibility with X-ray absorber materials such as gold, tantalum and tungsten. The membrane must also have sufficient transparency to X-rays so that adequate contrast can be achieved, and transparency to visible light for alignment purposes. To meet these requirements, materials such as silicon, boron doped silicon, boron nitride, silicon nitride (Si.sub.3 N.sub.4 and SiN.sub.x), silicon oxide (SiO.sub.2 and SiO.sub.x), beryllium, silicon carbide (SiC and SiC.sub.x), tungsten carbide, silicon oxynitride, alumina, Mylar, and Kapton have been employed as X-ray lithography membranes. Illustrative are the following references: U.S. Pat. Nos. 3,742,230; 3,925,677; 4,037,111; 4,198,263; 4,260,670;.Yamada, et al., Microelectronic Eng'r 9, 135-138 (1989); Mackens, et al., Ion-Beam Technology, Submicrometer Lithographics VII, 9-15 (1988); Suzuki, Electron Beam, X-ray and Ion Beam Lithographics VI, 23-29 (1987), Ku, et al., J. Vac. Sci. TechnoI. B. 6, No. 6, 2174-2177 (1988); Uzoh, et al., J. Vac. Sci. Technol. 6, No.6, 2178-2183 (1988); Aiyer, et al., Thin Solid Films 163, 229-232 (1988). These disclosed materials meet some of the above mentioned requirements well but only marginally satisfy one or more of the other requirements. For example, membranes made of boron nitride have been noted to lose optical transparency when exposed to X-rays from a synchrotron radiation source. The optical and X-ray transparencies of many of the above described materials have also been noted to decrease with increasing thickness (generally above 1 .mu.m). Further, because of the low thermal conductivity of the current X-ray membrane materials, the temperature of these membranes has been noted to rise with the absorption of X-rays by the absorber material. This rise in temperature coupled with the high coefficient of thermal expansion of these materials results in significant distortion of the pattern and damage to the membrane. X-ray lithography membranes made out of thin diamond film (a pure crystalline carbon structure) offer a viable solution to many of the problems experienced by the current X-ray lithography membrane materials. Diamond has a high thermal conductivity (.about.2000 W.sup.-1 m.sup.-1 K.sup.-1) which facilitates the rapid dissipation of heat generated by the absorption of X-rays. It also minimizes the pattern distortion due to its low coefficient of thermal expansion (.about.1.1.times.10.sup.-6 /.degree.C.) and high Young's modulus. Additionally, diamond is extremely hard, X-ray and optically transparent, and extremely durable and resistant to chemical attack. Several prior art techniques have been disclosed for producing diamond (or amorphous carbon) membranes. Illustrative are the following references: U.S. Pat. No. 4,436,797; and Japanese, Patent Application Nos. 63-979, 62-17152, and 62-89586. U.S. Pat. No. 4,436,797 describes a process for fabricating a X-ray membrane from amorphous carbon deposited on silicon wafer by plasma assisted CVD using a mixture of hydrogen and hydrocarbon. Japanese Patent Application No. 63-979 filed on 5 Jan. 1988 discloses a X-ray lithography membrane made of diamond film. The thickness of diamond film is claimed to be .about.1 .mu.m, and is deposited on silicon wafer by hot filament, high-frequency plasma, or microwave plasma CVD method with CH.sub.4 /H.sub.2 mixture at 800.degree. to 1000.degree. C. and pressure of several torr. This patent application fails to provide details of essential processing parameters, such as composition and flow rate of feed gas and operating pressure, required to deposit thin diamond film either in tension or free of compressive stresses. Japanese Patent Application No. 62-17152 filed on 29 Jan. 1987 describes the use of diamond thin film as a X-ray lithography membrane. It claims that the use of CVD diamond film as a X-ray membrane has been difficult because of rough surface finish. The surface roughness of CVD diamond has been reduced by polishing, thereby enabling one to use it as X-ray membrane. The diamond film is deposited on silicon by using either microwave plasma CVD or hot-filament CVD. A gaseous feed mixture containing -% CH4 in H2 was used at 900.degree. C. substrate and 2000.degree. C. filament temperatures to deposit diamond by HFCVD. This patent application fails to provide details of processing parameters required to deposit diamond film either in tension or free of compressive stresses. Japanese Patent Application No. 62-89586 filed on 10 Apr. 1987 discloses a X-ray membrane made of carbon-based film containing diamond crystals. The carbon-based membrane is produced by ionizing a mixture of hydrogen, hydrocarbon, organic compound and inert gas by an ion beam in a vacuum chamber. A drawback of these prior art techniques is that since the coefficient of thermal expansion of diamond (.about.1.1.times.10.sup.-6 .degree.C..sup.-1) is considerably lower than that of the base silicon material (.about.4.2.times.10.sup.-6 .degree.C..sup.-1), the diamond film is generally deposited with residual compressive stresses. These compressive stresses produce wrinkles in the film when the base material is removed by chemical etching, thereby producing poor quality X-ray membrane. It is therefore an object of this invention to provide a substantially compressive stress free, pin-holes and defects free, continuous polycrystalline diamond thin membrane for X-ray lithography. It is a further object of this invention to provide a substantially compressive stress free, substantially optically and X-ray transparent membrane for X-ray lithography. It is a further object of this invention to provide a low cost and efficient process for producing a substantially compressive stress free, pin-holes and defects free, and substantially optically and X-ray transparent continuous polycrystalline diamond membrane for X-ray lithography. SUMMARY OF THE INVENTION The disclosed membrane for X-ray lithography substantially reduces or eliminates the disadvantages and shortcomings associated with the prior art techniques. The invention discloses a substantially compressive stress free, pin-holes and defects free, continuous polycrystalline diamond membrane and a peripheral supporting structure (substrate) for X-ray lithography application. The invention also discloses a method for fabricating the polycrystalline diamond X-ray lithography membrane. According to the method, the substrate surface is initially prepared by ultrasonically bathing the substrate in a slurry of diamond particles and volatile solvent. After the substrate surface is prepared, the substrate is placed into a hot filament chemical vapor deposition reactor and pre-heated in the presence of an inert gas for a predetermined period of time. The substrate is then heated to a predetermined temperature in the presence of a gaseous mixture of flowing hydrogen and hydrocarbon compounds and the polycrystalline diamond membrane is chemically vapor deposited onto the substrate. Once the polycrystalline diamond membrane has been deposited on the substrate, the coated substrate is cooled and removed from the reactor. An etch resistance mask is then applied to the back surface of the substrate to define one or more openings. A preferential chemical etchant is then employed to etch the back surface of the substrate, resulting in a substantially compressive stress free, pin-holes and defects free, continuous polycrystalline diamond membrane supported by a peripheral supporting structure. |
description | The present application is a continuation-in-part of U.S. Non-provisional patent application Ser. No. 11/352,601, filed Feb. 13, 2006, now U.S. Pat. No. 7,676,016, which in turn claims the benefit of U.S. Provisional Patent Application 60/652,363, filed Feb. 11, 2005, the entireties of which are hereby incorporated by reference in its entirety. The present invention relates generally to the field of storing high level waste, and specifically to systems and methods for storing spent nuclear fuel in ventilated vertical modules that utilize passive convective cooling. In the operation of nuclear reactors, it is customary to remove fuel assemblies after their energy has been depleted down to a predetermined level. Upon removal, this spent nuclear fuel is still highly radioactive and produces considerable heat, requiring that great care be taken in its packaging, transporting, and storing. In order to protect the environment from radiation exposure spent nuclear fuel is first placed in a transportable canister. An example of a typical canister used to transport, and eventually store, spent nuclear fuel is disclosed in U.S. Pat. No. 5,898,747 to Krishna Singh, issued Apr. 27, 1999. Such canisters are commonly referred to in the art as multi-purpose canisters (“MPCs”) and are hermetically sealable to effectuate the dry storage of spent nuclear fuel. Once the canister is loaded with the spent nuclear fuel, the loaded canister is transported and stored in large cylindrical containers called casks. A transfer cask is used to transport spent nuclear fuel from location to location while a storage cask is used to store spent nuclear fuel for a determined period of time. In a typical nuclear power plant, an open empty canister is first placed in an open transfer cask. The transfer cask and empty canister are then submerged in a pool of water. Spent nuclear fuel is loaded into the canister while the canister and transfer cask remain submerged in the pool of water. Once fully loaded with spent nuclear fuel, a lid is typically placed atop the canister while in the pool. The transfer cask and canister are then removed from the pool of water, the lid of the canister is welded thereon and a lid is installed on the transfer cask. The canister is then properly dewatered and back filled with inert gas. The canister is then hermetically sealed. The transfer cask (which is holding the loaded and hermetically sealed canister) is transported to a location where a storage cask is located. The canister is then transferred from the transfer cask to the storage cask for long term storage. During transfer from the transfer cask to the storage cask, it is imperative that the loaded canister is not exposed to the environment. One type of storage cask is a ventilated vertical overpack (“VVO”). A VVO is a massive structure made principally from steel and concrete and is used to store a canister loaded with spent nuclear fuel. Existing VVOs stand above ground and are typically cylindrical in shape and extremely heavy, weighing over 150 tons and often having a height greater than 16 feet. VVOs typically have a flat bottom, a cylindrical body having a cavity to receive a canister of spent nuclear fuel, and a removable top lid. In using a VVO to store spent nuclear fuel, a canister loaded with spent nuclear fuel is placed in the cavity of the cylindrical body of the VVO. Because the spent nuclear fuel is still producing a considerable amount of heat when it is placed in the VVO for storage, it is necessary that this heat energy have the ability to escape from the VVO cavity. This heat energy is removed from the outside surface of the canister by passively ventilating the VVO cavity using natural convective forces. In passively ventilating the VVO cavity, cool air enters the VVO chamber through bottom ventilation ducts, flows upward past the loaded canister, and exits the VVO at an elevated temperature through top ventilation ducts. The bottom and top ventilation ducts of existing VVOs are located circumferentially near the bottom and top of the VVO's cylindrical body respectively, as illustrated in FIG. 1. While it is necessary that the VVO cavity be vented so that heat can escape from the canister, it is also imperative that the VVO provide adequate radiation shielding and that the spent nuclear fuel not be directly exposed to the external environment. The inlet duct located near the bottom of the overpack is a particularly vulnerable source of radiation exposure to security and surveillance personnel who, in order to monitor the loaded overpacks, must place themselves in close vicinity of the ducts for short durations. Additionally, when a canister loaded with spent nuclear fuel is transferred from a transfer cask to a storage VVO, the transfer cask is stacked atop the storage VVO so that the canister can be lowered into the storage VVO's cavity. Most casks are very large structures and can weigh up to 250,000 lbs. and have a height of 16 ft. or more. Stacking a transfer cask atop a storage VVO/cask requires a lot of space, a large overhead crane, and possibly a restraint system for stabilization. Often, such space is not available inside a nuclear power plant. Finally, above ground storage VVOs stand at least 16 feet above ground, thus, presenting a sizable target of attack to a terrorist. FIG. 1 illustrates a traditional prior art VVO 1. The prior art VVO 1 comprises a flat bottom 7, a cylindrical body 2, and a lid 4. The lid 4 is secured to a cylindrical body 2 by a plurality of bolts 8. The bolts 8 serve to restrain separation of the lid 4 from the body 2 if the prior art VVO 1 were to tip over. The cylindrical body 2 has a plurality of top ventilation ducts 5 and a plurality of bottom ventilation ducts 6. The top ventilation ducts 5 are located at or near the top of the cylindrical body 2 while the bottom ventilation ducts 6 are located at or near the bottom of the cylindrical body 2. Both the bottom ventilation ducts 6 and the top ventilation ducts 5 are located around the circumference of the cylindrical body 2. The entirety of the prior art VVO 2 is positioned above grade and, therefore, suffers from a number of the drawbacks discussed above and remedied by the present invention. It is therefore an object of the present invention to provide a system and method for storing high level waste, such as spent nuclear fuel, that reduces the height of the stack assembly during canister transfer procedure. Another object of the present invention to provide a system and method for storing high level waste, such as spent nuclear fuel, that requires less vertical space. Yet another object of the present invention is to provide a system and method for storing high level waste, such as spent nuclear fuel, that utilizes the radiation shielding properties of the subgrade during storage while providing adequate passive ventilation of the high level waste. A further object of the present invention is to provide a system and method for storing high level waste, such as spent nuclear fuel, that provides the same or greater level of operational safeguards that are available inside a fully certified nuclear power plant structure. A still further object of the present invention is to provide a system and method for storing high level waste, such as spent nuclear fuel, that decreases the dangers presented by earthquakes and other catastrophic events and virtually eliminates the potential damage from a World Trade Center or Pentagon type of attack on the stored canister. It is also an object of the present invention to provide a system and method for storing high level waste, such as spent nuclear fuel, that allows an ergonomic transfer of the high level waste from a transfer cask to a storage VVO. Another object of the present invention is to provide a system and method for storing high level waste, such as spent nuclear fuel, below grade. Yet another object of the present invention is to provide a system and method of storing high level waste, such as spent nuclear fuel, that reduces the amount of radiation emitted to the environment. Still another object of the present invention is to provide a system and method of storing a plurality of canisters containing high level waste in separate below grade cavities while facilitating adequate passive ventilated cooling of each canister. These and other objects are met by the present invention which in one aspect is a system for storing high level waste emitting a heat load, comprising: an air-intake shell forming a substantially vertical air-intake cavity; a plurality of storage shells, each storage shell forming a substantially vertical storage cavity; a hermetically sealed canister for holding high level waste positioned in each of the storage cavities so that a gap exists between the storage shell and the canister, the horizontal cross-section of each storage cavity accommodating no more than one canister; a removable lid positioned atop each of the storage shells so as to form a lid-to-shell interface, the lid containing an outlet vent forming a passageway between an ambient environment and the storage cavity; and a network of pipes forming a passageway between a bottom portion of the intake cavity and a bottom portion of each of the storage cavities. Preferably, the system of the present invention is used to store spent nuclear fuel in a below grade environment. In such an embodiment, the storage shells are positioned so that at least a major portion of their height is located below grade (i.e., below the surface level of the ground). The network of pipes are also located below grade while the lids positioned atop the storage shells are located above grade. A radiation absorbing material preferably surrounds the storage shells and covers the network of pipes. The radiation absorbing material can be concrete, an engineered fill, soil, and/or a combination thereof. It is further preferable that the storage shells, the air-intake shell, the network of pipes, and all connections therebetween be hermetically constructed so as to prohibit the ingress of below grade liquids. The air-intake shell, the storage shells and the network of pipes are preferably constructed of a metal or alloy. All connections can be achieved by welding or other suitable procedures that result in an integral hermetic structure. In this below grade embodiment of the system, the air-intake cavity forms an air passageway between the above grade air and the network of pipes. Similarly, the vents in the lids positioned atop the storage shells form passageways between the storage cavities and the above grade air. As a result of this design, when the hermetically sealed canisters (which are loaded with the hot high level waste) are loaded in the storage cavities, cool ambient air will enter the air-intake cavity, travel through the network of pipes, and enter the bottom portion of the storage cavities. Heat from the high level waste within the canisters will warm the cool air causing it to rise through the gap that exists between the storage shell and the canister. Upon continuing to rise, the heated air will then exit the storage cavities via the vents in the lids. The chimney effect of the heated air escaping the storage cavities siphons additional cool air into the air-intake cavity, through the network of pipes, and into the storage cavities. Thus, the below grade storage of multiple spent nuclear fuel canisters can be achieved while affording adequate ventilation for cooling. As in typical overpack systems, the canisters are preferably non-fixedly positioned within the storage cavities in a substantially vertical orientation. In other words, the canisters are positioned within the storage cavities free of anchors and are free-standing. As a result, the canisters can be easily inserted, removed and transferred from the storage cavities, as necessary. A lid can also be positioned atop the air-intake shell so as to form a lid-to-shell interface with the air-intake shell. This lid preferably contains an inlet vent that forms a passageway between the ambient environment and the air-intake cavity. As a result, cool air can be siphoned into the air-intake cavity while prohibiting the entrance of debris and/or rain water. The network of pipes preferably comprises one or more headers that couple the storage shells to the air-intake shell. The headers act as a manifold and assist in evenly distributing the incoming cool air to the storage cavities. A layer of insulating material can also be provided to circumferentially surround the storage shells. The insulation facilitates in prohibiting the incoming cool air from becoming heated prior to entering the storage cavities. In other words, the insulation prohibits the heat emanated by the canisters from conducting into the radiation absorbing material surrounding the storage shells, thereby keeping the air-intake cavity and the network of pipes cool. Preferably, the system further comprises means for supporting the canisters in the storage cavities so that a first plenum exists between a bottom of the canister and a floor of the storage cavity. It is further preferable that a second plenum exists between a top of the canister and a bottom surface of the lid that encloses the storage cavity. In this embodiment, the network of pipes form passageways between the air-intake cavity and the first plenums while the outlet vents within the lids form passageways between the ambient environment and the second plenums. In one embodiment, the support means can comprise a plurality of circumferentially spaced support blocks. It is further preferable that the gaps that exist between the storage shells and the canisters be a small annular gap. In one embodiment, the storage shells can surround the air-intake shell so as to form an array of shells, arranged in side-by-side relation. The dimensions of the array can vary as desired. In another aspect, the invention can be a ventilated system for storing high level waste having a heat load, the system comprising: an array of substantially vertically oriented shells arranged in a side-by-side relation, each shell forming a cavity a hermetically sealed canister for holding high level waste positioned in one or more of the cavities, the cavities having a horizontal cross-section that accommodates no more than one of the canisters; a removable lid positioned atop each of the shells so as to form a lid-to-shell interface, each lid containing a vent forming a passageway between an ambient environment and the storage cavity; a network of pipes forming air passageways between bottoms of all of the cavities; and wherein at least one of the cavities is empty so as to allow cool air to enter the network of pipes. In yet another aspect, the invention is a method of storing and passively ventilating high level waste comprising: providing a system comprising an array of substantially vertically oriented shells arranged in a side-by-side relation, each shell forming a cavity, and a network of pipes forming air passageways between bottom portions of all of the cavities; positioning the system in a below grade hole so that a major portion of the height of the shells is below grade; filling the below grade hole with a radiation absorbing material so as to surround the shells and cover the network of pipes, the cavities being accessible from above grade; lowering a hermetically sealed canister containing high level waste into the cavity of one or more of the shells so that a gap exists between the canister and the shell, the cavity having a horizontal cross-section that accommodates no more than one of the canisters; positioning a removable lid atop the shell containing the canister so as to form a lid-to-shell interface, the lid containing a vent forming a passageway between an above grade atmosphere and the cavity containing the canister; maintaining at least one of the cavities empty; and cool air entering the empty cavity, the cool air being draw into the network of pipes and into the cavity containing the canister, the cool air being warmed by heat from the canister, the warm air rising in the gap and exiting the cavity through the vent of the lid. In a further aspect, the invention can be a ventilated system for storing high level waste emitting heat, the system comprising: an air-intake shell forming an air-intake cavity; a plurality of storage shells, each storage shell forming a storage cavity; a lid positioned atop each of the storage shells; an outlet vent forming a passageway between an ambient environment and a top portion of each of the storage cavities; and a network of pipes forming hermetically sealed passageways between a bottom portion of the air-intake cavity and at least two different openings at a bottom portion of each of the storage cavities such that blockage of a first one of the openings does not prohibit air from flowing from the air-intake cavity into the storage cavity via a second one of the openings. In another aspect, the invention can be a ventilated system for storing high level waste emitting heat, the system comprising: an air-intake shell forming an air-intake cavity; a plurality of storage shells, each storage shell forming a storage cavity; a lid positioned atop each of the storage shells; an outlet vent forming a passageway between an ambient environment and a top portion of each of the storage cavities; and a network of pipes forming hermetically sealed passageways between a bottom portion of the air-intake cavity and a bottom portion of each of the storage cavities, wherein the network of pipes is configured so that a line of sight does not exist between any of the storage cavities through the passageways. Referring first to FIG. 2, a manifold storage system 100 is illustrated according to an embodiment of the present invention. As illustrated in FIG. 2, the manifold storage system 100 is removed from the ground. However, as will be discussed in greater detail below, the manifold storage system 100 is specifically designed to achieve the dry storage of multiple hermetically sealed canisters containing spent nuclear fuel in a below grade environment. The manifold storage system 100 is a vertical, ventilated dry spent fuel storage system that is fully compatible with 100 ton and 125 ton transfer casks for spent fuel canister transfer operations. The manifold storage system 100 can be modified/designed to be compatible with any size or style transfer cask. The manifold storage system 100 is designed to accept multiple spent fuel canisters for storage at an Independent Spent Fuel Storage Installation (“ISFSI”) in lieu of above ground overpacks (such as prior art VVO 2 in FIG. 1). All canister types engineered for the dry storage of spent fuel in above-grade overpack models can be stored in the manifold storage system 100. Suitable canisters include multi-purpose canisters (“MPCs”) and thermally conductive casks that are hermetically sealed for the dry storage of high level wastes, such as spent nuclear fuel. Typically, such canisters comprise a honeycomb grid-work/basket, or other structure, built directly therein to accommodate a plurality of spent fuel rods in spaced relation. An example of an MPC that is particularly suitable for use in the present invention is disclosed in U.S. Pat. No. 5,898,747 to Krishna Singh, issued Apr. 27, 1999, the entirety of which is hereby incorporated by reference. In some embodiments, the invention may include the canister or MPC positioned within the manifold storage system 100. The manifold storage system 100 is a storage system that facilitates the passive cooling of storage canisters through natural convention/ventilation. The manifold storage system 100 is free of forced cooling equipment, such as blowers and closed-loop cooling systems. Instead, the manifold storage system 100 utilizes the natural phenomena of rising warmed air, i.e., the chimney effect, to effectuate the necessary circulation of air about the canisters. In essence, the manifold storage system 100 comprises a plurality of modified ventilated vertical modules that can achieve the necessary ventilation/cooling of multiple canisters containing spent nuclear in a below grade environment. The manifold storage system 100 comprises a vertically oriented air-intake shell 10A and a plurality of vertically oriented storage shells 10B. The storage shells 10B surround the air-intake shell 10A. In the exemplified embodiment, the air-intake shell 10A is structurally identical to the storage shells 10B. However, as will be discussed below, the air-intake shell 10A is intended to remain empty (i.e., free of a heat load and unobstructed) so that it can act as an inlet passageway for cool air into the manifold storage system 100. The storage shells 10B are adapted to receive hermetically sealed canisters containing spent nuclear fuel and to act as storage/cooling chamber for the canisters. However, in some embodiment of the invention, the air-intake shell 10A can be designed to be structurally different than the storage shells 10B so long as the internal cavity of the air-intake shell 10A allows the inlet of cool air for ventilating the storage shells 10B. Stated simply, the cavity of the air-intake shell 10A acts as a downcomer passageway for the inlet of cooling air into the piping network 50. For example, the air-intake shell 10A can have a cross-sectional shape, cross-sectional size, material of construction and/or height that can be different than that of the storage shells 10B. While the air-intake shell 10A is intended to remain empty during normal operation and use, if the heat load of the canisters being stored in the storage shells 10B is sufficiently low such that circulating air flow is not needed, the air-intake shell 10A can be used to store a canister of spent fuel. Both the air-intake shell 10A and the storage shells 10B are cylindrical in shape. However, in other embodiments the shells 10A, 10B can take on other shapes, such as rectangular, etc. The shells 10A, 10B have an open top end and a closed bottom end The shells 10A, 10B are arranged in a side-by-side orientation forming a 3×3 array. The air-intake shell 10A is located in the center of the 3×3 array. It should be noted that while it is preferable that the air-intake shell 10A be centrally located, the invention is not so limited. The location of the air-intake shell 10A in the array can be varied as desired by simply leaving one or more of the storage shells 10B empty. Moreover, while the illustrated embodiment of the manifold storage system 100 comprises a 3×3 array of the shells 10A, 10B, and other array sizes and/or arrangements can be implemented in alternative embodiments of the invention. The shells 10A, 10B are preferably spaced apart in a side-by-side relation. The horizontal distance between the vertical center axis of the shells 10A, 10B is in the range of about 10 to 20 feet, and more preferably about 15 feet. However, the exact distance between shells will be determined on case by case basis and is not limiting of the present invention. The shells 10A, 10B are preferably constructed of a thick metal, such as steel, including low carbon steel. However, other materials can be used, including without limitation metals, alloys and plastics. Other examples include stainless steel, aluminum, aluminum-alloys, lead, and the like. The thickness of the shells 10A, 10B is preferably in the range of 0.5 to 4 inches, and most preferably about 1 inch. However, the exact thickness of the shells 10A, 10B will be determined on a case-by-case basis, considering such factors as the material of construction, the heat load of the spent fuel being stored, and the radiation level of the spent fuel being stored. The manifold storage system 100 further comprises a removable lid 12 positioned atop each of the shells 10A, 10B. The lids 12 are positioned atop the shells 10A, 10B, thereby enclosing the open top ends of the cavities formed by the shells 10A, 10B. The lids 12 provide the necessary radiation shielding so as to prevent radiation from escaping upward from the cavities formed by the storage shells 10B when the loaded canisters are positioned therein. The lids are secured to the shells 10A, 10B by bolts or other connection means. The lids 12 are capable of being removed from the shells 10A, 10B without compromising the integrity of and/or otherwise damaging either the lids 12 or the shells 10A, 10B. In other words, each lid 12 forms a non-unitary structure with its corresponding shell 10A, 10B. In certain embodiments, however, the lids 12 may be secured to the shells 10A, 10B via welding or other semi-permanent connection techniques that are implemented once the shells 10A, 10B are loaded with a canister loaded with HLW. Each of the lids 12 comprises one or more inlet ducts that form a passageway from the ambient air into the cavity formed by the shells 10A, 10B. The structural details of the lids 12 will be discussed in greater detail below with respect to FIGS. 6A and 6B. The interaction of the lids 12 with the shells 10A, 10B will described in greater detail below with respect to FIG. 7. In certain embodiments, however, the lids 12 may be solid structures that do not have passageways therein that allow heated air to escape the shells 10B or that allow cool air to enter the shell 10A. In such an embodiment, the top ends of the shells 10A, 10B may be modified to include ducts that form the necessary fluid passageways into the shells 10A, 10B. For example, cutouts or other holes may be provided on the sidewalls of the shells 10A, 10B themselves to which a tortuous duct is attached that allows air flow to and/or from the interior cavity of the shells 10A, 10B. Suitable structural configurations of storage shells wherein ducts are provided at the top end of the shells are disclosed in U.S. Pat. No. 7,590,213 to Krishna P. Singh, issued Sep. 15, 2009, the entirety or which is hereby incorporated by reference. Referring still to FIG. 2, the manifold storage system 100 further comprises a network 50 of pipes/ducts that fluidly connect all of the storage shells 10B to the air-intake shell 10A (and to each other). The network 50 comprises two headers 51, a plurality of straight pipes 52, and a plurality of curved expansion joints 53. The headers 51 are used as manifolds to fluidly connect all of the storage shells 10B to the air-intake shell 10A in order to more evenly distribute the flow of incoming cool air to the storage shells 10B as needed. The curved expansion joints 53 provide for thermal expansion/extraction of the network as needed. The straight pipes complete the network 50 so that all shells 10A, 10B are hermetically and fluidly connected. The piping network 50 connects at or near the bottom of the shells 10A, 10B to form a network of fluid passageways between the internal cavities of all of the shells 10A, 10B. Of course, appropriately positioned openings are provided in the sidewalls of the shells 10A, 10B to which the piping network 50 is fluidly coupled. As a result, the piping network 50 provides passageways from the internal cavity of the air-intake shell 10A to all of the internal cavities of the storage shells 10B via the headers 51. As a result, cool air entering the air-intake shell 10A can be distributed to all of the storage shells 10B via the piping network 50. It is preferable that the incoming cool air be supplied to at or near the bottom of the internal cavities of the storage shells 10B (via the openings) to achieve cooling of the canisters positioned therein. The network of pipes 50 is configured so that the quantity of air drawn by each of the storage shells 10B adjusts to comply with Bernoulli's law. The air-flow through each storage shell 10B (which is effectuated by the canister heat load) is influenced by the air-flow drawn by any other of the storage shells 10B in the network. Additionally, every storage cavity 10B in the network is fed with air by at least two inlet passages such that blockage in any one flow artery will not cause a sharp temperature rise in the affected cells. Thought of another way, the network of pipes 50 is configured so that two different paths exist through the hermetically sealed fluid passageway formed by the network of pipes 50 from the downcomer air-intake cavity of the intake shell 10A to each of the storage cavities of the storage shells 10B. Preferably, neither of the two different paths pass through any of the other storage cavities of the storage shells 10B. However, the invention is not so limited and in some instances. In certain embodiments, the existence of two different paths through the passageways of the piping network 50 includes situations where two paths exist through the passageways of the piping network that overlap for a portion of the paths, but not the entirety of the two paths. It is further preferred that the final pipe in each of the two different paths not be the same pipe. In this embodiment, the two different paths from the air-intake shell 10A to each storage shell 10B through the passageways of the piping network 50 includes a first path that passes through a first pipe that terminates in a first opening into the a storage shell 10B and a second path that passes through a second pipe that terminates in a second opening into that same storage shell 10B, wherein the first and second pipes are not the same pipe. The configuration of the piping network 50 makes it resilient to change in environmental conditions, including upset conditions such as a pipe blockage. Moreover, due to the special configuration of the piping network, if one storage shell 10B in the array was left empty, this empty storage shell 10B would become another air intake downcomer passageway (similar to the air intake shell 10A). In other words, the air in the empty storage shell 10B would flow downwards and begin feeding piping network with cool air. In fact, any storage shell 10B loaded with a low heat emitting canister can also become a downdraft cell. To determine which way the air will flow in any given canister loading situation, one will need to solve a set of non-linear (quadratic in flow) simultaneous equations (Bernoulli's equations for piping networks) with the aid of a computer program. A manual calculation in the manner of Torricelli's law is not possible. The advantages of the inter-connectivity of the piping network 50 becomes obvious when one considers the consequences of blocking a pipe leading to one storage shell 10B (a compulsory safety question in nuclear plant design work) because that storage shell 10B would not be deprived of the intake air as the neighboring storage shells 10B could provide relief to the distressed shell 10B through an alternate pathway. While one embodiment of a plumbing/layout for the piping network 50 is illustrated, the invention is not limited to any specific layout. Those skilled in the art will understand that an infinite number of design layouts can exist for the piping network 50. Furthermore, depending on the ventilation and air flow needs of any given manifold storage system, the piping network may or may not comprise headers and/or expansion joints. The exact layout and component needs of any piping network will be determined on case-by-case design basis. The internal surfaces of the piping network 50 and the shells 10A, 10B are preferably smooth so as to minimize pressure loss. Similarly, ensuring that all angled portions of the piping network are of a curved configuration will further minimize pressure loss. The size of the pipes/ducts used in the piping network 50 can be of any size. The exact size of the ducts will be determined on case-by-case basis considering such factors as the necessary rate of air flow needed to effectively cool the canisters. In one embodiment, a combination of steel; pipes having a 24 inch and 36 inch outer diameter are used. The components 51, 52, 53 of the piping network 50 are seal joined to one another at all connection points. Moreover, the piping network 50 is seal joined to all of the shells 10A, 10B to form an integral/unitary structure that is hermetically sealed to the ingress of water and other fluids. In the case of weldable metals, this seal joining may comprise welding or the use of gaskets. In the case of welding, the piping network 50 and the shells 10A, 10B will form a unitary structure. Moreover, as shown in FIG. 7, each of the shells 10A, 10B further comprise an integrally connected floor 11. Thus, the only way water or other fluids can enter any of the internal cavities of the shells 10A, 10B or the piping network 50 is through the top open end of the internal cavities. An appropriate preservative, such as a coal tar epoxy or the like, is applied to the exposed surfaces of shells 10A, 10B and the piping network 50 to ensure sealing, to decrease decay of the materials, and to protect against fire. A suitable coal tar epoxy is produced by Carboline Company out of St. Louis, Mo. under the tradename Bitumastic 300M. Referring to FIG. 9, the piping network 50 can also be designed so that a direct line of sight does not exist between any two internal cavities of the storage shells 10B. This eliminates shine between canisters loaded in the cavities of the storage shells 10B, which is possible due to the fact that the network of pipes 50 connect to side walls of the storage shells 10B. Of course, the concept could be expanded to situations where the network of pipes 50 is connected to the floor of the storage shells 10B. Furthermore, the elimination of the line-of-sight between any two internal cavities of the storage shells 10B can be effectuated through a number of piping configurations, including the creation of a tortuous path, a segmented path, an angled path, or combinations thereof. Referring now to FIGS. 2 and 3, it can be seen that a layer of insulating material 20 circumferentially surrounds each of the storage cavities 10B. Suitable forms of insulation include, without limitation, blankets of alumina-silica fire clay (Kaowool Blanket), oxides of alumina and silica (Kaowool S Blanket), alumina-silica-zirconia fiber (Cerablanket), and alumina-silica-chromia (Cerachrome Blanket). The insulation 20 prevents excessive transmission of heat from spent fuel canisters within the storage shells 10B to the surrounding structure/material, such as the concrete monolith 60 (FIG. 7), the air-intake shell 10A and the piping network 50. Insulating the storage shells 10B serves to minimize the heat-up of the incoming cooling air before it enters the cavities of the storage shells 10B. This facilitates in maintaining adequate ventilation/cooling of the spent fuel canisters stored therein. The insulating process can be achieved in a variety of ways, none of which are limiting of the present invention. For example, in addition to adding a layer of the insulating material 20 to the exterior of the storage shells 10B, insulating material can also be added to surround the components of the piping network 50 and/or the air-intake shell 10A. Furthermore, in addition to or instead of an insulating material, it may be possible to provide the necessary insulation of the incoming cool air by providing gaps in the concrete monolith 60 (FIG. 7) at the appropriate places. These gaps may be filled with an inert gas or air if desired. Referring now to FIG. 4, the manifold storage system 100 is illustrated with the lids 12 removed from the shells 10A, 10B. As can be seen, each of the shells 10A, 10B comprise a container ring 13 at or near their top. The container rings 13 are thick steel ring-like structures. The container rings 13 circumferentially surround the periphery of the shells 10A, 10B and are secured thereto by welding or another connection technique. In addition to adding structural integrity to the shells 10A, 10B, the container rings 13 also interface with the shear rings 23 (FIGS. 6A, 6B) on the lids 12 to provide resistance to lateral forces. With reference to FIGS. 3 and 4, it can be seen that the network of pipes 50 connects to side walls of the storage shells 10B and the air-intake shell 10A. Additionally, the storage shells 10B and the air-intake shell 10A are arranged in a side-by-side relation so that the bottoms surfaces of the shells 10A, 10B are located in the same plane. Preferably, the entirety of the network of pipes 50 is located in or above this plane (i.e., the network of pipes 50 does not extend below this plane). Referring to FIGS. 6A and 6B, the lid 12 is illustrated in detail according to an embodiment of the present invention. In order to provide the requisite radiation shielding for the spent fuel canisters stored in the storage shells 10B, the lid 12 is constructed of a combination of low carbon steel and concrete. More specifically, in constructing one embodiment of the lid 12, a steel lining is provided and filled with concrete (or another radiation absorbing material). In other embodiments, the lid 12 can be constructed of a wide variety of materials, including without limitation metals, stainless steel, aluminum, aluminum-alloys, plastics, and the like. In some embodiments, the lid may be constructed of a single piece of material, such as concrete or steel for example. The lid 12 comprises a flange portion 21 and a plug portion 22. The plug portion 22 extends downward from the flange portion 21. The flange portion 21 surrounds the plug portion 22, extending therefrom in a radial direction. A plurality of outlet vents 28 are provided in the lid 12. Each outlet vent 28 forms a passageway from an opening 29 in the bottom surface 30 of the plug portion 22 to an opening 31 in the top surface 32 of the lid 12. A cap 33 is provided over opening 31 to prevent rain water or other debris from entering and/or blocking the outlet vents 28. The cap 33 is secured to the lid 12 via bolts or through any other suitable connection, including without limitation welding, clamping, a tight fit, screwing, etc. The cap 33 is designed to prohibit rain water and other debris from entering into the opening 31 while affording heated air that enters the vents 28 via the opening 29 to escape therefrom. In one embodiment, this can be achieved by providing a plurality of small holes (not illustrated) in the wall 34 of the cap 33 just below the overhang of the roof 35 of the cap. In other embodiments, this can be achieved by non-hermetically connecting the roof 35 of the cap 33 to the wall 34 and/or constructing the cap 33 (or portions thereof) out of material that is permeable only to gases. The opening 31 is located in the center of the lid 12. In order to further protect against rain water or other debris entering opening 31, the top surface 32 of the lid 12 is sloped away from the opening 31 (i.e., downward and outward). The top surface 32 of the lid 12 (which acts as a roof) overhangs beyond the side wall 135 of the flange portion 21. The outlet vents 28 are curved so that a line of sight does not exist therethrough. This prohibits a line of sight from existing from the ambient environment to a canister that is loaded in the storage shell 10B, thereby eliminating radiation shine into the environment. In other embodiments, the outlet vents may be angled or sufficiently tilted so that such a line of sight does not exist. The lid 30 further comprises a shear ring 23 secured to the bottom surface 37 of the flange portion 31. The shear ring 23 may be welded, bolted, or otherwise secured to the bottom surface 37. The shear ring 23 is designed to extend downward from the bottom surface 37 and peripherally surround and engage the container ring 13 of the shells 10A, 10B, as shown in FIG. 7. While not illustrated, it is preferable that duct photon attenuators be inserted into all of vents 28 of the lids 12 for both the storage shells 10B and the air-intake shell 10A, irrespective of shape and/or size. A suitable duct photon attenuator is described in U.S. Pat. No. 6,519,307, Bongrazio, the teachings of which are incorporated herein by reference in its entirety. It should be noted that in some embodiments, the air-intake shell 10A may not have a lid 12. Referring now to FIG. 7, the cooperational relationship of the elements of the lid 12 and the elements of the shells 10A, 10B will now be described. In order to avoid redundancy, only the interaction of the lid 12 with a single storage shell 10B will be described in detail with the understanding that those skilled in the art will appreciate that the below discussion applies to all of the storage shells 10B and the air-intake shell 10A. When the lid 12 is placed atop the storage shell 10B of the manifold storage system 100 (e.g., during the storage of a canister loaded with spent fuel), the plug portion 22 of the lid 12 is lowered into the cavity 24 formed by the storage shell 10B until the flange portion 21 of the lid 12 contacts and rests atop the storage shell 10B thereby forming a lid-to-shell interface. More specifically, the bottom surface 37 (FIG. 6B) of the flange portion 21 of the lid 12 contacts and rests atop the top surfaces of the storage shell 10B so as to form the lid-to-shell interface. The lid 12 and the storage shell 10B form a non-unitary structure. At this point, the shear ring 23 of the lid 12 engages and peripherally surrounds the outside surface of the container ring 13. The interaction of the shear ring 23 and the container ring 13 provides enormous shear resistance against lateral forces from earthquakes, impactive missiles, or other projectiles. The lid 12 is secured in place via bolts (or other fastening means) that can either extend into holes in the concrete monolith 60 or into the storage shell 10B itself. While the lid 12 is secured the storage shell 10B and/or the concrete monolith 60, the lid 12 remains non-unitary and removable. While not illustrated, one or more gaskets can be provided at some position at the lid-to-shell interface so as to form a hermetically sealed interface. When the lid 12 is properly positioned atop the storage shell 10B as illustrated in FIG. 7, the vents 28 are in spatial cooperation with the cavity 24 formed by the storage shell 10B. In other words, each of the vents 28 form a passageway from the ambient atmosphere to the cavity 24 itself. The vents in the lid positioned atop the air-intake shell 10A provide a similar passageway. With respect to the air-intake shell 10A, the vents 28 act as a passageway that allows cool ambient air to siphoned into the cavity 24 of the air-intake shell 10A, through the piping network 50, and into the bottom portion of the cavities 24 of the storage shells 10B. When a canister containing spent fuel (or other HLW) having a heat load is positioned within the cavities 24 of one or more of the storage shells 10B, this incoming cool air is warmed by the canister, rises within the cavity 24, and exits the cavity 24 via the vents 28, in the lids 12 atop the storage shells 10B. It is this chimney effect that creates the siphoning effect in the air-intake shell 10A. Referring now to FIGS. 7 and 8, the shells 10A, 10B form vertically oriented cylindrical cavities 24 therein. While the cavities 24 are cylindrical in shape, the cavities 24 are not limited to any specific shape, but can be designed to receive and store almost any shape of canister without departing from the spirit of the invention. The horizontal cross-sectional size and shape of the cavities 24 of the storage shells 10B are designed to generally correspond to the horizontal cross-sectional size and shape of the spent fuel canisters 80 (FIG. 8) that are to be stored therein. The horizontal cross-section of the cavities 24 of the storage shells 10B accommodate no more than one canister 80 of spent fuel. The horizontal cross-sections of the cavities 24 of the storage shells 10B are sized and shaped so that when spent fuel canisters 80 are positioned therein for storage, a small gap/clearance 25 exists between the outer side walls of the canisters 80 and the side walls of cavities 24. When the shells 10B and the canisters 80 are cylindrical in shape, the gaps 25 are annular gaps. In one embodiment, the diameter of the cavities 24 of the storage shells 10B is in the range of 5 to 7 feet, and more preferably approximately 6 feet. Designing the cavities 24 of the storage shells 10B so that a small gap 25 is formed between the side walls of the stored canisters 80 and the side walls of cavities 24 limit the degree the canisters 80 can move within the cavities 24 during a catastrophic event, thereby minimizing damage to the canisters 80 and the cavity walls and prohibiting the canisters 80 from tipping over within the cavities 24. These small gap 25 also facilitates flow of the heated air during spent nuclear fuel cooling. The exact size of the gap 25 can be controlled/designed to achieve the desired fluid flow dynamics and heat transfer capabilities for any given situation. In one embodiments, the gap 25 has a width of about 1 to 3 inches. Making the width of the gap 25 small also reduces radiation streaming. Support blocks 42 are provided on the floors 11 of the cavities 24 of the storage shells 10B so that the canisters 80 can be placed thereon. The support blocks 42 are circumferentially spaced from one another around the floor 11. When the canisters 80 are loaded into the cavities 24 of the storage shells 10B, the bottom surfaces 81 of canisters 80 rest on the support bocks 42, forming an inlet air plenum 27 between the bottom surfaces 81 of the canisters 80 and the floors 11 of the cavities 24. The support blocks 42 are made of low carbon steel and are preferably welded to the floors 11 of the cavities 26 of the storage shells 10B. Other suitable materials of construction include, without limitation, reinforced-concrete, stainless steel, and other metal alloys. The support blocks 42 also serve an energy/impact absorbing function. The support blocks 32 are preferably of a honeycomb grid style, such as those manufactured by Hexcel Corp., out of California, U.S. When the canisters 80 are positioned atop the support blocks 32 within the storage shells 10B, outlet air plenums 26 are formed between the top surfaces 82 of the canisters 80 and the bottom surfaces 30 of the lids 12. The outlet air plenums 36 are preferably a minimum of 3 inches in height, but can be any desired height. The exact height will be dictated by design considerations such as desired fluid flow dynamics, canister height, shell height, the depth of the cavities, the canister's heat load, etc. The cavity 24 of the air-intake shell 10A is deeper than the cavities 24 of the storage shells 10B and serves as a sump for ground water or rain water (if there is a leak and/or debris). The cavity 24 of the air-intake shell 24 is typically empty and, therefore, can be readily cleared of debris. Additionally, the piping network 50 is preferably sloped toward the air-intake shell 10A and away from the storage shells 10B so that any water seepage collects in the bottom of the cavity 24 of the air-intake shell 10A. If desired, a drain can be included at the bottom on the cavity 24 of air-intake shell 10B. In FIGS. 7 and 8, the illustrated embodiment of the manifold storage system 100 further comprises a concrete monolith 60 surrounding the shells 10A, 10B and piping network 50. The concrete monolith 60 provides the necessary radiation shielding for the spent fuel canisters 80 stored in the storage shells 10B. The concrete monolith 60 provides non-structural protection for shells 10A, 10B and the piping network 50. The entire height of the shells 10A, 10B are surrounded by the concrete monolith 60 with only the lids 12 protruding therefrom and resting atop its top surface. While the vents 28 that allow the warmed air to escape the storage shells 10B are illustrated as being located within the lids 12, the present invention is not so limited. For example, the vents 28 can be located in the concrete monolith 60 itself. In such an embodiment, the openings of the vents to the ambient air can be located in the top surface of the monolith 60 and a line of sight should not exist to the ambient. Similar to when the outlet vents are located in the lid, the outlet vents can take on a variety of shapes and/or configurations, such as S-shaped or L-shaped. In all embodiments of the present invention, it is preferred that the outlet openings of the vents 28 from the storage shells 10B be azimuthally and circumferentially separated from the intake openings of the vents 28 into the air-intake shell 10A to minimize interaction between inlet and outlet air streams. As discussed above, a layer of insulating material 20 is provided at the interface between storage shells 10B and the concrete monolith 60 (and optionally at the interface between the concrete monolith 60 and the piping network 50 and the air-intake shell 10A. The insulation 20 is provided to prevent excessive transmission of heat decay from the spent fuel canisters 80 to the concrete monolith 60, thus maintaining the bulk temperature of the concrete within FSAR limits. The insulation 20 also serves to minimize the heat-up of the incoming cooling air before it enters the cavities 24 of the storage shells 10B. As mentioned above, the manifold storage system 100 is particularly suited to effectuate the storage of spent nuclear fuel and other high level waste in a below grade environment. Referring to FIG. 8, the manifold storage system 100 is positioned so that the entire concrete monolith 60 (including the entire height of the storage shells 10B) is entirely below the grade level 73 at an ISFSI. The entire piping network 50 is also located deep underground. By positioning the manifold storage system 100 below grade level 73, the system 100 is unobtrusive in appearance and there is no danger of tipping over. The low profile of the underground manifold storage system 100 does not present a target for missile or other attacks. Additionally, the underground manifold storage system 100 does not have to contend with soil-structure interaction effects that magnify the free-field acceleration and potentially challenge the stability of an above ground free-standing overpack. While the entire height of the storage shells 10B is illustrated as being below grade level 73, in alternative embodiments a portion of the storage shells 10B can be allowed to protrude above the grade level 73. In such embodiments, at least a major portion of the height of the storage shells 10B are positioned below grade level 73. Any portion of the storage shells 10B that protrude above the grade level 73 must be surrounded by the necessary radiation shielding structure. In all embodiments, the storage shells 10B are sufficiently below grade level so that when canisters 80 of spent fuel are positioned in the cavities 24 for storage, the entire height of the canisters are below the grade level 73. This takes full advantage of the shielding effect of the surrounding soil at the ISFSI. Thus, the soil provides a degree of radiation shielding for spent fuel stored that can not be achieved in aboveground overpacks. With reference to the manifold storage system 100, a method of constructing the underground manifold storage system of FIG. 7 at an ISFSI or other location, will be discussed. First, a hole is dug into the ground at a desired position at the ISFSI having a desired depth. Once the hole is dug and its bottom properly leveled, a base foundation is placed at the bottom of the hole. The base can be a reinforced concrete slab designed to satisfy the load combinations of recognized industry standards, such as ACI-349. However, in some instances, depending on the load to be supported and/or the ground characteristics, the use of a base may be unnecessary. Once the foundation/base is properly positioned in the hole, the integral structure of FIG. 2 (which consists of the storage shells 10B, the air-intake shell 10A, and the piping network 50) is lowered into the hole in a vertical orientation until it rests atop the base. The integral structure then contacts and rests atop the top surface of the base. If desired, the integral structure can be bolted or otherwise secured to the base at this point to prohibit future movement of the integral structure with respect to the base. Once the integral structure is resting atop the base in the vertical orientation, the hole is filled with concrete to form the concrete monolith 60 around the integral structure. The concrete monolith 60 also acts a moisture barrier to the below grade components. Alternatively, soil or an engineered fill can be used instead of concrete to fill the hole. Suitable engineered fills include, without limitation, gravel, crushed rock, concrete, sand, and the like. The desired engineered fill can be supplied to the hole by any means feasible, including manually, dumping, and the like. The concrete is supplied to the hole until it surrounds the integral structure and fills hole to a level where the concrete reaches a level that is approximately equal to the ground level 73. When the hole is filled, the concrete monolith 60 is formed. The shells 10A, 10B protrude slightly from the top surface of the concrete monolith 60 so that the cavities 24 of the shells 10A, 10B are accessible from above grade. Additionally, the lids 12 can be positioned atop the shells 10A, 10B as described above. Because the integral structure is hermetically sealed at all below grade junctures, below grade liquids can not enter into the cavities 24 of the shells 10A, 10B or the piping network 50. An embodiment of a method of using the underground manifold system 100 of FIGS. 7 and 8 to store a spent nuclear fuel canister 80 will now be discussed. Upon being removed from a spent fuel pool and treated for dry storage, the spent fuel canisters 80 is hermetically sealed and positioned in a transfer cask. The transfer cask is then carried by a cask crawler to an empty storage shell 10B for storage. Any suitable means of transporting the transfer cask to a position above the storage shell 10B can be used. For example, any suitable type of load-handling device, such as without limitation, a gantry crane, overhead crane, or other crane device can be used. In preparing the desired shell 10B to receive the canister 80, the lid 12 is removed so that the cavity 24 of the storage shell 10B is open and accessible from above. The cask crawler positions the transfer cask atop the storage shell 10B. After the transfer cask is properly secured to the top of the storage shell 10B, a bottom plate of the transfer cask is removed. If necessary, a suitable mating device can be used to secure the connection of the transfer cask to storage shell 10B and to remove the bottom plate of the transfer cask to an unobtrusive position. Such mating devices are well known in the art and are often used in canister transfer procedures. The canister 80 is then lowered by the cask crawler from the transfer cask into the cavity 24 of the storage shell 10B until the bottom surface 81 of the canister 80 contacts and rests atop the support blocks 42 on the floor 11 of the cavity 24. The canister 80 is free-standing in the cavity 24, free of anchors or other securing means. When resting on the support blocks 42 within the cavity 24 of the storage shell 10B, the entire height of the canister 80 is below the grade level 73. Once the canister 80 is positioned and resting in the cavity 24, the lid 12 is positioned atop the storage shell 10B, substantially enclosing the cavity 24. The lid 12 is then secured to the concrete monolith 60 via bolts or other means. When the canister 80 is so positioned within the cavity 24 of the storage shell 10B, an inlet air plenum 27 exists between the floor 11 and the bottom surface 81 of the canister 80. An outlet air plenum 27 exists between the bottom surface 30 of the lid 12 and the top surface 82 of the canister 80. A small annular gap 25 also exists between the side walls of the canister 80 and the wall of the storage shell 10B. As a result of the chimney effect caused by the heat emanating from the canister 80, cool air from the ambient is siphoned into the cavity 24 of the air-intake shell 10A via the vents 28 in its lid 12. This cool air is then siphoned through the piping network 50 and into the inlet air plenum 27 at the bottom of the cavity 24 of the storage shells 10B. This cool air is then warmed by the heat emanating from the spent fuel canister 80, rises in the cavity 24 via the annular gap 25 around the canister 80, and into the outlet air plenum 26 above the canister 80. This warmed air continues to rise until it exits the cavity 24 as heated air via the vents 28 in the lid 12 positioned atop the storage shell 10B. While the invention has been described and illustrated in sufficient detail that those skilled in this art can readily make and use it, various alternatives, modifications, and improvements should become readily apparent without departing from the spirit and scope of the invention. Specifically, in one embodiment, the shells 10A, 10B and/or the piping network 50 can be omitted. In this embodiment, the cavities of the shells and the passageways of the piping network can be formed directly into the concrete monolith if desired. |
|
050330756 | summary | FIELD OF THE INVENTION This invention relates to X-ray radiography and fluoroscopy and particularly to filters for limiting the radiation dosage to a patient exposed to X-rays during medical and dental diagnosis. BACKGROUND OF THE INVENTION X-rays are produced in an X-ray tube as a result of high speed electrons striking a target material. The electrons strike and penetrate the surface layers of the target material and through interaction or collision with the atoms of the target, the energy of the electron is imparted to the electrons in the target. If, in striking the target, the energy of the electron is dissipated through a series of collisions with the outer electrons of the target atoms, then the energy is released either in the form of heat or as visible light. An electron may, after a series of collisions, also emerge from the target as a back-scattered electron. These collisions result in most of the energy losses contributing to target heating and hence reduced X-ray tube life. The electron may also have radiative collisions, giving up part or sometimes all of its energy to photons. The photons produced as a result of these collisions have an energy less than or equal to the energy given up by the electron. If the energy of the electron is sufficient to collide with and eject an electron from the inner K-shell of the target atom, then the excited target atom, when the electrons in the outer shells drop into the vacant inner shell, will return to its ground state and a photon will be emitted. The energies of these transitions are dependent upon the atoms comprising the target material and hence the energies of the photons emitted are characteristic of the target atom. This radiation is known in the art as the characteristic X-ray radiation and is produced by the X-ray tube only when the energy of the electron striking the target is above the level required to dislodge the K-electron of the target atom. The energy of the photon comprising the X-ray is directly related to the energy given up by the electron in the collision with the target molecules. As it is well known that the relationship between the wavelength (.lambda.) of a photon and its energy is expressed by the Duane-Hunt equation: ##EQU1## this process results in X-rays of various wavelengths which constitute what is known in the art as the continuous X-ray spectrum. The ability of the X-rays to penetrate an examination object depends on the wavelength or energy of the X-ray photons as well as the composition of the examination object - i,e. its chemical elements, thickness and density. With respect to the wavelength or energy of the X-rays, generally the penetration ability is inversely proportional to wavelength or directly proportional to energy. Thus, short wavelength (high energy) X-rays have a greater penetrating ability than long wavelength (low energy) X-rays. With respect to the chemical elements making up the examination object, generally, the higher the atomic number of the element, the less the penetration of the X-ray beam. However, at wavelengths or energy levels near the absorption edges of the elements, these generalizations do not hold true as there are discontinuities in the degree of absorption of the X-ray beam at these points. With respect to the thickness and density of an examination object, generally, the thicker and denser the object the greater its ability to absorb X-rays and thus fewer X-rays pass through the object. It is the combination of these factors as they relate to different compositions of material which allows for the differential diagnosis of radiography. Thus, the selection of the operating parameters of the X-ray apparatus during medical diagnosis depends upon the examination object, its chemical composition, thickness and density. For more descriptions of the above, reference can be made to textbooks of medical physics or radiology. As low energy X-rays do not normally contribute to the resolution of the method but are merely absorbed and scattered by the examination object, it is highly desirable to remove such X-rays from the X-ray beam prior to the beam contacting the examination object. These low energy X-rays are usually removed from the X-ray beam through the use of attenuators or filters. Similar to the effects on examination objects, the attenuating ability of a filter is dependent upon the chemical composition, density and thickness of the material making up the filter. These relationships are represented by the following equation: EQU I=I.sub.o e.sup.-.mu.ox where I is the intensity of the radiation transmitted, I.sub.o is the intensity of the incident radiation, e is the base of natural logarithm, .mu. is the mass attenuation coefficient for the chemical element comprising the filter material, .rho. is the density of the filter material, and x is the thickness of the filter material. Of the above factors, all except the attenuation co-efficient .mu. are independent of the frequency or energy of the incident radiation. The attenuation co-efficient varies with the energy of the incident radiation and is related to the atomic number of the chemical element of the filter material. These co-efficients have been experimentally determined and can be found in published tables, such as, for example, in UCRL 50174 by W.H. McMaster et al available from the National Technical Information Services, Springfield, Va., 22151. For many years the most common means of filtration of X-rays used in medical and dental diagnosis has been through the use of aluminum filters. As an example, U.S. Pat. No. 2,225,940 discloses a wedge which is brought into the path of the X-ray beam. Additionally, U.S. Pat. No. 3,976,889 discloses the use of variable thicknesses of aluminum filters in dental x-rays to vary exposure levels. Almost all commercial x-ray units have some inherent filtration equivalent to about 1.0 to 1.5 mm of aluminum and those designed for medical and/or dental applications, utilize additional aluminum filtration. The use of filters other than aluminum to filter low energy X-rays from an X-ray beam was the subject of U.S. Pat. No. 4,499,591, wherein a 127 micron thick yttrium filter was employed to filter the X-ray beam such that energies below 20 keV were eliminated from the beam. Also Heinrick and Schuster, "Reduction of Patient Dose by Filtration in Pediatric Fluoroscopy and Fluorography" Ann. Radiol. (1976) Vol. 19. pages 57-66, utilized a molybdenum filter of 100 microns to remove radiation below 20 keV from the X-ray beam. Koedooder and Venema; Phys. Med. Biol. (1986) Vol , pages 585-600 describe a computer program which was developed to calculate possible filter materials for use with a range of kVP values and different image receptors. In their results they found that dose reductions of up to 40% were achievable, however, in most cases the loading of the X-ray tube was doubled resulting in reduced life of the X-ray tube. In X-ray crystallography and diffraction studies, it is useful to have relatively homogeneous, monochromatic X-ray beams. Filter materials have been used for producing these relatively homogeneous X-ray beams by limiting the range of wavelengths of the X-ray beam. Thus, in U.S. Pat. No. 1,624,443, the use of a filter with a slightly lower atomic weight than the X-ray tube target has been found to produce an X-ray beam of suitable relative homogeneity for use in X-ray crystallography. This patent discloses, in a preferred embodiment, the use of a zirconium filter with a molybdenum target. The use of filters of the same material as the target has also been shown to result in an X-ray beam of relative homogeneity. U.S. Pat. No. 3,515,874 discloses the use of molybdenum for both a target and filter, particularly for mammography where it has been found that the energy level of the K.alpha. line emitted from a molybdenum target is ideal for resolution of tumors in mammography applications. As seen from the above, it is appreciated that there is a risk involved when dealing with diagnostic X-rays due to the harmful effects of unnecessary radiation dosages. Therefore, there is a need for an efficient X-ray filter to reduce such dosages and which is compatible with existing X-ray equipment. SUMMARY OF THE INVENTION In accordance with the present invention, there is provided an X-ray filter which significantly reduces low energy radiation normally absorbed by the examination object without significantly affecting the desired high energy radiation. The filter is comprised of one or more materials containing as the major component elements selected from the group consisting of aluminum and elements having atomic numbers between 26 and 50 with the filter being selected to have X-ray filtering characteristics such that the intensity of X-rays having energies of 50 keV are reduced by about 8% to about 35% of the normal radiation levels. In an aspect of the invention, the filter is encased in a thin plastic sheet which provides for protection of the filter during handling as well as some absorption of the secondary radiation emitted from the filter when it is contacted by the X-ray beam. In another aspect of the invention, the filter is comprised of a metal foil constructed of a single elemental material, the elemental material being selected from the group consisting of niobium, copper, silver, tin, iron, nickel, zinc, zirconium, aluminum or molybdenum. In yet another aspect of the invention, the filter is comprised of a niobium metal foil having a maximum thickness of about 75 microns or a niobium metal foil in combination with additional filtering foils. As a result of the construction immediately above, the filter of the present invention filters energy from the X-ray beam which is usually absorbed by the examination object and does not contribute to the radiographic image of the examination object. This is achieved with little, if any, increased loading of the X-ray tube which would otherwise reduce its effective life. These and other features of the present invention will be appreciated from the detailed description of the preferred embodiments of the invention which follow. |
summary | ||
summary | ||
description | This application is a continuation-in-part of application Ser. No. 13/830,151 filed Mar. 14, 2013 now U.S. Pat. No. 9,570,202. The contents of said application are incorporated herein by reference. This application is related to application Ser. No. 13/829,812 filed concurrently herewith on Mar. 14, 2013, the contents of which are incorporated herein by reference. This invention relates to industrial methods for joining together components of a product, in which at least one of the components is fabricated from sapphire or other form of aluminum oxide. In one aspect, the invention relates to methods of fabricating sapphire components of nuclear reactor fuel elements. Conventionally, nuclear reactors comprise pressure tubes or pressure vessels, though nuclear reactors that have both pressure tubes and a pressure vessel have been disclosed. At present, nuclear power plant safety is of particular concern in the aftermath of the Fukushima accident in Japan in 2011 and others in which the fuel becomes exposed after the reactor has shut down. Some proposals for improving safety have focused upon prolonging the time to catastrophic failure in a severe accident in which decay heat may cause either or both of fuel cladding failure and hydrogen production. One option is to provide passive decay heat removal following a severe accident, but this requires the fuel cladding to be capable of retaining the fuel fission products while the decay heat is transferred from the fuel, predominantly by thermal radiation. Although improving safety is of paramount importance, it is desirable to do so without reducing efficiency. In fact, there is an ongoing desire to improve the efficiency of nuclear reactor power plants without prejudice to their safety. Improved efficiency can be obtained by increasing operating temperatures. To this end, it has been proposed to build nuclear power reactors employing supercritical water which requires much higher operating pressures than the current art PWR, BWR and PHWR. A fuel must be capable of operating at the temperature and pressure of supercritical water, and withstanding the corrosive environment of irradiated supercritical water and radiation damage. The fuel cladding must also have acceptably low neutron absorption to function economically while desirably providing for passive decay heat removal following a severe accident in which the fuel becomes exposed after the reactor has shut down. It is known to use supercritical water systems in fossil fuel power stations. However, the technologies, particularly materials, used in supercritical fossil fuel stations cannot necessarily be used in supercritical nuclear reactor stations where low neutron absorption and corrosion resistance at supercritical temperatures and radiation levels are particularly important. This is especially so for the fuels and the fuel assemblies containing them. It is known, for example, to use stainless steel to clad fuel for a higher temperature operation. It is unlikely that, in severe accident conditions, this fuel cladding would have been capable of retaining fission products while passively transferring decay heat in a PHWR, PWR or BWR. In particular, under severe accident conditions, the temperature will become high enough to cause the conventional fuel cladding to oxidize and eventually melt, leading to hydrogen production and release of fission products. Other steels, nickel and titanium-based alloys that have been studied for supercritical water reactor use also have relatively high neutron absorption and entail the use of enriched uranium. They would not be entirely suitable for use in applying similar reactor physics when refitting an existing reactor, for example a PHWR. In his concurrently-filed patent application Ser. No. 13/829,812, the present inventor discloses fuel elements in which the fuel pellets are housed in a cladding tube made of sapphire. It is known to make sapphire tubes by growing the sapphire using edge defined film fed growth and using a die to form it into a tube. Although sapphire tubes made in this way may be satisfactory for general application, they would be of limited use as cladding in nuclear reactor fuel elements because their inner surfaces, as initially manufactured, have ridges that limit thermal contact between the fuel pellets and the cladding tube. While it might be possible to grind the inner surfaces of the sapphire tubes to obtain a required smoothness for cladding, grinding would require very hard, for example diamond, grinding tools and be very time-consuming to obtain required cladding and component dimensions. Consequently, it may not be economical and commercially viable at this time. The use of sapphire-clad components in a reactor, as well as other applications, can require that such components be joined together with a robust joint that withstands extreme conditions such as high temperatures. Consequently, there is a need for improved methods for making such joints between parts of a component, in which the component comprises sapphire or other form of aluminum oxide. The present inventor sought to mitigate the limitations of known methods of fabricating and assembling sapphire tubes and associated components, especially for nuclear reactor fuel elements, or at least provide an alternative. According to one aspect, I disclose herein a method of forming a joint between contacting surfaces of parts, wherein the at least one of the parts comprises aluminum oxide. Suitable forms of aluminum oxide include structurally useful forms, such as sapphire. The sapphire may comprise single crystal sapphire. A joint-forming layer is provided between the respective parts, composed of a compound such as aluminum nitride that will produce a joint forming mixture with the abutting aluminum oxide when heated. The method comprises bringing the parts into contact whereby the joint-forming layer contacts the surfaces of the respective parts. The joint-forming layer is selectively heated to a temperature whereby the aluminum nitride (or other joint-forming mixture) reacts with the aluminum oxide of the parts. The selective heating consists of locally heating the joint forming compound from a heat source which does not directly heat the aluminum oxide. The temperature-driven reaction between the respective compounds forms aluminum oxy-nitride compounds that, on cooling, join the parts. In this fashion, the parts are monolithically joined by intermixing and chemical reaction whereby a border region at the junction between the parts, and extending into the respective parts, comprises a monolithic composition of aluminum oxide and aluminum oxynitride. The joint forming layer may be heated to exceed the lowest temperature eutectic of the joint forming mixture (i.e. the temperature at which the respective aluminum compounds react to form a eutectic mixture). The joint forming layer selectively absorbs the applied heat whilst the adjacent parts are transparent to the applied heat. As result, the adjacent parts are heated solely by indirect means, such as conduction from the heated joint-forming layer. Optionally, an additional layer may be provided between the parts, comprising a eutectic compound that melts, mixes and reacts with the adjoining parts and also the joint-forming layer when heated, to join the respective parts. This additional layer is not directly heated by the heat source. The heat to drive the reaction between the parts, the joint-forming layer and the second layer is generated solely by the joint-forming layer absorbing heat from the heat source and then conducting this heat to the other layers. The joint forming layer may continue to absorb heat from the heat source until it is fully reacted, at which time it becomes transparent to the radiated heat and the parts then cool. The localized, selective heating of the joint-forming layer may be provided by exposing this layer to infrared illumination from a heat source such as a laser beam directed through one or both of the sapphire parts whereby the joint-forming layer absorbs infrared radiation, until it is reacted with the adjoining parts. The parts are transparent to the IR radiation and thus do not absorb any substantial amount of the IR radiation. The IR beam thus passes through at least one of the parts to reach the joint-forming layer, causing selective heating of this layer and consequently, indirect heating of a region of the parts in direct contact therewith. The laser may comprise, for example, a neodymium-doped yttrium aluminum garnet (Nd:YAG) diode laser or a fiber laser, preferably with a wavelength of about 1 micrometer. The method may further comprise the step of providing the joint-forming layer by coating or layering at least one of the parts with a layer of aluminum nitride such as by adhering a wafer of aluminum nitride to one of the parts where this contacts the opposing part. At least one of the parts may comprise solid sapphire whereby the localized heating comprises directing an energy beam such as a laser beam through the part to contact the aluminum nitride layer. According to one aspect I disclose a method of making a joint between sapphire parts by: providing at a joint interface between juxtaposed surfaces of the parts an infrared absorbing interstitial layer of aluminum nitride, and locally heating the layer by infrared illumination to the melting temperature of the juxtaposed surfaces of the sapphire parts, such that the aluminium nitride react with the aluminum nitride layer of a juxtaposed sapphire layer within the parts to form aluminum oxy-nitride compounds that, on cooling, provide required joining of the parts through a monolithic layer of aluminum oxide and aluminum oxynitride compounds. I also disclose herein a method of surface finishing a cladding tube for a fuel element of a nuclear reactor core in which the cladding tube contains a plurality of fuel pellets, the method comprising the steps of: taking a sapphire tube, heating the tube to thermal creep temperatures (e.g., 1750-2000 degrees Celsius); slowly drawing and/or pushing the heated tube through a plug and die set to reduce heights of ridges on respective inner and outer surfaces of the tube while limiting changes in the crystalline structure, especially the crystal orientation, of the bulk of the tube. The plug and die set may be dimensioned to provide substantially uniform wall thickness of the tube while reducing ridge height and limiting changes in the crystalline structure, especially the crystal orientation, of the bulk of the tube. The forming may displace ridge material by producing shear along an “a” plane parallel to a principal “c” axis of the tube material. The tube may be drawn or pushed in a similar manner through one or more additional plug and die sets having slightly larger inner diameters and slightly smaller outer diameters, respectively, so as to reduce ridge height in successive steps. A final plug and die then may have respective inner and outer diameters corresponding to finished outer and inner diameters of the tube. After drawing by a first die, the tube may be allowed to thermally soak at a temperature slightly above the drawing temperature to alleviate work-hardening before being drawn by the next die so that the ridges remain workable during such drawing by the next die. I also disclose a method of thermal creep differential expansion moulding of a sapphire tube using a mould that is made of a material that has a different coefficient of expansion than sapphire and is non-wettable by sapphire, the mould being sized and shaped to give desired diameters and surface uniformity of at least one of inner and outer surfaces of the tube, the method comprising: placing the rough sapphire tube in the mould and slowly heating the tube-mould assembly to the range of the creep temperature of the sapphire and causing pressure at an interface between the sapphire and the mould; maintaining the assembly at the upper end of the creep temperature range for a creep and soak period to allow creep to progress and relieve the stresses from the pressure upon the sapphire tube from the mould; and slowly cooling the assembly, allowing the sapphire tube to part from the mould so that it can be withdrawn. The mould may be adapted to apply pressure to form the inner surface or the outer surface of the sapphire, or both surfaces at the same time. In a nuclear reactor core, the transfer of heat from the fuel to the cladding depends upon the smoothness of the inner surface of the cladding which determines the thermal contact between the fuel and the cladding. Uniformity of thickness of the cladding is desirable to equally distribute stress and strains resulting from coolant pressure external to the cladding and helium fill gas, fission product gas and fuel pellet contact pressure internal to the cladding. Once formed and provided with fuel pellets, the tube may be closed off at each end with an end cap to form a fuel element. A plurality of these fuel elements may be assembled together with spacers and/or grid-like supports to form a so-called fuel bundle. These end caps and spacers and/or grids may be of the same material as the tube and finished by grinding in known manner. However, it may be desirable to form them using a method disclosed herein suitably adapted. In this industry, a variety of terms are used for the pressure barrier between the fuel and the reactor coolant. For convenience, in the context of this specification, the term “cladding” will be used for such pressure barrier, whether in a pressure-tube or pressure-vessel type of reactor. The term “fuel elements” will be used to embrace both the fuel elements of a pressure-tube type of reactor and the fuel rods of a pressure-vessel type of reactor. The term “fuel assembly” refers to a plurality of fuel elements which are held together in parallel. In the case of a PHWR, this fuel assembly usually is called a “fuel bundle”. The term “fuel channel” refers to an assembly of components in a pressure tube type reactor comprising the pressure tube and other components that maintain and provide insulation between the reactor coolant and moderator outside the pressure tube. The term “joint forming mixture” as used herein refers to solid mix of atomic and/or chemical species of aluminum oxygen and nitrogen, to form a joint In one example, a joint forming mixture refers to a mixture in the AlON—Al2O3 section of the ALN—Al2O3 phase diagram. The term “phase” as used herein refers to a phase in the aluminum oxide-aluminum nitride phase diagram. The term “eutectic” means the composition ratio where the melting temperature of an aluminum oxide aluminum nitride phase reaches a local minimum on the aluminum oxide aluminum nitride phase diagram. The term “eutectic mixture” means a mixture of aluminum oxide and aluminum nitride that results in a phase with a lower melting temperature than sapphire. The drawings identify the components of nuclear reactor fuel element and fuel assembly disclosed in the above-identified concurrently-filed application Ser. No. 13/830,151 filed Mar. 14, 2013, that may be manufactured using one or more of the methods disclosed herein. Referring to FIG. 1, a fuel assembly 100 comprises a bundle of fuel elements 102 connected together with/by a pair of end plates 104. Referring also to FIGS. 2 and 2A, each of the fuel elements 102 shown in FIG. 1 comprises a plurality of fuel pellets 108 concatenated in cladding 110 in the form of a tube closed at each end by an end cap 112. The cladding 110 and end caps 112 are made of an inert material that is substantially transparent to infrared radiation, specifically sapphire. FIG. 1 also shows a plurality of part-cylindrical tabs 106 distributed around the outwardly-facing surfaces of the outermost ones of the fuel elements 102. Each of these tabs 106 has a larger contact area than a typical bearing pad so that, in comparison, the contact pressure would be reduced. The tabs 106 also are made of sapphire, for example single crystal sapphire. Hence, the tabs 106 can be envisioned as “windows” that are transparent to thermal radiation. It will be appreciated that it would be convenient to use the same material for the tabs 106, cladding 110 and end caps 112, especially single crystal sapphire. Single crystal sapphire may be preferred because of one or several of a range of properties. Examples include good thermal radiation transmission characteristics, inertness to corrosion in irradiated, high temperature (and even supercritical) water, high melting temperature (greater than 2000° C.), low neutron absorption comparable to existing cladding materials), desirable mechanical characteristics under neutron radiation under a wide range of temperatures, and thermal and mechanical strain characteristics compatible with those of uranium dioxide (fuel). Moreover, single crystal sapphire does not deteriorate under prolonged irradiation, unlike polycrystalline sapphire which deteriorates mechanically due to the anisotropic irradiation growth of the crystals. As can be seen from FIG. 2A, which shows, magnified, a cross-section through one of the fuel elements 102 of FIG. 2, when the fuel element 102 first is manufactured, there is a small difference in diameter between the inner surface of the cladding 110 and the exterior of the fuel pellet 108, leaving an annular cylindrical space 114 around the concatenated pellets 108. This space is filled with pressurized helium. While FIGS. 2 and 2A were for a PHWR, FIGS. 3 and 3A show a fuel element 126 for a BWR fuel assembly, comprising a plurality of fuel pellets 128 concatenated in cladding 130 in the form of a tube. The fuel pellets 128 are held tightly together end to end by a spring 132 applying force to a spacer 134 in contact with the endmost fuel pellet. The cladding tube 130 is closed at each end by an end cap 136. As was the case with the PHWR fuel element of FIGS. 2 and 2A, the cladding 130 and end caps 136 of the BWR fuel elements in FIG. 3 are made of single crystal sapphire. As before, the desirable properties of single crystal sapphire make it preferred for the fuel element of the BWR. As can be seen from FIG. 3A, there is a small difference in diameter between the inner of the cladding 130 and the outer of the fuel pellet 128, leaving an annular cylindrical space 138 around the concatenated pellets 128; similarly to FIG. 2A. This space is filled with pressurized helium. It should be appreciated that a PWR fuel element can be manufactured using similar materials to the BWR fuel element 126 shown in FIGS. 3 and 3A, the principal differences being the use of different fuel pellets and slightly different dimensions of the fuel element components. The sapphire components of the above-described fuel elements and fuel assembly in FIGS. 1, 2, 2A, 3 and 3A are made of single crystal sapphire using edge defined film fed growth methods to produce roughed out and blank components. The rough sapphire components may have ridges, variability and roughness that make them unsuitable for their nuclear component application. This is especially the case for the cladding tubes. To eliminate the ridges, roughness and variability, the tubes will be high temperature fine formed to produce a tube having uniform internal diameter and uniform wall thickness. This forming will make use of the high temperature creep properties of sapphire that result in it slowly deforming at temperatures around 1700° C. to 2000° C. under sustained high pressure or load. Either or both of two thermal forming processes may be used, namely “thermal creep drawing” and/or “thermal differential expansion moulding”. Thermal creep drawing and thermal creep differential expansion moulding methods embodying the present invention differ from conventional tube forming processes in that forming occurs at very high temperatures and relies on the thermal creep properties of an otherwise non-ductile material, sapphire, to achieve the desired part shape. Thermal Creep Drawing Thermal creep drawing may be used for fine forming the cladding tubes 110. Thus: Step 1 is to heat the rough sapphire tube up to the creep temperature of 1700° C. to 2000° C. In step 2, the rough sapphire tube is then slowly drawn and/or pushed through a plug and die set to reduce the ridging and irregularities on the inner and outer surfaces of the roughed tube. In optional step 3 the tube is allowed to thermally soak at a temperature slightly above the drawing temperature to relieve any work hardening that may have occurred during the drawing process. In step 4, the tube from step 2 or optional step 3 is drawn or pushed through another plug and die set having slightly tighter internal external diameters to reduce the tube thickness and further reduce ridging and non-uniformities. Steps 2, 3 (as appropriate) and 4 are repeated at successively tighter plug and die sizes until the desired cladding tube finish and hot dimensions are achieved. Step 5 is a final hot soak to remove any internal stresses from the forming operation. Step 6 is a slow cooling of the tube to room temperature where its final dimensions and surface uniformity are confirmed by measurement. The moulding of inner and outer surface may be interspersed, but the last step would be moulding of the inner surface. Thermal Creep Differential Moulding Thermal creep differential expansion moulding requires a mould that is made of a material that has a different coefficient of expansion than sapphire and is non-wettable by sapphire. The mould is sized and shaped to give the desired diameters and surface uniformity of the cladding tube when heated to the sapphire creep temperature range of 1700° C. to 2000° C. The internal diameter dimension and surface uniformity are the most critical to fuel element performance, so the exterior of the rough cladding tube is moulded before the internal diameter which therefore is the last step. Step 1 is to place the rough sapphire tube in the external diameter mould having a lower thermal coefficient of expansion than sapphire, and slowly heat the tube mould assembly to the creep temperature range. Step 2 is to hold the assembly at the upper end of the creep temperature range for a creep and soak period to allow creep to progress and relieve the stresses from the compression of the sapphire tube by the mould. Step 3 is to slowly cool the assembly, allowing the sapphire tube to shrink from the mould such that it can be withdrawn at room temperature. Steps 1, 2 and 3 can be repeated successively with tighter dimension moulds until the cladding tube achieves the desired outer diameter and surface uniformity. Step 4 is to place the rough sapphire tube in the internal diameter mould, having a higher thermal coefficient of heating than sapphire, and slowly heat the tube mould assembly to the creep temperature range. Step 5 is to hold the assembly at the upper end of the creep temperature range for a creep and soak period to allow creep to progress and relieve the stresses from the compression of the sapphire tube by the mould. Step 6 is to slowly cool the assembly, allowing the mould to shrink from the sapphire tube such that is can be withdrawn at room temperature. Steps 4, 5 and 6 can be repeated with tighter dimension moulds until the cladding tube achieves the desired inner diameter and surface uniformity. As in the case of thermal creep drawing described above, forming of the inner and outer surfaces may be interspersed, but the final step will be forming of the inner 25 surface. The mould may be adapted to apply pressure to form the inner surface or the outer surface of the sapphire, or both surfaces at the same time. The cladding tubes 110 are subject to the thermal fine forming processes to obtain tight tolerances on the internal diameter and wall thickness. The cladding end caps 112 may be cut from bar stock of ground rods. The endplates 104 may be cut from ground and polished blanks of sheet material. The tabs 106 may be thermally fine formed and/or ground to obtain a smooth bearing surface which, once the fuel assembly is installed in a fuel channel, abuts the inner surface of the fuel channel. The method of assembly is the same for both of the fuel elements shown in FIGS. 2 and 3, so the assembly will be described using the reference numbers of that shown in FIG. 2. The fuel elements 102 are assembled by inserting a row of fuel pellets 108 into a cladding tube 110 that is heated to a temperature that allows easy insertion. The pellets 108 are positioned such that there is a controlled distance between the last pellets in the row and the ends of the cladding tube 110. The cladding tube 110 is allowed to cool such that its temperature equalizes with the temperature of the fuel. The end caps may each comprise a plug (not shown) that inserts into the end of the cladding tube and a rim which has the same diameter as the outer diameter of the cladding tube and abuts the respective end of the cladding tube. (Optionally the end cap may comprise a plug without a rim, or even a flat plate, though the latter is least preferred.) The surfaces of the plugs and rims which will contact the cladding interior and ends, respectively, are coated with a thin layer of aluminum nitride. The end cap is cooled and/or the cladding tube (containing the fuel pellets) is heated to facilitate the insertion of the plugs of the end caps 112 into the cladding tubes 110 while maintaining a controlled gap between the last fuel pellet and the inwardly-facing surface of the end cap 112. The assembly then is allowed to cool or heat, as the case may be, to ambient. A localized infrared heat source, such as a laser, is used to illuminate and heat the aluminum nitride preferentially until its temperature exceeds the melting temperature of sapphire, which then melts the abutting sapphire surfaces. The molten aluminum nitride and sapphire mix forms an aluminum oxy-nitride bond. The source of infrared heating is removed and the bond is allowed to cool and solidify forming a solid joint between the cladding tube and end cap. The resulting assembly is a single fuel element 102. The spacing between the fuel elements in a fuel bundle assembly can be maintained by spacer pads attached to the fuel element cladding tube 110. The spacer pads would be made from edge defined film fed growth sapphire rod that is cut to the required thickness of the spacer. The face of the spacer that is to be attached to the cladding tube would be coated with aluminum nitride. The spacer would be joined to the cladding tube using the same infrared heating method as used to join the end caps to the cladding tube. A fuel bundle 100 is made by assembling the desired number and size of fuel elements 102 in a fixture that sets the fuel bundle geometry. End plates 104 which hold the bundle of fuel elements 102 are coated with aluminum nitride on one face and the end plate is placed in the assembly fixture such that the aluminum nitride coated face abuts each of the fuel element end caps. A localized infrared heat source such as a laser is used to illuminate and heat each of the aluminum nitride coated end plates at its interface with respective fuel element end caps. The heating progresses until the aluminum nitride coated surfaces of the end plate and contacting fuel element end cap surface begin to melt. The molten aluminum nitride and sapphire mix forms an aluminum oxy-nitride bond. The source of infrared heating is removed and the bond is allowed to cool and solidify forming a solid joint between the end plate and fuel element end caps. The last step in fuel bundle 100 assembly is to join the tabs 106 to the outer ring of elements 102. The tabs are made from edge defined film fed growth sapphire grown in a cylindrical shell segment form. The tabs are placed at intervals as illustrated in FIG. 1. The tabs are joined with the outer ring of fuel elements using the infrared heating process described for joining the end caps to the cladding tubes. The aluminum nitride required for the infrared absorption and bond is applied to the joint location on the outer elements. Once all of the tabs have been attached, their respective outer surfaces are ground and polished to produce a smooth and accurate outer diameter profile for the bundle. Referring to FIGS. 4-9, I disclose embodiments which relate to a method for joining parts that comprise aluminum oxide-containing material, such as sapphire or sapphire-clad parts. An example of a suitable material is single crystal sapphire. According to one example, a sapphire cladding tube 200 of a nuclear fuel element is joined to a sapphire end cap 202 in order to seal tube 200. A layer 204 of a joint forming compound such as aluminum nitride is provided between the sapphire surfaces of the respective parts 200 and 202 where these contact each other, whereby the aluminum nitride layer 204 is sandwiched between contacting portions of the end cap 202 and tube 200 when these are assembled. The joint forming layer is selected to react with aluminum oxide to form a monolithic joint on the application of heat. We refer to the “definitions” section above for a discussion of suitable joint-forming mixtures for use with the present invention. Furthermore, directional references herein, such as “upper” and “lower”, refer to the respective parts stacked vertically for assembly, as shown in FIGS. 4 and 9. Aluminum nitride layer 204 is initially provided on upper rim 210 of tube 200. Rim 210 is flat and smooth in order to prevent gaps. Preferably, layer 204 fully covers rim 210 but may alternatively cover only a portion thereof. The aluminum nitride layer 204 between parts 200 and 202 may be produced by any suitable means. Examples for providing layer 204 include the following: A thin wafer 220 of aluminum nitride is ‘sandwiched’ between the end cap 202 and rim 210 of tube 200. The wafer and adjoining sapphire surfaces should be flat and have a smooth finish typically 10 micro meter or better; the thickness of the wafer depends on the laser heating cycle to be applied. A thin wafer such as 0.1 millimeters is preferable when it is desired to localize the heating to the immediate surroundings of the joint area. Localized heating which selectively heats wafer 220 minimizes heat transfer to fuel pellets (not shown) within the cladding which may be desirable to avoid cracking of the fuel pellets closest to the joint. A fine powder 230 of aluminum nitride is tape cast on rim 210 using a non-aqueous binder. The tube 200 with tape casting is then heated in accordance with known methods for driving off the binder and consolidating the powder. Following tape casting, the aluminum nitride may be sintered to further consolidate the material. As with example 1, the thickness of the tape casting depends on the desired heating cycle with a thinner casting permitting a more localized heating during joint forming. The end cap 202 is then positioned on the end of the tube 200. The tape casting 230 could also be applied to the end cap 202 in addition to or instead of coating rim 210. A coating process such as physical vapor deposition or chemical vapor deposition is used to coat rim 210 with an aluminum nitride layer 240. As with the other methods for creating the interstitial layer, rim 210 and adjoining surface of the end cap 202 should be flat and smooth prior to the coating. This is particularly important for coating processes that only produce a thin coating layer. The coating 240 could also be applied to the end cap 202 in addition to or instead of coating the butt end of the tube. An alternate joint forming layer comprises a layer aluminum nitride and aluminum oxide in a eutectic ratio in the aluminum oxide-aluminum nitride phase system abutting a layer of aluminum nitride. This compound layer may be either aluminum nitride on top of the eutectic ratio layer or the eutectic ratio layer sandwiched between a top and bottom aluminum nitride layer. The eutectic ratio layer maybe a phase of aluminum oxide and aluminum nitride or it may be a solid mixture of aluminum nitride and aluminum oxide powder in the composition ratio of the eutectic. The above layer-forming methods may also be used to create the interstitial layers when a eutectic ratio mixture of aluminum oxide and aluminum nitride is added to the interstitial region between parts 200 and 202. After the interstitial layer 204 is created using one of the above methods or other suitable method, end cap 202 is positioned on the end of tube 200 to form assembly 205. A clamping force is applied that is sufficient the maintain the interstitial layer 204 in intimate contact with the adjoining smooth sapphire surfaces throughout the laser heating process. Following the above steps, selective heating is applied to layer 204 to react this layer with the regions of the tube 200 and cap 202 that are in contact therewith. The selective heating may be provided by directing a laser beam at layer 204. Since layer 204 is non-transparent (i.e. absorptive) of IR radiation when it is in the initial unreacted state, whilst the adjacent sapphire tube 200 and cap 202 are essentially transparent to IR radiation, layer 204 is selectively heated by the laser beam whilst the adjacent sapphire components are not directly heated by laser source 208. However, when layer 204 is heated, the sapphire parts that contact this layer become heated by conduction from layer 204. As such, the laser beam may be directed through one or both of parts 200 and 202 to impinge on layer 204 to provide localized (selective) heating of layer 204 followed by indirect heating of portions of parts 200 and 202 that are in contact with layer 204. It will be seen that this conductive heating occurs maximally within a relatively thin region of parts 200 and 202. An example of a method to selectively heat layer 204 with a laser beam is described herein. Tube 200 is positioned in an upright orientation on a turntable 206 whereby its upper rim 210 faces upwardly. Parts 200 and 202 are assembled whereby layer 204 is sandwiched between parts 200 and 202. End cap 202 is positioned atop tube 200 in a vertically aligned orientation whereby rim 210 is fully covered by end cap 202. A laser source 208 is provided and is oriented to direct a laser beam towards layer 204, at the region where tube 200 contacts end cap 202. For example, laser source 208 may be mounted in an overhead position to direct a laser beam downwardly through end cap 202, onto rim 210. The selective heating can be provided by directing a fixed-position laser beam from source 208 to the joint area between parts 200 and 202 while these are rotated on turntable 206. Laser source 208 is oriented such that the laser beam impinges momentarily on a given location 212 which consists of a relatively small portion of rim 210, as shown in FIG. 4. Most of the heat of the laser passes through the sapphire end cap 202 which is highly transparent to infrared radiation in the spectrum of the laser, ideally close to 1 micro meter wavelength, and impinges on the high emissivity aluminum nitride layer 204. As turntable 206 rotates, the laser impinges on the entirety of layer 204 during each full rotation. This results in localized, selective heating of the aluminum nitride layer 204. As used herein, the term “selective heating” refers to heating targeted solely to layer 204 whilst the adjacent parts 200 and 202 are not directly heated by laser 208. In the present example, this is achieved by directing a laser beam through one or both of parts 200 and 202, which are essentially transparent to the laser spectrum, with the laser beam contacting layer 204, which is largely opaque to the IR spectrum and thus absorptive of the laser beam when unreacted. As layer 204 is selectively heated, it in turn transmits heat into adjacent layers of parts 200 and 202 in the joint region, thereby indirectly heating these adjacent layers. With each rotation of tube 200 there is a successively greater heat deposition in the joint area. While heat is being deposited by the laser it is also being conducted away from the joint by thermal conduction into the cladding tube 200 and end cap disk 202, where these contact layer 204. The attainment of the joint forming temperature requires a laser heat flux sufficient to more than offset the loss of heat from the joint region by conduction. The laser heating cycle depends on the thickness of the interstitial layer, with a thicker layer requiring more heating. An example of a heating cycle for a 11.5 millimeter external diameter tube with a 0.35 millimeter wall thickness and a 0.02 millimeter thick aluminum nitride layer heated by a 0.4 millimeter beam diameter 50 Watt maximum beam power Nd:YAG laser follows. According to one aspect, the aluminum nitride layer 204 is heated to the melting temperature of the adjacent sapphire parts or slightly above this level. This procedure can be advantageous in that it requires a relatively short time where a short duration heating cycle is needed to avoid heat-up of adjacent fuel, exceeding the melting temperature will be necessary. According to another example, layer 204 is heated to a temperature which is below the melting temperature of the adjacent sapphire parts, but is sufficiently high to react the respective compounds of the sapphire parts and layer 204. Heat is transmitted by conduction into adjacent portions of parts 200 and 202, thereby raising the temperature resulting in diffusion mixing and a reaction with layer 204 to join the parts together with a monolithic joint. As discussed below, according to one example, the reaction between layer 204 with the sapphire of parts 200 and 202 may form a eutectic mixture of the compounds. This is achieved by heating layer 204 to the eutectic point of the aluminum oxide/aluminum nitride eutectic system. When heated to this temperature for sufficient duration, heat is then conducted into the adjacent sapphire whereupon the respective compounds react to form a eutectic mixture. The resulting region 209 of eutectically mixed compounds effectively forms a monolithic joint between parts 200 and 202. The laser is set-up so that the mid-point of the beam impinges normally on the mid-point of the tube thickness. The tube is rotated at a speed of 500 revolutions per minute cap and interstitial layer in position and clamped. Constant laser power is applied for a heat-up to 2080 C in approximately 10 seconds. The power is then reduced to hold the temperature between 2000 and 2080 C. As the aluminum nitride reacts and is incorporated into aluminum oxy-nitride compounds 250 in the joint region the infrared transparency of the joint will increase. The laser power will increasingly pass through the joint. When this condition is detected the laser will be reduced to zero allowing the joint to cool down. Layer 204 is heated by this means to a temperature which exceeds the temperature of the eutectic points of the AlON—Al2O3 section of the AlN—Al2O3 system, 1800 C to 2050 C, namely 1800 C to 2150 C. In this temperature range, heat conducted from layer 204 into the adjacent parts 200 and 202 causes a layer of the sapphire of the respective parts 200 and 202 to diffuse and react with the aluminum nitride of layer 204. The reacted portions of parts 200 and 202, together with layer 204, form a layer 209 comprising a aluminum oxide and aluminum oxy-nitride compounds that, on cooling, joins parts 200 and 202. Layer 209 extends partially into both of parts 200 and 202. The heating step consists of three stages. An initial stage 1 consists of a “ramp up” in which laser heating is applied at a sufficient strength to cause layer 204 to reach a temperature which is lightly above the eutectic points in the AlON—Al2O3 section of the ALN—Al2O3 phase diagram. During this stage, layer 204 conducts heat into adjacent portions parts 200 and 202, raising their temperature. This first stage is followed by a “holding” stage 2, at which laser heating is applied at a reduced strength that is sufficient to maintain the temperature of stage 1 for a duration sufficient to cause the reaction described herein between layer 204 and the adjacent sapphire layers to form an aluminum oxy-nitride compound. This is then followed by a cooling stage 3, during which a laser strength reduced from stage 2 may be applied, or the laser source may be switched off. During this cooling stage, layers 200 and 202 chemically bond with a monolithic joint 209 across the border region between these layers, which consists of a layer of aluminum oxide and aluminum oxy-nitride compounds that extends partway into the respective parts 200 and 202. This monolithic joint 209 is schematically shown in FIG. 8. According to one aspect, shown in FIG. 4, a detector 215 is provided and is configured to detect any portion of the laser beam that is transmitted through layer 204, to measure the progress of the joint forming reaction that consumes the non-transparent aluminum nitride. For example, detector 215 may comprise a calorimetric detector. Detector 215 may be linked to a controller (not shown) which controls the operation of laser 208. When layer 204 is unreacted, prior to and during the initial part of stage 1 heating, it absorbs essentially all of the laser beam directed thereon and detector 215 registers essentially no laser power passing through layer 204. As layer 204 is heated and caused to react with the abutting sapphire, it increases in transparency, which then is detected by detector 215. When layer 204 is then fully reacted with the adjacent sapphire, this is detected by detector 215 as a maximal light transmissivity through layer 204 that exceeds a second predetermined threshold. At this point, a signal is sent to laser 208 to implement stage 3. According to a further embodiment, schematically shown in FIG. 9, additional layers 260 and 262 are applied between parts 200 and/or 202. Layer 260 is a lower layer that underlies aluminum nitride layer 204 and layer 262 is an upper layer that overlies layer 204, thereby sandwiching layer 204 between them. Layers 260 and 262 each comprise a eutectic mixture of aluminum nitride and aluminum oxide. Layers 204, 260 and 262 may all be applied to either one of parts 200 and 202 by one of the methods described above, or some of these layers may be applied to one of parts 200 and the rest of these layers applied to part 202. Assembly 205 of the above components comprises a first (lowermost) interface 264 between lower part 200 and lower layer 260, a second interface 266 between layers 260 and 204, a third interface 268 between layers 204 and 262 and a fourth (uppermost) interface 270 between layer 262 and upper part 202. In this example, assembly 205 is exposed to IR radiation from a laser beam, in the same manner as the previous embodiment. Since parts 200 and 202, as well as layers 260 and 262, are all IR-transparent, the laser beam passes through these layers to be absorbed by aluminum nitride layer 204. Layer 204 is thus locally heated by laser 208. Heat deposited in layer 204 is then conducted through interfaces 266 and 268 into the underlying and overlying eutectic layers 260 and 262. When the eutectic layers 260 and 262 are heated, these in turn conduct heat through interfaces 264 and 270 into the lower and upper sapphire parts 200 and 202 respectively. Eutectic layers 260 and 262, having a lower melting point than any of the respective non-eutectic compounds of 200, 202 and 204, will melt before these respective components. As heat is applied from source 208, thereby heating layer 204, layers 260 and 262 become secondarily heated to the point at which they react with both the aluminum nitride layer 204 at interfaces 266 and 268 and also with sapphire parts 200 and 262 at interfaces 264 and 270. The respective reactions cause the sapphire of part 202 to enter into a eutectic mixture with layers 260 and 262. As this reaction proceeds, aluminum nitride layer 204 also reacts to form a eutectic with layers 260 and 262, thereby bridging sapphire parts 200 and 202 through the respective interfaces. These reactions generate a region between parts 200 and 202 comprising a eutectic mixture that bridges these parts with a monolithic joint. When the aluminum nitride layer 204 is fully reacted, it becomes transparent to IR radiation from source 208. As a result, the eutectic region will cool and solidify, forming the monolithic joint. It will be seen that in the above embodiment, a single eutectic layer 260 or 262 may be provided rather than both of such layers. The joining of a cylindrical cladding tube 200 to a disk shaped end cap 202 of a nuclear fuel element can thus be accomplished by a fixed laser beam directed to the joint area while the cladding tube is rotated such that the beam impinges momentarily on a given location as shown in FIG. 4. Most of the heat of the laser passes through the sapphire end cap which is mostly transparent to infrared radiation in the spectrum of the laser and impinges on the high emissivity aluminum nitride layer resulting in localized heating of the aluminum nitride and adjacent materials in the joint region. With each rotation of the cladding tube the there is a successively greater heat deposition in the joint area. While heat is being deposited by the laser it is also being conducted away from the joint by thermal conduction into the cladding tube and end cap disk, where these contact the aluminum nitride layer. The attainment of the joint forming temperature requires a laser heat flux sufficient to more than offset the loss of heat from the joint by conduction. It is to be kept in mind that the foregoing descriptions of specific embodiments and modifications thereto, and attached Figures, are presented by way of example only and should not be construed as limiting the inventive concept to any particular physical configuration or process. It is to be clearly understood that the scope of the present invention is limited only by the appended claims. |
|
description | This application claims the benefit of Korean Patent Application No. 10-2006-0132038, filed on Dec. 21, 2006, in the Korean Intellectual Property Office, the disclosure of which is incorporated herein in its entirety by reference. 1. Field of the Invention The present invention relates to a scanning probe microscope, a probe of which can be automatically replaced, and more particularly, to a scanning probe microscope, a probe of which can be automatically replaced and the replacement probe can be attached to an exact position. 2. Description of the Related Art Scanning probe microscopes (SPMs) are microscopes having a resolution in the nano-scale range, and show surfaces of samples or electrical properties of the samples as images. Some examples of SPMs are atomic force microscopes (AFMs), magnetic force microscopes (MFMs), and scanning capacitance microscopes (SCMs). In a conventional SPM, a tip of a probe moves while contacting a surface of a sample or moves while maintaining a predetermined distance from the surface of the sample in order to analyze the shape of the surface of the sample or the electrical properties of the sample. As described above, since the tip of the probe in the conventional SPM moves while contacting the surface of the sample or maintaining a constant distance from the surface of the sample, the probe or the tip of the probe can be damaged when the conventional SPM operates. Therefore, the probe of the conventional SPM is fabricated in order for the probe to be replaced. However, in the conventional SPM, the tip of the probe must be manually replaced by a user, and thus, it is inconvenient to do so. Additionally, since the conventional SPM represents properties of the surface of the sample using the tip of a few micrometers or a few nanometers in size as images, the replacement probe must be attached onto an exact position. However, in the conventional SPM, the position of the tip of the probe is changed whenever the probe is replaced, and thus, the positions of optical systems related to the probe must be rearranged after replacing the probe. The present invention provides a scanning probe microscope (SPM), in which a probe is automatically replaced and the replacement probe is attached onto an exact position. According to an aspect of the present invention, there is provided a scanning probe microscope (SPM) including: a first scanner that has a carrier holder, and changes a position of the carrier holder in a straight line; a second scanner changing a position of a sample on a plane; and a tray being able to store a spare carrier and a spare probe attached to the spare carrier, wherein the carrier holder includes a plurality of protrusions. The SPM may further include: a carrier and a probe attached to the carrier, and the carrier may be attached onto the carrier holder and detached from the carrier holder and may include a plurality of holes corresponding to the protrusions of the carrier holder. The tray may include a plurality of protrusions. The SPM may further include: a carrier and a probe attached to the carrier, and the carrier may be attached onto the carrier holder and detached from the carrier holder and may include a plurality of holes or recesses corresponding to the protrusions of the carrier holder and a plurality of holes or recesses corresponding to the protrusions of the tray. The carrier may be formed of metal. The carrier holder may be formed of a permanent magnet or an electromagnet, may include a portion formed of a permanent magnet or an electromagnet, or include a vacuum chuck. The tray may be formed of a permanent magnet or an electromagnet, or may include a portion formed of a permanent magnet or an electromagnet. The carrier holder may include three protrusions that are hemispherical. Hereinafter, the present invention will be described in detail with reference to accompanying drawings. FIG. 1 is a schematic perspective view of a scanning probe microscope (SPM) according to an embodiment of the present invention. Referring to FIG. 1, the SPM according to the current embodiment includes a first scanner 31, a second scanner 32, and a tray 42 that stores a spare probe. If necessary, the SPM can further include a frame 50 that includes a first frame 51 supporting the first scanner 31 and a second frame 52 supporting the second scanner 32, as shown in FIG. 1. Additionally, a position of the tray 42 is not limited to the position shown in FIG. 1, and thus, the position of the tray 42 can be located on various other locations of the SPM. The first scanner 31 includes a carrier holder 30 (refer to FIG. 2A) on an end portion thereof, and moves the carrier holder 30 in a straight line in a z-axis direction. Hence, when a carrier 20, to which the probe is attached, is attached to the carrier holder 30, the first scanner 31 moves the probe in the straight line in the z-axis direction. The second scanner 32 changes a position of a sample 40 on an x-y plane. FIG. 2A is a schematic cross-sectional view of portion A in FIG. 1, and FIG. 2B is an exploded perspective view of portion A in FIG. 1, according to embodiments of the present invention. Referring to FIG. 1 and FIG. 2B, the carrier holder 30 includes a plurality of protrusions 30a. In FIGS. 2A and 2B, two protrusions 30a are disposed. The carrier 20 can be attached onto the carrier holder 30, and the probe 10 is adhered onto the carrier 20. The probe 10 includes a cantilever 11 and a tip 12 on an end of the cantilever 11, and is generally formed as a semiconductor chip. The chip is generally 1.6 mm in width and 3.4 mm in length. The cantilever 11, having a length of about 100 μm, is exposed from a side of the chip, and the tip 12 is formed on the end of the cantilever 11. In the current embodiment and other embodiments of the present invention, the probe 10 is defined to include the semiconductor chip, the cantilever 11, and the tip 12, for the convenience of explanation. Since the probe 10 is very small, the probe 10 is attached onto the carrier 20 in order for the probe 10 to be easily manipulated. The probe 10 can be attached to the carrier 20 using an adhesive or various other methods. In FIGS. 2A and 2B, parts of the probe 10 and the carrier 20 are not drawn to actual scale, and the parts of the probe 10 and the carrier 20 are schematically disclosed for convenience of explanation. As described above, in the SPM of the current embodiment, the carrier holder 30, which is formed on the end portion of the first scanner 31, includes the protrusions 30a. Additionally, the carrier 20, on which the probe 10 is attached, includes a plurality of holes 20a that correspond to the protrusions 30a of the carrier holder 30. Alternatively, a plurality of recesses, not the holes 20a as shown in FIGS. 2A and 2B, can be formed, and the recesses can correspond to the protrusions 30a formed on the carrier holder 30 at the end portion of the first scanner 31. In this case, the recesses may not be formed as spheres, however, can be formed as various shapes, such as slots. Hereinafter, the case where the holes 20a are formed in the carrier 20 will be described. The carrier 20, on which the probe 10 is attached, is adhered onto the carrier holder 30 on the end portion of the first scanner 31. The carrier 20 is formed of a metal material. In addition, the carrier holder 30 can be formed of a permanent magnet or an electromagnet, or can have portions of the carrier holder 30 formed of the permanent magnet or the electromagnet, for example, the protrusions 30a. Therefore, the carrier 20 is attached onto the carrier holder 30 using electromagnetic forces. When the carrier 20, to which the probe 10 is attached, is detached from the carrier holder 30, the user can easily manually remove the carrier 20. Additionally, if the carrier holder 30 is formed of an electromagnet or has a portion formed of an electromagnet, the carrier 20 can be attached to or detached from the carrier holder 30 automatically using the properties of electromagnets. Further, the carrier holder 30 can have a vacuum chuck, and an adhesion force of the carrier holder 30 can be controlled by controlling the suction force of the vacuum chuck, and accordingly, the carrier 20 can be attached to or detached from the carrier holder 30. According to the SPM of the current embodiment, when the probe 10 or the carrier 20, to which the probe 10 is attached, attached to the carrier holder 30 at the end portion of the first scanner 31, needs to be replaced, the end portion of the first scanner 31 moves to an upper portion of the tray 42 (refer to FIG. 1), and then, the spare probe (or a spare carrier to which the spare probe is attached) stored in the tray 42 is attached to the carrier holder 30, which is at the end portion of the first scanner 31. Before this operation, the probe 10 that was used is detached from the carrier holder 30, which is at the end portion of the first scanner 31. Additionally, although the end portion of the first scanner 31 is depicted not to be able to move to the upper portion of the tray 42 in FIG. 1, this is just for the convenience of depiction, and an actuator that can change the position of the first scanner 31 can be further formed on the first frame 51 that supports the first scanner 31. Furthermore, although it is described that the end portion of the first scanner 31 is moved onto the upper portion of the tray 42 in the current embodiment, the tray 42 can be formed on the second scanner 32, and the second scanner 32 can move on the x-y plane so that the tray 42 can be located under the end portion of the first scanner 31. Then, the first scanner 31 can move the end portion of the first scanner 31 in the z-axis direction, and accordingly, the spare probe (or the spare carrier to which the spare probe is attached) stored in the tray 42 can be attached onto the carrier holder 30 at the end portion of the first scanner 31. According to the conventional SPM, when the replacement probe is attached onto the carrier holder portion, the position of the tip is changed whenever the probe is replaced, and thus, the positions of optical systems that are related to the probe must be rearranged after replacing the probe. However, according to the SPM of the current embodiment, the carrier holder 30, which has the protrusions 30a, is disposed on the end portion of the first scanner 31, and the carrier 20 that is attached to the carrier holder 30 also includes the holes 20a corresponding to the protrusions 30a of the carrier holder 30. Therefore, when the carrier 20, to which the probe 10 is attached, is attached onto the carrier holder 30, the protrusions 30a of the carrier holder 30 and the holes 20a of the carrier 20 are coupled to each other, and thus, the probe 10 can be attached on a predetermined position with regard to the carrier holder 30. Therefore, the problem of changing the positions of the tip whenever the probe is replaced and the optical systems relating to the probe as in the conventional SPM can be solved. As a comparative example of the SPM with the current embodiment, an optical unit including an image pickup device such as a charge-coupled device (CCD) can be disposed in the SPM in order to determine whether the end portion of the first scanner 31 has exactly moved to the upper portion of the tray 42 (refer to FIG. 1). Then, the spare probe stored in the tray 42 can be attached to the carrier holder 30 at the end portion of the first scanner 31. The optical unit is installed because the relative position of the end portion of the first scanner 31 with respect to the tray 42 must be exact in order to attach the spare probe stored in the tray onto the carrier holder 30 at the end portion of the first scanner 31. However, the SPM according to the current embodiment of the present invention does not require the optical unit as described above due to the fact that since the position of the tray 42 is set in advance, the end portion of the first scanner 31 simply moves to the peripheral portion of the tray 42, and then, the protrusions 30a of the carrier holder 30 and the holes 20a of the carrier 20 to which the probe 10 is attached have a function of automatic arrangement. That is, in the SPM of the current embodiment of the present invention, since the carrier holder 30 at the end portion of the first scanner 31 includes the protrusions 30a and the carrier 20 includes the holes 20a corresponding to the protrusions 30a, the protrusions 30a of the carrier holder 30 and the holes 20a of the carrier 20 are automatically coupled to each other when the probe 10 is attached to the carrier holder 30 even if the relative position of the first scanner 31 with respect to the tray 42 is not precise. As such, the probe 10 can be exactly attached onto the carrier holder 30. To perform the function of automatic arrangement between the protrusions 30a of the carrier holder 30 and the holes 20a of the carrier 20, the protrusions 30a of the carrier holder 30 may have hemispherical shapes as shown in FIG. 2A. If the protrusions 30a of the carrier holder 30 are formed as hemispheres, the protrusions 30a of the carrier holder 30 can be slid into the holes 20a of the carrier 20 even when the positions of the protrusions 30a and the holes 20a do not coincide with each other. Then, the carrier to which the probe 10 is attached can be exactly attached to the carrier holder 30 automatically. Meanwhile, although a plurality of protrusions 30a and a plurality of holes 20a can be formed, a proper attachment between the protrusions 30a of the carrier holder 30 and the holes 20a of the carrier 20 can be accomplished with at least only two protrusions 30a and two holes 20a. In the SPM, according to the current embodiment of the present invention, the spare probe is stored in the tray 42. Additionally, unlike the conventional SPM, in which the probe is replaced manually by the user, the end portion of the first scanner 31 moves to the upper portion of the tray 42 so that the probe 10 can be automatically replaced as in the SPM of the current embodiment of the present invention, and thus, the convenience of using the SPM according to the present invention can be maximized. Meanwhile, the position of the tray 42 that stores the spare probe is fixed. Therefore, when the user inputs a signal for replacing the probe 10 to the SPM, the end portion of the first scanner 31 can automatically move to the upper portion of the position of the tray 42 that is set in advance. Otherwise, the tray 42 can be formed on the second scanner 32 and the second scanner 32 moves in a predetermined path on the x-y plane so that the tray 42 can be located under the end portion of the first scanner 31. Hence, when the probe 10 is automatically replaced, the position of the probe 10 with respect to the carrier holder 30 at the end portion of the first scanner 31 may not be exactly arranged. However, according to the SPM of the current embodiment, the probe 10 can be automatically attached onto the exact location of the carrier holder 30 due to the protrusions 30a formed on the carrier holder 30 and the holes 20a formed in the carrier 20. FIG. 3A is a schematic perspective view of portion B in FIG. 1, in which the carrier 20 and the probe 10, which is attached onto the carrier 20, are stored, according to an embodiment of the present invention. The spare probe that is to be attached onto the carrier holder 30 of the first scanner 31 is stored in the tray 42. A plurality of probes can be stored in the tray 42 unlike the example shown in FIG. 3A. FIG. 3B is a perspective view of a tray 42 as shown in portion B of FIG. 1, in which the carrier 20 and the probe 10, which is attached onto the carrier 20, are not stored in the tray 42, according to the embodiment of the present invention. Referring to FIG. 3B, the tray 42 includes a plurality of protrusions 42a. Thus, the carrier 20, to which the probe 10 is attached, stored in the tray 42 includes a plurality of holes or a plurality of recesses (hereinafter, referred to as holes) corresponding to the protrusions 42a of the tray 42. Therefore, when the carrier 20, to which the probe 10 is attached, is stored in the tray 42, the carrier 20 can be located at a fixed location in the tray 42. The effects of the SPM according to the current embodiment are as follows. If the size of the tray 42 is equal to that of the probe 10 or the carrier 20 to which the probe 10 is attached, it is not easy to insert the probe 10 or the carrier 20 into the tray 42 or draw the probe 10 or the carrier 20 from the tray 42. Therefore, the tray 42 may be larger than the probe 10 or the carrier 20. However, if the tray 42 is larger than the probe 10 or the carrier 20, the probe 10 or the carrier 20 may not be stored at a fixed location in the tray 42. Then, when the probe 10 or the carrier 20, which is stored in the tray 42, is automatically attached to the carrier holder 30 at the end portion of the first scanner 31, the relative positions between the probe 10 or the carrier 20 in the tray 42 and the carrier holder 30 at the end portion of the first scanner 31 are not consistent with each other. However, according to the SPM of the current embodiment, the protrusions 42a are formed in the tray 42, and the carrier 20, to which the probe 10 is attached, has the holes 20a corresponding to the protrusions 42a of the tray 42, and thus, the position of the probe 10 in the tray 42 can be fixed. Consequently, the probe 10 or the carrier 20, which is stored in the tray 42, can be exactly attached to the carrier holder 30 at the end portion of the first scanner 31. Meanwhile, the carrier 20, to which the probe 10 is attached, includes the holes 20a corresponding to the protrusions 30a formed on the carrier holder 30 at the end portion of the first scanner 31, and thus, the holes 20a formed in the carrier 20 can correspond to both of the protrusions 30a and the protrusions 42a by forming the protrusions 42a formed in the tray 42 to have the same patterns as those of the protrusions 30a of the carrier holder 30. Furthermore, in order to stably store the probe 10 or the carrier 20 in the tray 42, the carrier 20 can be formed of a metal material and the tray 42 can be formed of a permanent magnet or an electromagnet, or the tray 42 can include a portion formed of a permanent magnet or an electromagnet. For example, the protrusions 42a of the tray 42 can be formed of a permanent magnet or an electromagnet. If the tray 42 is formed of a permanent magnet or includes a portion formed of a permanent magnet, the carrier holder 30 at the end portion of the first scanner 31 can be formed of a permanent magnet having a stronger magnetic force than that of the permanent magnet of the tray 42, and thus, the probe 10, which is stored in the tray 42, can be attached onto the carrier holder 30. FIG. 4 is a cross-sectional view of a part of an SPM according to another embodiment of the present invention. In the SPM according to the previous embodiment, the of protrusions 30a are formed on the carrier holder 30 at the end portion of the first scanner 31, and the carrier 20, to which the probe 10 is attached, includes the holes 20a or recesses corresponding to the protrusions 30a of the carrier holder 30. However, in the SPM according to the current embodiment, a plurality of recesses 30b are formed on the carrier holder 30 at the end portion of the first scanner 31, and a plurality of protrusions 20b, which correspond to the recesses 30b of the carrier holder 30, are formed on the carrier 20, to which the probe 10 is attached. According to the above structure, the probe 10 can be attached to the exact position of the carrier holder 30. The above structure can be modified variously, for example, a plurality of protrusions are formed on a lower surface of the carrier to which the probe is attached, and a plurality of recesses, which correspond to the protrusions of the carrier, are formed in the tray, and thus, the probe can be stored at the fixed location in the tray. According to the SPM of the present invention, the probe can be automatically replaced, and the replacement probe can be attached onto an exact position. While the present invention has been particularly shown and described with reference to exemplary embodiments thereof, it will be understood by one of ordinary skill in the art that various changes in form and details may be made therein without departing from the spirit and scope of the present invention as defined by the following claims. |
|
summary | ||
claims | 1. An X-ray source, comprising:a cathode including a shielding channel through which an X-ray passes;emitters formed on an upper surface of the cathode, and arranged around the shielding channel;an anode positioned so as to face the cathode, and including an anode target in which an E-beam is focused; anda gate electrode positioned between the cathode and the anode, and including gate holes at positions corresponding to those of the emitters. 2. The X-ray source of claim 1, wherein the shielding channel passes through the cathode in a thickness direction of the cathode, and has an inlet and an outlet which have the same width. 3. The X-ray source of claim 1, wherein the shielding channel passes through the cathode in a thickness direction of the cathode, and has an inlet and an outlet, in which the inlet has a larger width than that of the outlet. 4. The X-ray source of claim 1, wherein a radiation angle of the X-ray emitted from the anode target is determined by adjusting a diameter of an E-beam which is focused in the anode target. 5. The X-ray source of claim 1, wherein a radiation angle θ of the X-ray emitted from the anode target and a diameter D of the X-ray, which passes through the shielding channel and reaches a detector, satisfy an equation below, d 1 ≈ 0 , d 3 ≥ d 2 D m i n = d 2 ( 1 + L l 1 ) θ m i n = 2 tan - 1 ( D m i n - d 2 2 L ) here, d1 represents a diameter of the E-beam which is focused in the anode target, d2 represents a diameter of an outlet of the shielding channel, l1 represents a distance from the anode target to the outlet of the shielding channel, and L represents a distance from the outlet of the shielding channel to the detector. 6. The X-ray source of claim 1, wherein the X-ray, which passes through the shielding channel and reaches a detector, satisfies an equation below, d 1 ma x = l 1 l 2 ( d 2 + d 3 ) - d 2 here, d1max represents a maximum diameter of an E-beam, which is focused in the anode target, l1 represents a distance from the anode target to an outlet of the shielding channel, l2 represents a distance of the shielding channel, d2 represents a diameter of the outlet of the shielding channel, and d3 represents a diameter of an inlet of the shielding channel. 7. The X-ray source of claim 6, wherein a radiation angle θ of the X-ray emitted from the anode target and a diameter D of the X-ray, which passes through the shielding channel and reaches a detector, satisfy an equation below, d 1 < d 1 ma x , d 3 ≥ d 2 D = d 1 + d 2 l 1 L + d 2 θ = 2 tan - 1 ( D - d 2 2 L ) here, d1 represents a diameter of an E-beam which is focused in the anode target, d1max represents a maximum diameter of the E-beam, which is focused in the anode target, d2 represents the diameter of the outlet of the shielding channel, l1 represents the distance from the anode target to the outlet of the shielding channel, and L represents a distance from the outlet of the shielding channel to the detector. 8. The X-ray source of claim 6, wherein a radiation angle θ of the X-ray emitted from the anode target and a diameter D of the X-ray, which passes through the shielding channel and reaches a detector, satisfy an equation below, d 1 = d 1 ma x , d 3 ≥ d 2 D ma x = d 2 + d 3 l 2 L + d 2 θ ma x = 2 tan - 1 ( d 2 - d 3 2 l 2 ) here, d1 represents a diameter of an E-beam which is focused in the anode target, d2 represents the diameter of the outlet of the shielding channel, d3 represents the diameter of the inlet of the shielding channel, l2 represents the distance of the shielding channel, and L represents a distance from the outlet of the shielding channel to the detector. 9. The X-ray source of claim 1, wherein the cathode includes:a first plate including a first shielding channel through which the X-ray passes; anda second shielding channel, through which the X-ray passing through the first shielding channel passes. 10. The X-ray source of claim 9, wherein the second shielding channel has a narrower width than that of the first shielding channel. 11. The X-ray source of claim 1, wherein a surface of the anode target has a concave shape. 12. The X-ray source of claim 1, wherein the emitter includes a first emitter, which is relatively adjacent to the shielding channel, and a second emitter, which is relatively spaced apart from the shielding channel, the opening includes a first gate hole corresponding to the first emitter and a second gate hole corresponding to the second emitter, center axes of the second emitter and the second gate hole correspond to each other, and the first emitter is positioned while being slant to the shielding channel. 13. The X-ray source of claim 1, further comprising:a focusing electrode positioned between the gate electrode and the anode. 14. An X-ray device, comprising:a plurality of X-ray sources, each of which includes a cathode including a shielding channel, through which an X-ray passes, emitters formed on an upper surface of the cathode and arranged around the shielding channel, an anode positioned so as to face the cathode and including an anode target in which an E-beam is focused, and a gate electrode positioned between the cathode and the anode, and including gate holes at positions corresponding to those of the emitters,wherein the plurality of X-ray sources is arranged in an array form. 15. The X-ray device of claim 14, wherein the plurality of X-ray sources are sealed, respectively. 16. The X-ray device of claim 14, wherein the cathode, the anode, and the gate electrode have a plate form, and the cathode includes a plurality of shielding channels. 17. The X-ray device of claim 16, wherein the cathodes included in the plurality of X-ray sources are electrically separated for each array, and are controlled in a unit of an array. |
|
description | The current invention is generally related to noise map estimation, and more particularly related to noise map estimation using CT images of various image sets. A noise map is generally useful in image denoising algorithms. Although some methods for noise estimation had been described in prior art, they are generally not applied to CT images. One prior art method includes even-and-odd view method that estimates the noise map for a CT image based two images respectively reconstructed from even and odd numbered views. A difference image is generated from the two reconstructed images, and a noise map is estimated from the difference image. The even-and-odd view method possesses some interesting features. Firstly, only two sets of the views are involved in the reconstruction procedure. Namely, one set contains even numbered views while the other set contains odd numbered views. Secondly, views in the two sets are exclusive, i.e., a view is included in either one set containing even numbered views or the other set containing odd numbered views. This feature is also referred to as independent, exclusive or uncorrelated views. Thirdly, the number of views within each set is equal in the even-and-odd views approach. The above discussed requirements for the view sets are rather limited in estimating a noise map for the CT image. It is desirable to generalize the above requirements on the view sets. In attempting to generalize the above prior art even-and-odd view method for estimating a noise map for CT images, certain aspects of the above features are involved in embodiments according to the current invention. In general, a first embodiment for noise map estimation utilizes three images which are respectively reconstructed from three sets of independent views. A second embodiment for noise map estimation utilizes two images reconstructed from two sets whose views are not exclusive to the sets. That is, a view is optionally included in both sets, and this approach is referred to as a correlated view method. A third embodiment for noise map estimation utilizes two images reconstructed from two sets of unequal number of views. In estimating a noise map according to the embodiments according to the current invention, physical phantom images are reconstructed from data collected by the Aquilion 64TM CT scanner, Toshiba America Medical Systems, Tustin, Calif., USA. To understand the difference between the embodiments according to the current invention and the prior art even-and-odd views method, the prior art even-and-odd views method is reviewed in some detail before the embodiments according to the current invention are described. Assuming m projection views are collected from a CT scanner in estimating a noise map in the prior art even-and-odd views method, the m projection views containing even-numbered views and odd-numbered views are grouped into an even-numbered view set and an odd-numbered view set. The view sets contain the same number of projection views in the prior art even-and-odd views method. X1 and X2 respectively denote a first image that is reconstructed from the even-numbered view set and a second image that is reconstructed from the odd-numbered view set. Due to the noise buried in the first and second images X1 and X2, the following relationship exists as defined in Equations (1) and (2) in the first embodiment according to the current invention.X1=f+N1 (1)andX2=f+N2 (2)where the image f is noise-free. The quantities N1 and N2 are the zero mean noise images respectively associated with the first image X1 and the second image X2. Let F denote the final image reconstructed by using the total in projection views. The relationship among the final image F, the first image X1 and the second image X2 is defined in Equation (3).F=½(X1+X2) (3) In the prior art even-and-odd views method, the variance map of the final image F is estimated by the first image X1 and the second image X2. Assuming that the noise in the first zero mean noise image N1 and the second zero mean noise image N2 are uncorrelated, the variance map of the final image F is defined by Equation (4).Var{F}=¼Var{X2−X1} (4)where the symbol Var{ } denotes the variance of a random variable. This result means that in order to estimate the noise map of the final image F, one need only take the difference between the two intermediate images X1 and X2 and measure the variance of the difference image. In a first embodiment according to the current invention, a process or method estimates a noise map based upon three CT images that are respectively reconstructed from three sets of views. Similar to the even-and-odd views method, the first embodiment requires that each of the views is included only in one of the three view sets. It is assumed that a total number of m views is involved for tomographic reconstruction. Furthermore, the in views are equally partitioned into the three sets of views, and each set of the views is utilized for generating a tomographic reconstruction image. Let X1, X2 and X3 respectively denote the images reconstructed from each of the three sets. The three images X1, X2 and X3 are modeled and defined by Equation (5):X1=f+N1 (5)where i=1, 2 and 3, f is the image without noise, Ni is noise buried in the reconstructed images. Furthermore, let F denote the image reconstructed from the total number of m views which is formed as follows by Equation (6):F=⅓(X1+X2+X3) (6) The subsequent task of one embodiment in the process according to the current invention is to estimate Var{F} by using the three reconstructed images X1, X2 and X3. Since the images X1, X2 and X3 are reconstructed from independent views, it is assumed that the noises in the images are also uncorrelated. The variance map of the final image Var{F} is defined by the following Equation (7),Var{F}= 1/9[Var{N1}+Var{N2}Var{N3}] (7) To estimate the noise map Var{F}, three intermediate images are defined by Equations (8), (9) and (10).Y1=X1−X2 (8)Y2=X1−X3 (9)andY3=X2−X3 (10) The variance of Yi's are defined by Equations (11), (12) and (13).Var{Y1}=Var{N1}+Var{N2} (11)Var{Y2}=Var{N1}+Var{N3} (12)Var{Y3}=Var{N2}+Var{N3} (13) With the relationships above, it is verified thatVar{F}= 1/18(Var{Y1}+Var{Y2}+Var{Y3}) (14)An interesting feature of this approach is that the noise map of the images X1, X2 and X3 is estimated by simultaneously solving Equations (5), (11), (12) and (13). Now referring to FIG. 1, a flow chart illustrates steps or acts involved in a first embodiment of a method of estimating a noise map according to the current invention. The first embodiment provides three sets of the views, and each of the views is included only in one of the three view sets in a step S10-1. In other words, a total number of m views is equally partitioned into the three sets of views. In a step S20-1, the three sets of the views are utilized to reconstruct tomographic images X1, X2 and X3 as defined by Equation (5). Furthermore, let F denote the image reconstructed from the total number of m views which is formed as follows by Equation (6). Still referring to FIG. 1, the subsequent task of the first embodiment in the process according to the current invention is to estimate a noise map by using the three reconstructed images X1, X2 and X3. Since the images X1, X2 and X3 are reconstructed from independent views in the step S20-1, it is assumed that the noises in the images are also uncorrelated in a step S30-1. The variance map of the final image Var{F} is defined by Equation (7). To estimate the noise map Var{F} in the step S30-1, intermediate images Ware defined by Equations (8), (9) and (10). The variance of Yi's are defined by Equations (11), (12) and (13). With the relationships above, the noise map Var{F} is determined based upon Equation (14) in the step S30-1, and the noise map of the images X1, X2 and X3 is estimated by simultaneously solving Equations (5), (11), (12) and (13). In a second embodiment according to the current invention, a process or method estimates a noise map based upon two CT images that are respectively reconstructed from two sets of views. In the second embodiment, a noise map is estimated via images that are reconstructed from correlated views. In this regard, let us assume an image X is reconstructed from n views out of the total number of m views. Based upon the above assumption, an image X is reconstructed from n views and is expressed by Equation (15):X=f+N1 (15)where f is the noise free image and N1 is the associated zero mean noise image. Let F denote the image reconstructed by using the total number of m views. The following relationship holdsF=f+N2 (16)where N2 is associated noise image reconstructed by using the total number of m projection views. The subsequent task of the second embodiment in the process according to the current invention is to estimate Var{F} by using the two images X and F. Since the noise images N1 and N2 are correlated, the variance map of the final image volume is approximated by Equation (17): Var { F } = n m - n Var { F - X } ( 17 ) It should be noted that if n=m/2, the same result is achieved as the prior art even-and-odd views method. Let us assume the noise image from each projection view after the filtered backprojection (FBP) algorithm is independently and identically distributed. Since the image X is reconstructed by using n projection views, the image X contains n averaged noise images as expressed in Equation (18): X = f + N 1 = f + 1 n ∑ i = 1 n N ~ i ( 18 ) where Ñi is the noise image reconstructed by using a predetermined FBP algorithm from the noise contained in each projection view. Similarly, the final image F is expressed in Equation (19). F = f + N 2 = f + 1 m ∑ i = 1 m N ~ i ( 19 ) In other words, Equations (20) and (21) are respectively related to Equations (18) and (19) to account for the correlated views in the two sets. N 1 = 1 n ∑ i = 1 n N ~ i and ( 20 ) N 2 = 1 m ∑ i = 1 m N ~ i . ( 21 ) It is assumed that the noise images, Ñi=1, . . . , m, are independently and identically distributed with zero mean and variance σ2. With the assumptions, Equations (22) and (23) determines the variance for the image X and the final image F. Var { X } = 1 n σ 2 and ( 22 ) Var { F } = 1 m σ 2 ( 23 ) To estimate the noise map of the image F, the value of σ2 is necessary. A new image is defined by Equation (24).F−X=N2−N1 (24)It should be noted that the noise images N1 and N2 are now correlated. The variance of the new image, Var{F−X} is now computed in Equation (25).Var{F−X}=Var{N2}+Var{N1}−2Cov{N2,N1} (25)where Cov{N2, N1} denotes the covariance between the images N2 and N1. By definition, Cov { N 2 , N 1 } = E { 1 m ∑ j = 1 m N ~ j 1 n ∑ i = 1 n N ~ i } ( 26 ) where E{ } denotes the expectation value of a random variable. Rearranging the covariance of Equation (26), Equation (27) is obtained: Cov { N 2 , N 1 } = 1 mn ∑ j = 1 m ∑ i = 1 n E { N ~ j N ~ i } ( 27 ) Since it is assumed that the noise images are independently and identically distributed, E{ÑjÑi} is defined by equation (28):E{ÑjÑi}=σ2δij (28)where δij=1 if i=j and otherwise δij=0. Therefore, the quantity Cov{N2, N1} assumes a simple expression as defined in Equation (29): Cov { N 2 , N 1 } = 1 mn { n σ 2 } = 1 m σ 2 ( 29 ) where it is based upon the fact that n<m. On substitution of Equations (22), (23) and (29) into Eqn. (25), we have Var { F - X } = m - n mn σ 2 ( 30 ) and hence Equation (17) is obtained. Now referring to FIG. 2, a flow chart illustrates steps or acts involved in a second embodiment of a method of estimating a noise map according to the current invention. The second embodiment provides two sets of views that are correlated and not exclusive in a step S10-2. In this regard, that is a single view optionally belongs to the two sets. In a step S10-2, an image X is reconstructed from n views out of the total number of m view as expressed by Equation (15). Similarly, a final image F is reconstructed by using the total number of in views as expressed by Equation (16) in the step S10-2. Although a number of the views n is smaller than the total number of views m, the views are not exclusive between the two sets. Still referring to FIG. 2, the subsequent task of the second embodiment in the process according to the current invention is to estimate Var{F} by using the two images X and F. Since the noise images N1 and N2 are correlated, the variance map of the final image volume is approximated by Equation (17) in a step S10-3. It is assumed that the noise image from each projection view after the filtered backprojection (FBP) algorithm is independently and identically distributed. Since the image X is reconstructed by using n projection views in the step S10-2, the image X contains n averaged noise images as expressed in Equation (18). Similarly, the final image F is expressed in Equation (19). It is further assumed in the step S10-3 that the noise images, Ñi=1, . . . , m, are independently and identically distributed with zero mean and variance σ2. With the assumptions, Equations (22) and (23) determines the variance for the image X and the final image F. To estimate the noise map of the image F in the step S10-3, the value of σ2 is necessary and a new image is defined by Equation (24). The variance of the new image, Var{F−X} is now computed in Equation (25) to obtain Equation (17) via Equation (30). In a third embodiment according to the current invention, a process or method estimates a noise map based upon two CT images that are respectively reconstructed from two sets of views. In the third embodiment, a noise map is estimated via images that are reconstructed from unequal numbered independent views. That is, the two sets have a different number of the views, but no single view is shared between the two sets. In this approach, it is assumed that two images X and Y are respectively reconstructed from n and m−n views, where m is a total number of views in the reconstruction and n<m. As already discussed in the above embodiments, the two images are defined by Equations (31) and (32).X=f+N1 (31)andY=f+N2 (32)where f is the noise-free image while N1 and N2 are noise images of zero means associated with the images X and Y respectively. The final image F reconstructed by the total number of in views is formed as defined by Equation (33): F = n m X + m - n m Y ( 33 ) The subsequent task of the second embodiment in the process according to the current invention is to estimate Var{F} by using the two reconstructed images X and Y. Similarly, it is assumed as expressed in Equation (34): N 1 = 1 n ∑ i = 1 n N ~ i and ( 34 ) N 2 = 1 m - n ∑ i = 1 m - n N ~ i ( 35 ) Here the noise images in N1 are uncorrelated to the noise images in N2. It is assumed that they have zeros means and variances. The variance of the images X and Y are defined by Equations (36) and (37): Var { X } = Var { N 1 } = 1 n σ 2 and ( 36 ) Var { Y } = Var { N 2 } = 1 m - n σ 2 ( 37 ) The noise estimation of the final image F is defined by Equation (38) Var { F } = n 2 m 2 Var { X } + ( m - n ) 2 m 2 Var { Y } = 1 m σ 2 ( 38 ) To estimate the quantity, we formulate an intermediate image Y−X whose noise map is as defined in Equation (39): Var { Y - X } = Var { N 2 } + Var { N 1 } = m ( m - n ) n σ 2 ( 39 ) Substitution of Equation (39) into Equation (38) yields the desired noise map is obtained in Equation (40). Var { F } = ( m - n ) 2 m 2 Var { Y - X } ( 40 ) Now referring to FIG. 3, a flow chart illustrates steps or acts involved in a third embodiment of a method of estimating a noise map according to the current invention. The third embodiment provides two sets of unequal numbered independent views in a step S10-3. That is, the two sets have a different number of the views, but no single view is shared between the two sets. In a step S20-3, two images X and Y are respectively reconstructed from n and m-n views, where in is a total number of views in the reconstruction and n<m as defined by Equations (31) and (32). The final image F reconstructed by the total number of m views is formed as defined by Equation (33). Still referring to FIG. 3, the subsequent task of the third embodiment in the process according to the current invention is to estimate Var{F} by using the two reconstructed images X and Y as well as noise images expressed in Equations (34) and (35). The variance of the images X and Y are defined in Equations (36) and (37). The noise estimation of the final image F is expressed in Equation (38). To estimate the quantity, an intermediate image Y−X whose noise map is as defined in Equation (39), and substitution of Equation (39) into Equation (38) yields the desired noise map as defined in Equation (40) in a step S30-3. FIG. 4 shows the image and twelve regions of interest (ROI's) according to the current invention. The image is reconstructed from real phantom data collected from Aquilion 64TM CT scanner (Toshiba America Medical Systems, Tustin, Calif., USA). The twelve ROI's are manually selected. Standard deviations (SD) are computed from the image that has been reconstructed by using the total number of 1200 views, and the SD is served as gold standard for comparisons. For a comparison purpose, the even-and-odd view method is used as a reference. FIG. 5 shows the results to indicate that the above embodiments of the methods for estimating the noise map according to the current invention produced as accurate results as the existing method and the manually computed results. FIG. 5A compares the results according to the first embodiment (Method 1) to 1200 views as described above and the prior art even-and-odd view method in the twelve ROI's of FIG. 4. FIG. 5B compares the results according to the second embodiment (Method 2) to 1200 views as described above and the prior art even-and-odd view method in the twelve ROI's of FIG. 4. FIG. 5C compares the results according to the third embodiment (Method 3) to 1200 views as described above and the prior art even-and-odd view method in the twelve ROI's of FIG. 4. It is to be understood, however, that even though numerous characteristics and advantages of the present invention have been set forth in the foregoing description, together with details of the structure and function of the invention, the disclosure is illustrative only, and that although changes may be made in detail, especially in matters of shape, size and arrangement of parts, as well as implementation in software, hardware, or a combination of both, the changes are within the principles of the invention to the full extent indicated by the broad general meaning of the terms in which the appended claims are expressed. |
|
abstract | The invention relates to an arrangement for collimating electromagnetic radiation, comprising a macrocollimator which has at least two cutouts, and microcollimator structures which are positioned in the cutouts of the macrocollimator and have lamellae that absorb electromagnetic radiation, so that collimator channels are formed which in each case extend such that they are transparent in a transmission direction. |
|
059206039 | summary | FIELD OF THE INVENTION This invention relates generally to boiling water reactors and more particularly, to a forged core plate for such a reactor. BACKGROUND OF THE INVENTION A reactor pressure vessel (RPV) of a boiling water reactor (BWR) typically has a generally cylindrical shape and is closed at both ends, e.g., by a bottom head and a removable top head. A top guide typically is spaced above a core plate within the RPV. A core shroud, or shroud, typically surrounds the core plate and is supported by a shroud support structure. Particularly, the shroud has a generally cylindrical shape and surrounds both the core plate and the top guide. The top guide includes several openings, and fuel bundles are inserted through the openings and are supported by the core plate. Known core plates are fabricated from several stainless steel plates and formed ring segments joined together by welding. A typical core plate has over 200 welds. Fabricating such a core plate is very labor intensive. In addition, maintaining the required core plate geometry is difficult because of weld distortion and tolerance stack-ups between the several plates and ring segments. The core plate welds also, increase the susceptibility of the core plate material to a detrimental effect known as inter-granular stress corrosion cracking (IGSCC). Although no cracking currently is known to be occurring in a core plate, cracking may occur in the heat affected zone of the welds. Eliminating welds in the core plate therefore would eliminate the potential of cracks initiating in welds. SUMMARY OF THE INVENTION These and other objects may be attained by a forged core plate fabricated from a single piece stainless steel forging. The plate has a substantially circular shape and penetrations are provided in the core plate to allow passage of control rod blades into the core and direct recirculation flow past the fuel assemblies. The penetrations are formed from machining "5 holes" per penetration. Particularly, each penetration includes a center through hole to allow passage of the control rod blade and control rod blade guide tube. The through hole has a smaller diameter at the plate top surface to provide a tighter fit to the outside diameter of the guide tube to maintain alignment and minimize flow leakage. The through hole is enlarged at the core plate bottom surface to allow clearance in order to improve the installation and removal of the guide tube. Each penetration also includes four peripheral blind holes to direct the recirculation flow into the flow holes located on the sides of the guide tube. The blind holes do not extend completely through the plate. When the guide tube is installed in the core plate, the flow holes in the side of the guide tube are located approximately 1/3 of the way down from the plate top surface. The above described core plate enables the elimination of welds, minimizes the size of the forging required for fabrication, provides all the same flow paths as provided with known core plates, and has improved the producibility. Specifically, all welds in the core plate are eliminated, which eliminates the possibility of cracking in the heat affected zone of welds. Further, no welds need to be inspected during manufacturing and during service life. Eliminating welding also eliminates weld distortion and thus improves producibility. The single piece construction also eliminates fit-up requirements and thus improves producibility. In addition, the five hole pattern is the same for all central fuel support locations. This pattern provides structural webs on four sides of the fuel support location, which minimizes the required depth of the core plate. Core plates fabricated by welding together plates have structural webs on only one or two sides of each fuel support location. Also, all flow paths through the core plate to the fuel are the same, which standardizes the fuel orifice design. Core plates fabricated by welding together plates have two or three different flow paths at each fuel support location. Also, the 5 hole configuration can be machined by drilling rather than my milling, which improves producibility. The 5 hole configuration also improves producibility by eliminating small fillet radii. |
044903286 | description | DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS The bottom shield, generally designated 10 and arranged underneath the reactor core, not shown, consists essentially of an upper thin plate 12 and a lower thick plate 14 placed concentrically around a socket 16. The lower plate 14 is held at a distance from the bottom liner 20 of the prestressed concrete pressure vessel 22 by means of the lower bearing supports 18 distributed over the entire surface. The upper plate 12 is held at a distance from the lower plate by means of uniformly distributed bearing supports 24. The lower plate 14 is surrounded by a supporting ring 26 and is equipped with passage orifices 28, distributed over the entire surface for the cooling gas. The upper plate 12 is provided on its bottom side with a plurality of cooling ribs 30 in a distribution over its entire surface. Its surface has either a waffled surface 32 or a flat layer consisting of sheet metal sections 34. Expansion gaps 36 are provided between the sheet metal sections. The latter are secured by means of studs 38. The upper and lower plates 12 and 14 are composed of circular segments 40, 41, joined with each other along the concentric and overlapping partition seams 42 by means of pins 46, 47. The support ring 26 surrounding the lower plate 14 is also composed of segments 48 connected with each other at the partition seams 51 by means of pins 50. The specification and drawings set forth preferred embodiments of the invention. It should be noted, however, that the invention is not limited to those specific embodiments and methods specifically disclosed, but extends instead to all embodiments, substitute and equivalent constructions falling within the scope of the invention as defined by the claims. |
052788822 | description | DESCRIPTION OF THE PREFERRED EMBODIMENTS It is an object of this invention, therefore, to provide a zirconium alloy with improved creep resistance. It is another object of this invention to provide a zirconium alloy with improved corrosion resistance. It is an additional object of this invention to provide. a zirconium alloy with a low neutron absorption cross section It is still another object of this invention to provide a zirconium alloy with reduced hydrogen absorption. In order to improve corrosion resistance of zirconium alloys, a decrease in tin levels below the normal Zircaloy-4 allowed minimum level of 1.2 wt. % may be used, but with a simultaneous degradation of its creep resistance. The thermal creep resistance can be improved by the addition of strengthening elements such as niobium or oxygen, as well as with iron, chromium, and nickel. Since the thermal neutron absorption cross section for oxygen is lower than that for niobium, the addition of oxygen with low neutron cross section is preferred. Another advantage of oxygen addition compared to the niobium addition may be a better high temperature strength with oxygen addition. While a niobium addition deceases the .alpha./(.alpha.+.beta.) transition temperature, oxygen addition increases the transition temperature. As a result, better high temperature strength (applicable to LOCA calculations) is expected with the oxygen addition. The use of oxygen as an alloying element to increase the strength of unirradiated zirconium alloys is a known idea. However, the addition of oxygen also degrades the fabricability of the zirconium alloys and therefore the oxygen level in commercial zirconium alloys is generally limited to about 1600 ppm. The effect of oxygen on the mechanical properties of irradiated zirconium alloys has not been considered in the past in alloy composition optimization. Thus, the alloy of this invention is based on a combined optimization of cladding corrosion resistance, fabricability, hydrogen uptake, and in-reactor creep resistance. Moreover, the addition of silicon has been proposed in the prior pending U.S. patent application of the inventors of this application, Ser. No. 761,509 (ABB-010, C910440). The improved alloy according to the invention achieves superior creep resistance, superior corrosion resistance, low hydrogen uptake, and low neutron absorption cross section by the selection of alloying elements for the zirconium alloy, especially including the addition of oxygen as an alloying element at a level beyond the levels currently used commercially for zirconium alloys. The invention is based in part upon the theory that, in order to achieve good corrosion resistance, the composition of the alloy is selected such that, as far as possible, an addition of the different levels of the alloying element does not result in precipitation of new phases in the microstructure apart from the usual zirconium-iron-chromium and zirconium-iron-nickel precipitates observed in commercial Zircaloy alloys. The reasons for selecting specific levels of the different alloying elements are given below, and the composition of the alloy according to the invention is shown in Table 1. The alloy of the present invention thus includes tin in a range of 0.4 to 1.0 wt. % and typically 0.5 wt. %. The alloy also has iron in a range of 0.3 to 0.6 percent, and typically 0.46 wt. %; chromium in a range of 0.2 to 0.4 wt. % and typically 0.23 wt. %; nickel is present in a range of from a measurable amount up to 0.06 wt. %, and typically 0.03 wt. %; silicon is in a range of 50 to 200 parts per million (ppm) and typically 100 ppm, and oxygen is in a range of from 1200 to 2500 ppm and typically 1800 to 2200 ppm. Tin (Sn) A decrease in the tin level below the 1.2 wt. % lower limit in Zircaloy-4 improves its corrosion resistance..sup.(1) However, the trend of the mechanical property data regarding the influence of tin content on the thermal creep of zirconium alloys at 400.degree. C. indicates that a decrease in tin level will degrade the creep resistance of zirconium alloys..sup.(2) The selected range of tin level of 0.4 to 1.0 wt. % tin is expected to provide a combination of good corrosion resistance and good creep resistance for the alloy of the invention. The superior creep resistance and corrosion resistance are also provided by the higher levels of iron, chromium, nickel and oxygen described below. The results presented in reference (1) imply that an alloy with a tin level of 0.5 wt. %, a high level of iron of 0.46 wt. %, silicon at 100 ppm, and an iron/chromium ratio of 2 is expected to show superior corrosion resistance. Iron (Fe) The corrosion resistance of Zircaloy-2 and iron alloys in 360.degree. C. water depends on the iron level..sup.(3) Since the best corrosion resistance in 360.degree. C. water was observed with 0.45 percent iron, in order to achieve a good corrosion resistance in such water, a level of 0.46 wt. % iron was selected for the new alloy of the invention. Such a higher level of iron will also enhance the creep resistance of the alloy. Chromium (Cr) Chromium is mainly added to improve the strength and creep resistance of the new alloy according to the invention. (Iron+chromium) in the range of 0.3 to 0.7 wt. % is useful in improving mechanical properties of the alloy without degradation of the corrosion resistance, according to the recent results of Isobe and Matsuo..sup.(4) Thus, the chromium range of 0.2 to 0.4 wt. %, and typically 0.23 percent was selected for the new alloy. Thus, the (iron+chromium) level selected for the alloy of the invention is in the range suggested by Isobe and Matsuo. Nickel (Ni) The addition of nickel in an amount from a measurable amount indicating its positive presence to 0.06 wt. % and typically in an amount up to 0.03 percent is to improve the high temperature corrosion resistance of the new alloy according to the invention. The amount of nickel is limited to avoid possible increase in the hydrogen absorption by the alloy. Silicon (Si) The silicon, in a range of 50 to 200 ppm, and typically at 100 ppm, is added as an alloying element to reduce the hydrogen absorption by the alloy and also to reduce the variation of the corrosion resistance with variations in the processing history of the alloy..sup.(1) Oxygen (O) Oxygen, in a range of 1200 to 2500 ppm, and typically 1800 to 2200 ppm, is added as a solid solution strengthening alloying element and creep strengthener. The optimum level of oxygen will be controlled by the fabricability of the alloy. Thus, the invention of the new alloy described in this specification achieves superior creep resistance, superior corrosion resistance, and low neutron absorption cross section by its selected composition, and especially by the addition of oxygen as an alloying element beyond the levels currently commercially used for zirconium alloys. The higher levels of alloying elements generally improve the strength and creep resistance of zirconium alloys with a concurrent degradation of the corrosion resistance. A new zirconium alloy, according to this invention, with optimum levels of tin, iron, chromium, nickel, silicon and oxygen is proposed that provides a good combination of mechanical properties and corrosion resistance as a result of a predominantly single phase microstructure. Still further, in-reactor creep resistance for the alloy of the invention has been enhanced by the addition of oxygen. The thermal creep rate of .alpha.-phase Zircaloy-2 is reduced by the addition of oxygen .sup.(5). The addition of oxygen is expected to further decrease the irradiation component of creep due to the interaction between oxygen atoms and irradiation induced defects .sup.(6). The effect of 2500 ppm addition of oxygen on the corrosion resistance of the zirconium base alloy is expected to be insignificant .sup.(7). Finally, the hydrogen uptake fractions demonstrated by the alloys according to the invention are significantly lower than those associated with conventional Zircaloy-4 and dilute zirconium alloys without the higher levels of oxygen specified in the composition of this invention. EXAMPLE 1 The hydrogen uptake fraction in long-term autoclaved specimens of the typical composition alloy shown in Table 1 was measured. The specimens indicated in Table 2 were autoclaved in 360 degree C. water in a static autoclave for an exposure period as shown. Specimen A is a commercially-available Zircaloy-4 alloy, while Specimen B is a dilute zirconium alloy without the higher level of oxygen specified in the alloy according to the invention. Specimens C and D have alloy compositions within the typical composition range covered by the alloy of the invention shown in Table 1 where Specimen C has 1800 ppm oxygen, and Specimen D has 2200 ppm. The time of the exposure of the respective alloys is shown in days, with measurements of the weight gain in mg/dm2. The hydrogen uptake fractions (based on the measured hydrogen content and the total hydrogen evolved due to the corrosion reaction) are significantly lower than those associated with conventional Zircaloy-4 and also the dilute zirconium alloy without the high levels of oxygen addition. The hydrogen uptake data are presented in Table 2. It thus appears that oxygen addition to the I800 to 2200 ppm typical level helps to reduce the hydrogen uptake of the proposed alloy. BIBLIOGRAPHY (1) Eucken C. M., Finden, P. T. Trapp--Pritsching, S. and Weidinger, H. G., "Influence of Chemical Composition on Uniform Corrosion of Zirconium Base Alloys in Autoclave Tests", Zirconium in the Nuclear Industry Eighth International Symposium, ASTM STP 1023, L. F. P Van Swam and C. M. Eucken, Eds.; American Society for Testing and Materials, Philadelphia, 1989, pp. 113-127. (2) McInteer, W. A., Baty, D. L. and Stein, K. O., "The Influence of tin content on the Thermal creep of Zircaloy-4", Zirconium in the Nuclear Industry, Eighth International Symposium, ASTM STP 1023, L. F. P. Van Swam and C. M. Eucken, Eds.; American Society for Testing and Materials, Philadelphia, 1989 pp. 621-640. (3) Scott, D. B., "Notes on the Corrosion Behavior of Zircaloy-2 with various levels of iron content," Zirconium Highlights, WAPD-ZH-24, p. 11, (1960). (4) Isobe, T. and Matsuo, Y., "Development of High Corrosion Resistance Zirconium-base Alloys", Zirconium in the Nuclear Industry, Ninth International Symposium. ASTM STP 1132, C. M. Eucken and A. M. Garde, Eds., American Society for Testing Materials, Philadelphia, 1991, pp. 346-367. (5) Burton, B., Donaldson, A. T., and Reynolds, G. L., "Interaction of Oxidation and Creep in Zircaloy-2", ASTM STP 681, 1979, pp. 561-585. (6) Adamson, R. B., and Bell, W. L., "Effects of Neutron Irradiation and Oxygen Content on the Microstructure and Mechanical Properties of Zircaloy", Microstructural and Mechanical Behavior of Materials, Vol. 1, G. Haicheng and H. Jiawen, Editors; Engineering Materials Advisory Services, UK, 1985, pp. 237-246. (7) Korobkov, I. I., "A Study of the Process of Oxidation of Zirconium-Oxygen Alloys", Akademiia Nauk USSR, Izvestiia, Metally, May-June 1973, pp. 110-115. TABLE 1 ______________________________________ Preferred Embodiment Modified Zirconium Alloy Range Typical ______________________________________ Tin, Wt. % 0.4 to 1.0% 0.5% Iron, Wt. % 0.3 to 0.6% 0.46% Chromium, Wt. % 0.2 to 0.4% 0.23% Nickel, Wt. % Measurable amount up to 0.03% 0.06% Silicon, ppm 50 to 200 ppm 100 ppm Oxygen, ppm 1200 to 2500 ppm 1800 to 2200 ppm ______________________________________ TABLE 2 __________________________________________________________________________ Hydrogen Content and Hydrogen Uptake Fractions of Zirconium Alloy Specimens Autoclaved in 360.degree. C. Water Static Autoclave Autoclave Test Hydrogen Exposure, Weight Gain, Uptake Fraction, Specimen Composition Days mg/dm.sup.2 Content, ppm % __________________________________________________________________________ A Zircaloy-4 707 242 512 33.3 B 0.5% Sn 0.33% Fe 0.17% Cr 1599 301 544 33.7 0.02% Nb C 0.45% Sn 0.5% Fe 0.25% Cr 320 54 71 21.5 0.180% Oxygen D 0.50 Sn % 0.5% Fe 0.25% Cr 320 54 58 17.5 0.220% Oxygen __________________________________________________________________________ |
claims | 1. A method for inspecting a weld zone of a control rod drive housing and an area in proximity to the weld zone in a reactor pressure vessel, said method comprising the steps of:mounting an ultrasonic probe onto a probe holding unit of an inspection apparatus for inspecting weld zones which comprises the probe holding unit for holding the probe such that an ultrasonic wave transmitting surface of the probe is kept in direct contact with or at a constant distance from an outer surface of the reactor pressure vessel, a pressing unit for pressing the probe holding unit parallel to a central axis of the control rod drive housing against the reactor pressure vessel, a rotator for rotating the probe holding unit and the pressing unit around the central axis of the control rod drive housing, an elevator for moving the probe, the probe holding unit, the pressing unit, and the rotator along the control rod drive housing up and down, a size of the ultrasonic wave transmitting surface of the probe being a value selected from 35 mm to 120 mm in order that an effective focus area, within a range of −6 dB from a maximum sound pressure height, reaches an area to be inspected ranging from 150 mm to 200 mm;setting a focus position of the probe;mounting the inspection apparatus to the control rod drive housing;pressing the probe against the reactor pressure vessel by raising the elevator so that the pressing unit is brought into the most contracted state;rotating the probe around the control rod drive housing by rotating the rotator;performing the inspection;lowering the probe to a lower portion of the reactor pressure vessel by lowering the elevator after completion of the inspection; andremoving the inspection apparatus from the control rod drive housing. |
|
abstract | There is disclosed a method and apparatus for automatically correcting a charged-particle beam with an aberration corrector without the operator performing manual operations. The apparatus has an extraction portion for extracting the profile of the beam from images of a surface of a sample, a calculation unit for calculating the amount of axial deviation of the apparatus from the position of the extracted profile of the beam, and a feedback unit for automatically applying feedback to the aberration corrector or to the objective lens according to the calculated amount of axial deviation. |
|
summary | ||
061343013 | description | DETAILED DESCRIPTION OF THE DRAWINGS Referring to FIGS. 1 and 2, a computed tomography (CT) imaging system 10 is shown as including a gantry 12 representative of a "third generation" CT scanner. Gantry 12 has an x-ray source 14 that projects a beam of x-rays 16 toward a detector array 18 on the opposite side of gantry 12. X-ray beam is collimated to lie within in an X-Y plane of a Cartesian coordinate system and generally referred to as an "imaging plane". Detector array 18 is formed by detector elements 20 which together sense the projected x-rays that pass through a medical patient 22. Each detector element 20 produces an electrical signal that represents the intensity of an impinging x-ray beam and hence the attenuation of the beam as it passes through patient 22. During a scan to acquire x-ray projection data, gantry 12 and the components mounted thereon rotate about a center of rotation 24. Rotation of gantry 12 and the operation of x-ray source 14 are governed by a control mechanism 26 of CT system 10. Control mechanism 26 includes an x-ray controller 28 that provides power and timing signals to x-ray source 14 and a gantry motor controller 30 that controls the rotational speed and position of gantry 12. A data acquisition system (DAS) 32 in control mechanism 26 samples analog data from detector elements 20 and converts the data to digital signals for subsequent processing. An image reconstructor 34 receives sampled and digitized x-ray data from DAS 32 and performs high speed image reconstruction. The reconstructed image is applied as an input to a computer 36 which stores the image in a mass storage device 38. Computer 36 also receives commands and scanning parameters from an operator via console 40 that has a keyboard. An associated cathode ray tube display 42 allows the operator to observe the reconstructed image and other data from computer 36. The operator supplied commands and parameters are used by computer 36 to provide control signals and information to DAS 32, x-ray controller 28 and gantry motor controller 30. In addition, computer 36 operates a table motor controller 44 which controls a motorized table 46 to position patient 22 in gantry 12. Particularly, table 46 moves portions of patient 22 through gantry opening 48. FIG. 3 is a partial pictorial view of CT system 10 illustrated in FIG. 1. As shown, x-ray beam 16 emanates from a focal spot 50 of x-ray source 14, and projects a fan of x-rays towards detector elements 20 (only one detector element 20 is shown in FIG. 3) at a far angle .gamma.. CT system 10 is shown as further including a scatter collimator 52, which is positioned between focal spot 50 and detector elements 20. Scatter collimator 52 is curved so that an outer face 54 of scatter collimator 52 is radially spaced from focal spot 52, i.e., all portions of outer face 54 are substantially equidistant from focal spot 50. Detector elements 20 are mounted to scatter collimator 52 so that detector elements 20 similarly are each equidistant from focal spot 50. Scatter collimator 52 is adjustably mounted to gantry 12 with gantry mounts 56A, 56B, and 56C and dowel pins 58A and 58B so that scatter collimator 52 may move relative to focal spot 50. Referring to FIG. 4, and in accordance with one embodiment of the present invention, scatter collimator 52 includes a housing 60, a plurality of attenuating blades 62, and a plurality of attenuating wires 64. Housing 60 includes a top rail 66, a bottom rail 68, a first end block 70 and a second end block 72. Each rail 66 and 68 includes first ends 74A and 76A and second ends 74B and 76B, respectively. Top rail 66 further includes a first surface 78 oriented substantially perpendicular to a second surface 80. Similarly, bottom rail 68 includes a first surface 82 oriented substantially perpendicular to a second surface 84. First surfaces 78 and 82 form outer peripheries 86 and 88 of respective rails 66 and 68, and include detector element mounting openings 90, e.g., tapped holes, therein. Outer peripheries 86 and 88 are flush, and form outer face 54 of collimator 52. Top rail 66 and bottom rail 68 are connected by first end block 70 and second end block 72. Particularly, first ends 74A and 76A of rails 66 and 68 are connected to first end block 70, and second ends 74B and 76B of rails 66 and 68 are connected to second end block 72. Top rail 66 and bottom rail 68 thus extend between blocks 70 and 72 so that there is a blade opening 92 between rails 66 and 68 and end blocks 70 and 72. In addition, rails 66 and 68 are mounted to blocks 70 and 72 so that each detector element mounting opening 90 in rail 66 has a corresponding detector element mounting opening 90 in rail 68. Specifically, rails 66 and 68 are mounted so that lines between corresponding detector element mounting openings 90 are substantially perpendicular to rails 66 and 68. Detector elements 20 (only three detector elements 20 are shown in FIG. 4) are secured to housing 60 via detector element mounting openings 90. Attenuating blades 62 are constructed of x-ray attenuating material, and are mounted to housing 60 so that blades 62 are between detector elements 20 and focal spot 50. Particularly, attenuating blades 62 are positioned within blade opening 92 of housing 60 and mounted to top and bottom rails 66 and 68. Each attenuating blade 62 is shaped generally rectangularly, and has a first end 94 and a second end 96. First end 94 of each blade 62 is mounted to top rail 66 and second end 94 of each blade 62 is mounted to bottom rail 68 so that each blade 62 extends generally perpendicularly between rails 66 and 68. Blades 62 are aligned within housing 60 so that apertures (not shown) are formed between adjacent blades 62. Each blade 62 also is aligned to be substantially on a radial line emanating from focal spot 50, i.e., blades 62 are "focally aligned". Accordingly, the apertures between blades 62 are substantially on a radial line, and thus scattered x-rays, i.e., x-rays that have diverted from a radial line, are attenuated by blades 62 and do not impinge on detector elements 20. Attenuating wires 64 are constructed of x-ray attenuating material and are mounted to housing 60 so that wires 64 are between detector elements 20 and focal spot 50. Particularly, attenuating wires 64 are connected to first end block 70 and second end block 72 so that attenuating wires 64 extend across blade opening 92 generally parallel to top rail 66 and bottom rail 68. Attenuating wires 64 are thus substantially perpendicular to attenuating blades 62. Accordingly, attenuating wires 64 and attenuating blades 62 form a shielding grid for protecting detector elements 20. Attenuating wires 64 each have a substantially rectangular cross-sectional shape. Scatter collimator 52 further includes detector engagement projections 100, or engagement tabs, which project outwardly from outer peripheries 85 and 88 of respective rails 66 and 68. Particularly, detector engagement projections 100 extend substantially perpendicularly from respective second surfaces 80 and 84 of rails 66 and 68. Detector engagement projections 100 are configured so that each detector engagement projection 100 extending from top rail 66 has a corresponding detector engagement projection 100 extending from rail 68, e.g., each detector engagement projection 100 on top rail 66 is substantially vertically aligned with a corresponding detector engagement projection 100 on bottom rail 68. Specifically, detector engagement projections 100 are aligned so that lines between corresponding detector engagement projections 100 are substantially perpendicular to rails 66 and 68. In addition, detector engagement projections 100 are aligned with corresponding mounting openings 90 so that lines between corresponding mounting openings 90 also extend between corresponding detector engagement projections 100. Scatter collimator 52 also includes electric heaters 102A and 102B (only heater 102A is shown in FIG. 4), a temperature sensor 104, and detector mounting adjusters 106A, 106B and 106C (only detector mounting adjuster 106A is shown in FIG. 4). Electric heater 102A extends between first end block 70 and second end block 72 and is adjacent top rail 66. Particularly, electric heater 102A is positioned adjacent second surface 80 of top rail 66 so that top rail 66 is between electric heater 102A and attenuating blades 62. Electric heater 102B similarly is positioned adjacent second surface 84 of bottom rail 68 so that bottom rail 68 is between electric heater 102B and attenuating blades 62. Temperature sensor 104 likewise is positioned adjacent second surface 80 of top rail 66 so that top rail 60 is between temperature sensor 104 and attenuating blades 62. Specifically, temperature sensor 104 is threaded and screws into top rail 66 so that temperature sensor 104 is adjacent both second surface 80 of top rail 66 and electric heater 102. Detector mounting adjusters 106A, 106B and 106C depend from first end block 70, second end block 72, and at a portion of housing 60 between end blocks 70 and 72, respectively, and are configured to adjustably mount scatter collimator 52 to gantry 12. Scatter collimator 52 is configured to be secured to detector elements 20. Particularly, detector elements 20 are secured to housing 60 so that in operation, scatter collimator 52 is between detector elements 20 and focal spot 50 and so that detector elements 20 are equidistant from focal spot 50. More specifically, detector elements 20 are connected to top and bottom rails 66 and 68 so that detector elements 20 extend generally perpendicularly to rails 66 and 68. As shown in FIG. 4, detector elements 20 are inserted onto adjacent corresponding detector engagement projections 100 and connected to rails 66 and 68 with, for example, detector element mounting screws 108 which are inserted through detector element mounting openings 90. Particularly, detector elements 20 include notches (not shown) which are sized to receive detector engagement projections 100 therein. FIG. 5 is partial side view of top rail 66, a detector element 20 and an attenuating blade 62, and FIG. 6 is a partial side view of bottom rail 68 and attenuating blade 62. Referring specifically to FIGS. 5 and 6, scatter collimator 52 further includes two blade positioning combs 110A and 110B and two molybdenum stopping elements 112A and 112B. Positioning combs 110A and 110B each include a plurality of teeth 114 having blade receptacles therebetween (only one tooth 114 is shown in each of FIGS. 5 and 6). The blade receptacles are configured to receive attenuating blades 62 therein. Positioning comb 110A includes an array of five combs (not shown in FIG. 4). Particularly, the five combs each include a plurality of teeth, and are substantially aligned to form positioning comb 110A. Similarly, positioning comb 110B includes an array of five combs that are substantially aligned to form positioning comb 110B. Of course, positioning combs 110A and 10B may each include an array of more than five combs. Alternatively, positioning combs 110A and 110B may each include an array of less than five combs. Positioning comb 110A is attached to top rail 66, and positioning comb 110B is attached to bottom rail 68. Positioning combs 110A and 110B are connected to rails 66 and 68 so that teeth 114 of respective combs 110A and 110B extend generally perpendicular to second surfaces 80 and 84 of rails 66 and 68. Particularly, positioning combs 110A and 110B are oriented so that when first end 94 of attenuating blade 62 is inserted into a blade receptacle of comb 110A and second end 96 of same blade 62 is inserted into a blade receptacle of comb 110B, blade 62 extends generally perpendicularly between rails 66 and 68. Stopping elements 112A and 112B include outer surfaces 116A and 116B, respectively, and also are connected to top rail 66 and bottom rail 68, respectively. Particularly, stopping elements 112A and 112B are connected to rails 66 and 68 so that outer surfaces 116A and 116B are radially distant from, or radially aligned with, focal spot 50, i.e., each portion of outer surfaces 116A and 116B is equidistant from focal spot 50. Stopping elements 112A and 112B are positioned adjacent respective positioning combs 110A and 110B to control the extent to which blades 62 may be inserted into the blade receptacles of combs 110A and 110B. More specifically, outer surfaces 116A and 116B of stopping elements 112A and 112B form "walls" between adjacent teeth 114 of respective combs 110A and 112B. Still referring to FIGS. 5 and 6, attenuation blade 62 is shown as including an outer surface 118 having a plurality of notches 120 therein. Notches 120 are configured to receive portions of attenuating wire 64 therein, so that attenuating wires 64 may be stabilized across the detector elements 20. Notches 120 may, for example, be machined into blades 62. FIG. 7 illustrates positioning comb 110A as including teeth 114 having blade receptacles 122 therebetween. As described above, blade receptacles 122 are configured to receive blades 62 therein. In addition, while not shown, positioning comb 110B has similar teeth 114 and blade receptacles 122. To assemble scatter collimator 52, top and bottom rails 66 and 68 are connected to first end block 70 and second end block 72 as described above. Positioning combs 110A and 10B and stopping elements 112A and 112B are connected to top rail 66 and bottom rail 68, respectively. Particularly, positioning combs 110A and 110B are secured to top rail 66 and bottom rail 68, respectively, so that positioning comb teeth 114 project substantially equidistantly from outer peripheries 86 and 88 of respective rails 66 and 68. Combs 110A and 110B also are positioned so that positioning comb teeth 114 extend substantially along on radial line emanating from focal spot 50, i.e., teeth 114 are "focally aligned" with focal spot 50. Stopping elements 112A and 112B are secured to positioning combs 110A and 110B so that stopping element outer surfaces 116A and 116B are radially distant from focal spot 50. FIGS. 8a and 8b illustrate a stop block 130 for securing positioning combs 110A and 110B to top rail 66 and bottom rail 68, respectively (only comb 110B and bottom rail 68 are visible in FIGS. 8a and 8b). Stop block 130 includes a rail portion 132 and a comb portion 134. Rail portion 132 includes a rail surface 136 which is configured to abut bottom rail 68. Comb portion 134 includes a comb surface 138 which is spaced at a distance D.sub.1 from rail surface 136. To secure comb 110B to bottom rail 68, stop block 130 is positioned adjacent bottom rail 68 so that outer periphery 88 of bottom rail 68 abuts rail surface 136. Accordingly, rail surface 136 has a curvature substantially the same as the curvature of bottom rail outer periphery 88. Particularly, in one embodiment, stop block 130 includes an opening 140 extending therethrough, and a screw 142 is inserted through opening 140 to secure stop block 130 to bottom rail 68. After positioning stop block 130, comb 110B is secured to bottom rail 68 so that teeth 114 of comb 110B extend between bottom rail 68 and comb surface 138 of stop block 130. Particularly, teeth 114 abut comb surface 138 so that teeth 114 extend substantially equidistantly from outer periphery 88 of bottom rail 68. Accordingly, comb teeth 114 are radially aligned with focal spot 50 of x-ray source 14. Comb 110A is similarly secured to top rail 66. FIGS. 9a and 9b illustrate a comb angle block 150 for focally aligning teeth 114 of positioning combs 110A and 110B on top rail 66 and bottom rail 68, respectively (only comb 110A and top rail 66 are visible in FIGS. 9a and 9b). Comb angle block 150 is substantially "L" shaped and includes a comb surface 152 at the base 154 thereof. Comb angle block 150 also includes a diamond pin 156 and a round pin 158, each pin extending through comb angle block 150. Prior to securing combs 110A and 110B to rails 66 and 68, respectively, comb angle block 150 is positioned adjacent top rail 66 as shown. Positioning comb 110A is then so that positioned comb 110A abuts comb surface 152. Particularly, as shown, positioning comb 110A is positioned so that an outer tooth 114 of positioning comb 110A is substantially flush with comb surface 152 of comb angle block 150. More specifically, a first comb of positioning comb 110A is positioned with comb angle block 150. The other combs of positioning comb 110A are positioned using the first comb. Similarly, comb angle block 150 is positioned adjacent bottom rail 68 and positioning comb 110B is positioned so that an outer tooth 114 of positioning comb 110B is substantially flush with comb surface 152. Particularly, a first comb of positioning comb 110A is positioned with comb angle block 150, and the other combs are positioned using the first comb. Accordingly, comb teeth 114 are focally aligned with focal spot 50 of x-ray source and comb teeth 114 are of comb 110A are substantially aligned with comb teeth 114 of comb 110B. Therefore, blade receptacles 122 are substantially aligned and configured to retain blades 62 substantially perpendicular to rails 66 and 68. FIGS. 10a and 10b illustrate a finger block 160 for connecting stopping elements 112A and 112B to top rail 66 and bottom rail 68, respectively (only stopping element 112B and bottom rail 68 are visible in FIGS. 10a and 10b). Finger block 160 includes a rail portion 162, a comb portion 164, and a stopping element portion 166. Rail portion 162 includes a rail surface 168 which is configured to abut bottom rail 68. Comb portion 164 includes a comb surface 170 which is spaced at a distance D.sub.2 from rail surface 168. Stopping element portion 166 includes a stopping element surface 172 which is spaced at a distance D.sub.3 from comb surface 170. To secure stopping element 112B to bottom rail 68, finger block 160 is positioned adjacent bottom rail 68 so that outer periphery 88 of bottom rail 68 abuts rail surface 168 and so that teeth 114 of comb 110B extend between rail surface 168 and comb surface 170. Specifically, rail surface 168 includes two elevated ridges 174, and finger block 160 is positioned so that outer periphery 88 of bottom rail 68 abuts elevated ridges 174. As shown, teeth 114 of comb 110B do not abut comb surface 170. In one embodiment, finger block 160 includes an opening 176 extending therethrough, and a screw 178 is inserted through opening 176 to secure finger block 160 to bottom rail 68. After positioning finger block 160, and as shown, stopping element portion 166 extends a distance D.sub.4 over bottom rail 68 and comb 110B. Stopping element 112B is secured to bottom rail 68 so that outer surface 116B of stopping element 112B abuts stopping element surface 172 of stopping element portion 166 at distance D.sub.4 from outer periphery 88 of bottom rail 68. Accordingly, outer surface 116B of stopping element 112B is radially aligned with focal spot 50 of x-ray source 14. Stopping element 112A is similarly secured to top rail 66. Attenuating blades 62 are then inserted into blade receptacles 122 of combs 110A and 110B so that blades 62 are substantially parallel and abut outer surfaces 116A and 116B of stopping elements 112A and 112B. Accordingly, blades 62 are radially aligned with, i.e., equidistant from, focal spot 50. Blades 62, as described above, also are angularly positioned so that they are focally aligned with focal spot 50. Blades 62 are then bonded to combs 110A and 110B. Attenuating wires 64 are then positioned in blade notches 126 and secured to first end block 70 and second end block 74 as described above. Particularly, wires 64 are bonded to end blocks 72 and 74. Scatter collimator 52 is then mounted to gantry 12 via detector mounting adjusters 106A, 106B and 106C. FIG. 11 is an expanded top view of positioning comb 110A and comb teeth 114. Particularly, teeth 114 include blade grasping teeth 180 and detector element engaging teeth 182. Blade grasping teeth 180, as described above, have blade receiving receptacles 122 therebetween, and are configured to receive attenuating blades 62 within blade receiving receptacles 122. Detector element engaging teeth 182, however, form detector element projection tabs 100 which are configured to couple to detector elements 20. To facilitate proper blade alignment, i.e., to verify that blades 62 are substantially parallel, and referring to FIG. 12, a blade combing tool 184 may be used. Blade combing tool includes a handle 186 and a plurality of teeth 188 extending from handle 186. Teeth 188 are substantially parallel and include cavities 190 therebetween. Cavities 190 are configured to receive blades 62 therein, while teeth 188 are configured to be inserted between adjacent blades 62. Teeth 188 are substantially the same thickness. To use combing tool 184, teeth 188 are inserted between adjacent blades 62 so that blades 62 slide into cavities 190. Combing tool 184 then brushes blades 62 to verify that adjacent blades 62 are equidistant from each other at all portions of such blades 62, i.e., blades 62 are substantially parallel. Accordingly, combing tool 184 facilitates accurate blade alignment and thus substantially eliminates improper blade deflection. The above-described scatter collimator provides for substantially precise alignment with both the focal spot and the detector elements. Also, the scatter collimator is not complex, and is more simple to construct than known scatter collimators. In addition, the scatter collimator sufficiently shields the detector elements from undesirable scattered x-rays and other radiation. Accordingly, the scatter collimator is believed to provide improved system performance as compared to known collimators. To further improve system performance, detector elements 20 include scintillation elements that are coated with a light-retaining material, i.e., a material which maintains light within each respective scintillation element. Detector elements 20 could be configured so that the scintillation elements form an array having interstitial reflectors. Accordingly, the coating of light-maintaining material is positioned between each scintillation element and an interstitial reflector. The light-retaining coating thus substantially contains light events generated in the scintillation elements within such scintillation elements, and reduces the amount of light exiting the scintillation elements and reflecting off of the interstitial reflectors. Therefore, it is believed that detector element overall gain loss is reduced. The above-described embodiments substantially prevent scattered x-rays and unwanted radiation from impinging detector elements 20. In addition, the coated scintillation elements reduce the extent of interstitial reflector reflectivity loss and, thus, detector element gain loss. However, such embodiments were illustrated only for exemplary purposes. Additional embodiments are, of course, possible. The light-retaining coating applied to the scintillation elements may be, for example, a loaded epoxy. Alternatively, of course, the light-retaining coating may be a thin metal coating, i.e, a semi-transparent coating, a low index coating, a dielectric coating or a dielectric stack coating. The light-retaining coating may also be an intermediary in-organic coating layer. Of course, other light-retaining coatings also may be used. Furthermore, attenuating blades 62 may be constructed of Tungsten. However, attenuating blades 62 may be constructed of other attenuating material. Similarly, attenuating wires 64 may be constructed of Tungsten or some other attenuating material. In addition, while stopping elements 112A and 112B described herein are constructed of molybdenum, stopping elements 112A and 112B may be constructed of other material. From the preceding description of various embodiments of the present invention, it is evident that the objects of the invention are attained. Although the invention has been described and illustrated in detail, it is to be clearly understood that the same is intended by way of illustration and example only and is not to be taken by way of limitation. For example, although the CT system described herein is a "third generation" system, many other systems, such as "fourth generation" systems may be used. In addition, the positioning combs described herein each included an array of five combs. Alternatively, each positioning comb may include either fewer or more than five combs, e.g., three, four, or six combs. Accordingly, the spirit and scope of the invention are to be limited only by the terms of the appended claims. |
summary |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.