patent_number
stringlengths
0
9
section
stringclasses
4 values
raw_text
stringlengths
0
954k
summary
claims
1. A laser protection arrangement with a safety cutoff, comprising:a passive laser protection wall which stores the radiation energy of impinging radiation of a laser of a laser material processing installation;a laser protection foil which comprises an expandable plastic and is fixed in an expanded state and undergoes a detectable change when struck by laser radiation being arranged in front of the passive laser protection wall in the direction of the laser radiation; andat least one sensor being connected to the laser by a threshold switch in order to switch off the laser when a detector signal received by the at least one sensor exceeds or falls below a predetermined threshold value. 2. The laser protection arrangement according to claim 1;wherein the sensor is an expansion sensor. 3. The laser protection arrangement according to claim 2;wherein the laser protection foil is expanded in only one direction and the expansion sensor is an expansion measurement strip which is glued on in the expansion direction so that when struck by laser radiation the laser protection foil is destroyed at the point of incidence and a tear extends perpendicular to the expansion direction and leads to a drop in the tensile strain which is determined as a threshold value. 4. The laser protection arrangement according to claim 1;wherein the sensor is an acoustic sensor. 5. A laser protection arrangement with a safety cutoff, comprising:a passive laser protection wall which stores the radiation energy of impinging radiation of a laser of a laser material processing installation;a laser protection foil with integrated optically conducting fibers comprising a fiber core and a fiber cladding, the laser protection foil undergoing a detectable change when struck by laser radiation being arranged in front of the passive laser protection wall in the direction of the laser radiation; andat least one sensor being connected to the laser by a threshold switch in order to switch off the laser when a detector signal received by the at least one sensor exceeds or falls below a predetermined threshold value. 6. A laser protection arrangement with a safety cutoff, comprising:a passive laser protection wall which stores the radiation energy of impinging radiation of a laser of a laser material processing installation;a laser protection foil which is a double foil that is formed by at least one gas-filled hollow chamber, the laser protection foil undergoing a detectable change when struck by laser radiation being arranged in front of the passive laser protection wall in the direction of the laser radiation, the laser protection foil being configured to be exchangeable with another laser protection foil without having to exchange the passive laser protection wall with another passive laser protection wall; andat least one sensor being connected to the laser by a threshold switch in order to switch off the laser when a detector signal received by the at least one sensor exceeds or falls below a predetermined threshold value. 7. The laser protection arrangement according to claim 6;wherein the at least one hollow chamber is filled with a gas which can be detected, and the sensor is a gas sensor. 8. The laser protection arrangement according to claim 7;wherein an overpressure exists in the at least one hollow chamber and the sensor is a pressure sensor. 9. The laser protection arrangement according to claim 7;wherein the double foil forming the at least one hollow chamber is connected by a plurality of webs so that the expansion of the chamber is limited by the height of the webs. 10. A laser protection arrangement with a safety cutoff, comprising:a passive laser protection wall which stores the radiation energy of impinging radiation of a laser of a laser material processing installation;a laser protection foil which is a bubble foil, the laser protection foil undergoing a detectable change when struck by laser radiation being arranged in front of the passive laser protection wall in the direction of the laser radiation; andat least one sensor being connected to the laser by a threshold switch in order to switch off the laser when a detector signal received by the at least one sensor exceeds or falls below a predetermined threshold value.
description
The present application is a continuation application of U.S. patent application Ser. No. 10/082,286 filed on Feb. 26, 2002, the disclosure of which is herewith incorporated by reference in its entirety. 1. Field of the Invention The present invention relates to a semiconductor inspection system for analyzing patterns on a semiconductor wafer by use of design data. More specifically, the present invention relates to a semiconductor inspection system provided with a system configuration for automatically generating conditions for capturing and inspection of patterns out of the design data, as well as a method of stably performing a matching process between the design data and scanning electron microscope (SEM) images. 2. Background Art In recent years, there is a production shift in the semiconductor industry from production of memory chips to production of system large scale integrated circuits (LSIs). From a viewpoint of patterns on a semiconductor wafer, unlike patterns of a memory chip, patterns of a system LSI are not designed as simply repeated patterns. Accordingly, in the case of performing pattern measurement of the system LSI with a length-measuring SEM, which is one of the semiconductor evaluation systems, templates for measuring positions, in other words, templates for matching need to be frequently changed. In actual measurement, frequent capturing operations for registration of the templates may incur a considerable decline in entire throughput. Accordingly, generation of the templates directly from existing design data such as computer aided design (CAD) data has been requested. In the meantime, a wafer size is increased up to 300 mm, whereby the wafer cannot be conveyed by manpower. In addition, inspection in a high-purity clean room is becoming essential. Therefore, complete robotization has been desired in a semiconductor facility. Accordingly, an operator-free and fully-automated semiconductor inspection system is requested, which is not arranged to generate only the templates for measuring positions but is also arranged to generate all conditions required for inspection including capturing conditions, points for length measurement and length-measuring algorithms out of the design data, whereby actual inspection is performed under the foregoing conditions. In a conventional length-measuring SEM, an image of an actual wafer is captured first and the image is used for registration of the points for image recognition, the positions for length measurement and the length-measuring algorithms. In other words, the actual wafer is required in the first place, and it is also necessary to occupy the length-measuring SEM temporarily to perform capturing of SEM images and registration of various conditions. Moreover, since technologies for matching design data with SEM images have not been developed adequately, accurate matching has been difficult to do. For example, in the case of specifying a pattern position on a SEM image of a semiconductor wafer by applying the design data to a template with the conventional technology, the SEM image is filtered with a Sobel filter or the like to detect edge components for generating an edge image, and then matching such as a normalized correlation process between the edge image and the design data is performed. FIG. 1 shows a schematic flowchart of conventional processes and FIG. 7 shows some image examples used in the conventional processes. First in Step 101, registration of a template of a desired pattern is performed by use of the design data. The pattern registered from the design data is shown as an image 701. Next, a SEM image is obtained in Step 102. The obtained SEM image is shown as an image 702. In Step 103, the obtained SEM image is subjected to edge emphasis filtering with a Sobel filter or the like. In Step 104, the edge-emphasized image is converted into binary codes for generating a line image in which the edge is only extracted. An image 703 shows the line image extracted out of the SEM image 702. In Step 105, a matching process such as normalized correlation is performed between the line image and the design image registered in Step 101. Then, position detection is performed in Step 106. When detection is performed a plurality of times, Step 102 to 107 will be iterated. In a conventional semiconductor inspection system, registration of points for image recognition, positions for length measurement and length-measuring algorithms have been performed once after capturing an image of an actual wafer and by use of the image. For this reason, there has been a problem that throughput is not improved because registration is time-consuming and the system is occupied at the time of registration. Moreover, there has been a problem that it is impossible to construct an operator-free and fully automated semiconductor inspection system because the conventional system always requires an operator for judgment and registration by observation of actual SEM images. Furthermore, concerning the technology for matching design information with the SEM images, the conventional technology cannot respond to deformation between the CAD data and the SEM images. The conventional technology also has a problem in the event of extracting edge information out of the SEM image that the edge information cannot be adequately extracted due to a signal/noise ratio (an S/N ratio) of the image. In the event of generating a line image by conversion into binary codes, the conventional technology would be incapable of obtaining an optimum value for a threshold, because determination thereof has been difficult. Accordingly, there has been a problem that a correlation coefficient becomes considerably small in the subsequent matching process by normalized correlation. An object of the present invention is to realize an operator-free and fully-automated semiconductor inspection system which generates all necessary conditions, including, conditions for capturing, points for length measurement and length-measuring algorithms, out of design information such as CAD data for performing actual inspection under those conditions. Another object of the present invention is to realize the semiconductor inspection system capable of executing a stable matching process with a high correlation value in the case of performing the matching process between the design data using as a template and SEM images in that system. In order to achieve the foregoing objects, a first aspect of the present invention is a semiconductor inspection system, which includes: a navigation system for storing design information such as CAD data of a semiconductor chip and for setting capturing and inspecting conditions including a region on a semiconductor wafer subject to inspection based on the design information; and a scanning electron microscope system for performing actual capturing of the semiconductor wafer and for executing inspection in accordance with the capturing and inspecting conditions being set up. A second aspect of the present invention is the semiconductor inspection system according to the first aspect, in which the navigation system includes a function to design semiconductor patterns by itself or a function to retrieve the design information from another navigation system connected via a network, foregoing another navigation system possessing a designing function. A third aspect of the present invention is the semiconductor inspection system according to the first aspect, in which the navigation system specifies and retrieves desired design data out of the stored design information to display the design data on a display screen. A fourth aspect of the present invention is the semiconductor inspection system according to the first aspect, in which the navigation system includes a function to specify and retrieve an arbitrary portion out of the CAD data being the stored design information and to generate bitmap data therefrom. A fifth aspect of the present invention is the semiconductor inspection system according to the first aspect, in which the navigation system has a function to effectuate automatic editing of all the capturing and inspecting conditions to be used in the scanning electron microscope system out of the design information including the CAD data and to transmit the edited capturing and inspecting conditions to the scanning electron microscope system. A sixth aspect of the present invention is the semiconductor inspection system according to the first aspect, in which the navigation system has a function to effectuate transmission and receipt of data with another navigation system connected to a network of a facility installed and further to transmit the capturing and inspecting conditions to a plurality of the scanning electron microscope systems connected to the network. A seventh aspect of the present invention is the semiconductor inspection system according to the first aspect, in which the navigation system includes: a bitmap data generator having a function to generate bitmap data by retrieving desired design data out of the stored design information; and a capturing and inspecting condition editor having a function to edit and transmit the capturing and inspecting conditions to be used in the scanning electron microscope system out of the design data. An eighth aspect of the present invention is the semiconductor inspection system according to the first aspect, in which the navigation system has a function to automatically detect a characteristic pattern portion and to register the pattern portion as a template, in the case of selecting a template for matching out of bitmap data as one of the inspecting conditions to be used in the scanning electron microscope system. A ninth aspect of the present invention is the semiconductor inspection system according to the first aspect, in which the scanning electron microscope system uses the capturing and inspecting conditions received from the navigation system, obtains a scanning electron microscope image automatically and performs inspection. A tenth aspect of the present invention is the semiconductor inspection system according to the first aspect, in which the scanning electron microscope system uses the capturing and inspecting conditions received from another navigation system connected via a network, obtains a scanning electron microscope image automatically and performs inspection. An eleventh aspect of the present invention is the semiconductor inspection system according to the first aspect, in which the scanning electron microscope system has a function for matching between bitmap data generated from the design information and a scanning electron microscope image. A twelfth aspect of the present invention is the semiconductor inspection system according to the eleventh aspect, in which the scanning electron microscope system includes: means for generating edge images by retrieving edge information severally from the scanning electron microscope image obtained by capturing and from a template being bitmap data in the case performing a matching process with the scanning electron microscope image obtained by capturing using the bitmap data from the design data as a template; and means for performing the matching process with respect to the edge images severally generated from the scanning electron microscope image and the template while providing the both images with a smoothing process severally so as to make up deformed parts of the both images. A thirteenth aspect of the present invention is the semiconductor inspection system according to the eleventh aspect, in which the scanning electron microscope system retrieves edge information in accordance with multiple directions and generates edge images depending on the multiple directions in the case of generating edge images by retrieving edge information from a scanning electron microscope image and from a template being bitmap data, and the scanning electron microscope system performs a matching process with respect to each of the images. A fourteenth aspect of the present invention is the semiconductor inspection system according to the eleventh aspect, in which the scanning electron microscope system performs a matching process by composing edge images generated in accordance with multiple directions and by integrating the edge images into one image, in the case of generating edge images by retrieving edge information from a scanning electron microscope image and from a template being bitmap data. A fifteenth aspect of the present invention is the semiconductor inspection system according to the first aspect, in which the scanning electron microscope system includes: means for generating an edge image by retrieving edge information from a scanning electron microscope image obtained by capturing in the case of performing a matching process between the scanning electron microscope image and bitmap data from the design information as a template; means for re-registering a portion of the scanning electron microscope image as a template, foregoing portion corresponding to a position of the edge image detected by the matching process between the edge image and design data; and means for using the re-registered template of the scanning electron microscope image in the subsequent matching process. A sixteenth aspect of the present invention is the semiconductor inspection system according to the fifteenth aspect, in which the scanning electron microscope system carries out re-registration of the template during repeated capturing processes at an interval of an arbitrary period of time or an arbitrary frequency of the processes in the case that the scanning electron microscope system uses the re-registered template of the scanning electron microscope image and performs the matching processes with respect to scanning electron microscope images repeatedly captured. A seventeenth aspect of the present invention is the semiconductor inspection system according to the fifteenth aspect, in which the scanning electron microscope system compares a correlation value between the design data and the scanning electron microscope image every time and re-registers a new template only when the compared correlation value is higher than the correlation value of the template used at that time. An eighteenth aspect of the present invention is the semiconductor inspection system according to the fifteenth aspect, in which the scanning electron microscope system performs an arbitrary frequency of the matching processes initially, compares correlation values between the design data and the scanning electron microscope images obtained in the arbitrary frequency of the matching processes, and re-registers the scanning electron microscope image having the highest correlation value as a new template. A nineteenth aspect of the present invention is the semiconductor inspection system according to the first aspect, in which the capturing and inspecting conditions are selected from a capturing and inspecting condition file registered in advance with any one of the navigation system and the scanning electron microscope system. A twentieth aspect of the present invention is the semiconductor inspection system according to the nineteenth aspect, in which the capturing and inspecting conditions are selected from the capturing and inspecting condition file weighted in accordance with a frequency of use in the past. A twenty-first aspect of the present invention is the semiconductor inspection system according to the nineteenth aspect, in which a capturing and inspecting condition inside the capturing and inspecting condition file is automatically deleted from the capturing and deleting condition file when a frequency of use of the capturing and inspecting condition is lower than a predetermined frequency. A twenty-second aspect of the present invention is the semiconductor inspection system according to the nineteenth aspect, which further includes a function to modify and to edit a part of the capturing and inspecting conditions inside the capturing and inspecting condition file, the capturing and inspecting condition file being registered in advance. A twenty-third aspect of the present invention is the semiconductor inspection system according to the nineteenth aspect, which further includes a function to register a condition with the capturing and inspecting condition file as another condition, when a part of the capturing and inspecting conditions inside the capturing and inspecting condition file being registered in advance is modified. The semiconductor inspection system according to the first aspect is composed of the navigation system for storing the design data of a semiconductor chip and the scanning electron microscope system for executing actual capturing and inspection of a semiconductor wafer by use of the information. Therefore, it is possible to construct a system which generates the capturing and inspecting conditions using the design data of a semiconductor chip and actually executes capturing and inspection. In the semiconductor inspection system according to the second aspect, the navigation system includes the function to design semiconductor patterns by itself or the function to retrieve and store the design information from another navigation system connected via a network which possesses a designing function. Therefore, the capturing and inspecting conditions can be readily set up based on the design information. In the semiconductor inspection system according to the third aspect, the navigation system is provided with a function to specify and retrieve desired design data out of the design information storing various information such as layers and cells required for pattern designing and to display the design data on a display screen. Therefore, an operator can readily set up the capturing and inspecting conditions based on the design data on the display screen. In the semiconductor inspection system according to the fourth aspect, the navigation system is provided with the function to retrieve an arbitrarily specified portion out of the CAD data being the design information in order to generate bitmap data. Therefore, the bitmap data can be used for matching by the scanning electron microscope system. In the semiconductor inspection system according to the fifth aspect, the navigation system is provided with the function to effectuate automatic editing of all the capturing and inspecting conditions to be used in the scanning electron microscope system out of the design information including the CAD data and to transmit the edited capturing and inspecting conditions to the scanning electron microscope system. Therefore, the scanning electron microscope system can execute capturing and inspection by use of the automatically extracted conditions, whereby full-automation of the system becomes feasible. In the semiconductor inspection system according to the sixth aspect, the navigation system is provided with the function to effectuate transmission and receipt of data with another navigation system connected to a network of a facility installed and further to transmit the capturing and inspecting conditions to a plurality of the scanning electron microscope systems connected to the network. Therefore, a plurality of navigation systems and a plurality of the scanning electron microscope systems can collaborate to execute efficient capturing and inspection. In the semiconductor inspection system according to the seventh aspect, the navigation system includes a portion having the function to generate bitmap data by retrieving desired design data out of the stored design information, and a portion having the function to edit and transmit the capturing and inspecting conditions to be used in the scanning electron microscope system out of the design data. Therefore, the capturing and inspecting conditions can be edited by use of the bitmap data. Moreover, the navigation system can be also composed of a plurality of systems by use of the network. In the semiconductor inspection system according to the eighth aspect, the navigation system is provided with the function to automatically detect a characteristic pattern portion and to register the pattern portion as a template, in the case of selecting a template for matching out of bitmap data as one inspecting condition to be used in the scanning electron microscope system. Therefore, the template registration does not require manpower. In the semiconductor inspection system according to the ninth aspect, the scanning electron microscope system is provided with the function to use the capturing and inspecting conditions received from the navigation system, to obtain a scanning electron microscope image automatically and to perform inspection. Therefore, the system does not require control by an operator and capturing and inspection can be thereby automated. In the semiconductor inspection system according to the tenth aspect, the scanning electron microscope system is provided with the function to use the capturing and inspecting conditions received from another navigation system connected via a network, to obtain a scanning electron microscope image automatically and to perform inspection. Therefore, a plurality of scanning electron microscope systems can be automatically operated without controlling by an operator. In the semiconductor inspection system according to the eleventh aspect, the scanning electron microscope system is provided with the function for matching between bitmap data generated from the design information and a scanning electron microscope image. Therefore, the scanning electron microscope system can perform highly accurate and efficient inspection by use of the design information. In the semiconductor inspection system according to the twelfth aspect, the scanning electron microscope system is provided with a function to generate edge images by retrieving edge information severally from the scanning electron microscope image obtained by capturing and from a template being bitmap data in the case of performing a matching process between the scanning electron microscope image and the bitmap data out of the design data while providing a smoothing process severally so as to make up deformed parts thereof. Therefore, matching can be performed with a high detection ratio. In the semiconductor inspection system according to the thirteenth aspect, the scanning electron microscope system is provided with a function to retrieve edge information in accordance with multiple directions and to generate edge images depending on the multiple directions in the case of generating edge images by retrieving edge information from a scanning electron microscope image and from the bitmap data, a function to perform a matching process with respect to each of the images. Therefore, matching can be performed with good positional accuracy. In the semiconductor inspection system according to the fourteenth aspect, the scanning electron microscope system is provided with a function to perform a matching process by composing edge images generated in accordance with multiple directions and by integrating the edge images into one image in the case of generating edge images by retrieving edge information from a scanning electron microscope image and from bitmap data. Therefore, matching can be performed with fine positional accuracy and in a high speed. The semiconductor inspection system according to the fifteenth aspect uses the re-registered template of the SEM image and effectuates a matching process between graded SEM images. Therefore, matching can be performed with a high correlation value and with a stable detection ratio. In the semiconductor inspection system according to the sixteenth aspect, re-registration of the template as described in the fifteenth aspect is carried out during repeated capturing at an interval of either an arbitrary period of time or an arbitrary frequency of the processes. Therefore, the matching process with a high correlation value and with a stable detection ratio can be performed in response to changes of SEM images with passage of time in the course of capturing. In the semiconductor inspection system according to the seventeenth aspect, a correlation value between the design data and a SEM image is compared in the case of registering a new template, and the template is re-registered only when the correlation value is higher than before. Therefore, the template can be optimized along with a higher correlation value. In the semiconductor inspection system according to the eighteenth aspect, the matching processes between the design data and the edge images as described in the fifteenth aspect are performed initially in an arbitrary frequency. Thereafter, correlation values then are compared and the edge image having the highest correlation value of all the edge images is re-registered as the template. Accordingly, it is possible to select a template of a SEM image having a higher correlation value. In the semiconductor inspection system according to the nineteenth aspect, either the navigation system or the scanning electron microscope system is provided with a function to select the capturing and inspecting conditions from a previously registered file. Therefore, the conditions can be efficiently decided. In the semiconductor inspection system according to the twentieth aspect, in the case of selecting from the capturing and inspecting condition file, the capturing and inspecting conditions are weighted depending on a frequency of use in the past and the conditions are selected therefrom. Therefore, the conditions can be efficiently decided. In the semiconductor inspection system according to the twenty-first aspect, a capturing and inspecting condition inside the capturing and inspecting condition file is deleted automatically from the capturing and inspecting condition file in the case that a frequency of use thereof is lower than a predetermined frequency. Therefore, the conditions can be efficiently decided. In the semiconductor inspection system according to the twenty-second aspect, the semiconductor inspection system of the nineteenth aspect is provided with a function to modify and edit a part of the capturing and inspecting conditions inside the capturing and inspecting condition file registered in advance. Therefore, the conditions can be efficiently decided with reference to the precedent conditions. In the semiconductor inspection system according to the twenty-third aspect, in the case that a part of the capturing and inspecting conditions inside the capturing and inspecting condition file being registered in advance is modified and edited, the semiconductor inspection system of the nineteenth aspect is provided with a function to register the relevant condition with the capturing and inspecting condition file as another condition. Therefore the conditions can be efficiently decided thereafter. FIG. 3 is a block diagram of a schematic configuration of a scanning electron microscope system of the present invention. Reference numeral 301 denotes a body of an electron microscope. An electron beam 303 emitted out of an electron gun 302 is converged by an unillustrated electron lens and irradiated on a sample 305. Either intensity of secondary electrons generated from a surface of the sample or intensity of reflected electrons by electron beam irradiation is detected by an electron detector 306 and amplified by an amplifier 307. Reference numeral 304 denotes a deflector 304 which deflects the electron beam, thus subjecting the electron beam 303 to raster scanning on the sample surface according to a control signal 308 of a controlling computer 310. A signal outputted from the amplifier 307 is converted from analog to digital inside an imaging processor 309, whereby digital image data are generated. Reference numeral 311 denotes a display device for displaying the image data. Moreover, the imaging processor 309 includes an image memory for storing the digital image data, an imaging circuit for performing various imaging processes and a display control circuit for performing display control. Input means 312 such as a keyboard and a mouse is connected with the controlling computer 310. During fabrication of a semiconductor device, the electron microscope system is used upon measurement of line widths of fine patterns drawn on a wafer. In this event, the normalized correlation method is currently used as a method to find out a portion on the wafer to measure the line width. In such a case, selection of an optimum template is deemed essential. The imaging processor 309 of the present invention has a constitution which effectuates optimum template selection upon template matching, whereby the imaging processor 309 is adoptable to the electron microscope system. FIG. 2 is a flowchart of a matching process according to one embodiment of the present invention using design data and a SEM image. First in Step 201, a pattern portion requested for detection is registered out of the design data as a template. The SEM image is obtained in Step 202, and the matching process is performed in Step 203. Although there are various ways concerning this matching process, a way similar to Steps 103 to 105 of FIG. 1 (the edge emphasis filtering process, the binary conversion process and the normalized correlation process) may be used, for example. As a result, a position on the SEM image corresponding to the pattern of the designed data is detected in Step 204. Next in Step 205, the portion of the SEM image detected in Step 204 as corresponding to the pattern of the design data is re-registered as a template. Thereafter, another SEM image is obtained in Step 206. Then in Step 207, a matching process is performed while using the SEM image re-registered in Step 205 as the template, and position detection is performed in Step 208. In the foregoing steps, since the re-registered template is a SEM image, the matching process takes place between two graded SEM images. Accordingly, it is possible to perform the matching process with a high correlation value and a stable detection ratio as well. When detection is performed a plurality of times, Steps 206 to 209 will be iterated. If the template for initial registration is preset, then the subsequent processes can be conducted automatically by a computer program. FIG. 4 is a flowchart of a case of time-lapse re-registration of the SEM image as the template according to the embodiment of the present invention. Steps 401 to 408 correspond to Steps 201 to 208 of FIG. 2, respectively. In Step 409, judgment is made as to whether or not re-registration of the template is carried out in every certain time interval or in every certain process frequency. When re-registration is carried out, Steps 402 to 405 are executed for performing the matching process again by use of the design data and the SEM image. In this way, it is possible to perform the matching process with a high correlation value and a high detection ratio even if the SEM image is changed with passage of time in the course of image capturing. FIG. 5 is a flowchart of a re-registration process of the SEM image as the template according to the embodiment of the present invention, in the case that the correlation value higher than the previous value is obtained. Steps 501 to 504 and Steps 506 to 510 correspond to Steps 401 to 404 and Steps 405 to 409 of FIG. 4, respectively. In Step 510, judgment is made as to whether or not re-registration of the template is carried out in every certain time interval or in every certain process frequency. When re-registration is carried out, Steps 502 to 504 are executed for performing the matching process again by use of the design data and the SEM image. Next in Step 505, if the correlation value detected in the position at this time is higher than the correlation value of the currently effective template, re-registration of the template is performed in Step 506. However, if the detected correlation value is smaller than the correlation value of the currently effective template, re-registration does not take place and the process proceeds to subsequent Steps starting from Step 507. Accordingly, it is possible to optimize the template for use as the template having the highest correlation value. FIG. 6 is a flowchart of a re-registration process of the SEM image as the template according to the embodiment of the present invention, in the case which the matching processes between the design data and the SEM image are performed in an arbitrary frequency, whereby the SEM image in the position highest in the correlation value among all the correlation values of the SEM images is re-registered as the template. Steps 601 to 604 and Steps 606 to 610 correspond to Steps 201 to 204 and Steps 205 to 209 in FIG. 2, respectively. The matching processes using the design data and the SEM image are iterated by an arbitrary frequency from Step 602 to Step 605, and then in Step 606, the SEM image in the position highest in the correlation value among all the detected positions is re-registered as the template. Accordingly, it is possible to select the SEM image high in the correlation value. When detection is performed a plurality of times. Steps 607 to 609 will be iterated by use of the template. Note that both processes shown in FIG. 4 and in FIG. 6 can be automated by computer programs. FIG. 8 is a flowchart of the matching process according to the embodiment of the present invention by use of a template of bitmap data and the SEM image. In Step 801, edge information is severally extracted out of the bitmap data and out of the SEM image. In this part of the process, an edge emphasis filter such as a Sobel filter is generally used. In this part, both images lose contrast information and matching is thereby facilitated. However, since the SEM image has quite a different shape from the actual CAD data, the detection ratio upon matching will be reduced if nothing is done. Therefore, in Step 802, each of both images converted into edge images is severally subjected to a smoothing process to make up deformation thereof. A slightly stronger smoothing filter is applied this part of the process. In addition, smoothing strength should be varied according to the CAD data or the SEM image; specifically, smoothing of the CAD data should be carried out more strongly. Since the pair of edge images, of which deformed parts are corrected, are subjected to the matching process in Step 803, it is possible to perform the matching process with a high detection ratio. Note that the matching process can be automated by a computer program if the edge information is preset severally by the bitmap data and the SEM image to be initially extracted. FIG. 9 is a flowchart of the matching process according to another embodiment of the present invention by use of the template of bitmap data and the SEM image. A difference from the process flow of FIG. 8 is that edge extraction is performed in multiple directions in Step 901. As for edge extraction process in multiple directions, generally used is a Sobel filter which is capable of extracting edges in multiple directions. As for the directions, either 2 directions of X and Y, or 4 directions of X, Y, XY and YX is used. In Step 902, a smoothing process is performed on each edge image decomposed in each direction in order to make up a deformed part thereof. In Step 903, images decomposed in the respective directions are composed and integrated as illustrated in FIG. 10. In Step 904, the matching process can be performed between a pair of plain images owing to the above composition process. Needless to say, the matching process may be also performed severally with respect to each pair in the corresponding direction without performing the integration in Step 903. By extracting the edges and subjecting to the matching process with respect to each direction, matching accuracy in each direction can be enhanced. Note that an original image in FIG. 10 corresponds to a template and to an inputted SEM image in FIG. 9. Accordingly, upon finding differentials of these images in the X direction, such differentiation is carried out by dividing the original images into a plurality of lines along the Y direction. On the contrary, upon finding differentials in the Y direction, such differentiation is carried out by dividing the original images into a plurality of lines along the X direction. This matching process can be also automated by a computer program. FIG. 11 is a view of a configuration of a semiconductor inspection system according to the embodiment of the present invention. Reference numeral 1101 denotes a navigation system, which is capable of storing design information of a semiconductor chip such as CAD data, and arbitrarily retrieving regions for inspection out of the design information. Reference numeral 1102 denotes the scanning electron microscope system for actually performing image capturing of a semiconductor wafer by using the information, and for executing given inspection. These systems 1101 and 1102 are linked together with a network, thus having a configuration to effectuate exchanges of information and data. FIG. 12 is a view of a configuration of the navigation system according to the embodiment of the present invention. The navigation system 1101 is composed of a bitmap data generator 1201 having functions to retrieve desired design data out of the stored design information and to generate bitmap data therefrom, and a capturing and inspecting condition editor 1202 having a function to edit and transmit capturing and inspecting conditions out of the design data for use in the scanning electron microscope system 1102. In the meantime, the navigation system 1101 may be composed in a manner that functional parts of the bitmap data generator 1201 and the capturing/editing condition editor 1202 are separately configured within one workstation (a WS) or one personal computer (a PC), or in a manner that the functional parts thereof are separately configured in two or a plurality of WSs or PCs. FIG. 13 is a view of a configuration of the semiconductor inspection system according to another embodiment of the present invention. A navigation system 1302 possesses a designing function of semiconductor patterns by itself. If the navigation system 1302 does not possess the designing function, the navigation system 1302 retrieves the design information from another system 1301 having the designing function, which is connected via the network, and uses the information. FIG. 14 is a view of a network configuration of the semiconductor inspection system according to the embodiment of the present invention. In the semiconductor inspection system of the present invention, a navigation system 1401 can transmit and receive data with other navigation systems 1402 to 1404 connected to a network of a facility installed. The navigation system 1401 can further transmit the capturing and inspecting conditions to a plurality of scanning electron microscope systems 1405 and 1406 connected to the network. In this way, it is possible to share the capturing and inspecting conditions within the network, and it is also possible to drive a plurality of systems automatically and simultaneously. FIG. 15 is a display example in the navigation system according to the embodiment of the present invention. Design data 1501 of a semiconductor is stored in the navigation system, and the navigation system has a function to allow an operator to retrieve a specified portion 1502 out of the design data 1501 by specifically inputting the design information such as layers and cells with respect to the specified portion 1502 in order to display the specified portion 1502 on a display screen as shown in reference numeral 1503. In this case, the design data 1501 may be stored in another design system connected via the network as shown in FIG. 13. FIG. 16 is an example of the bitmap data generated by the navigation system 1302 according to the embodiment of the present invention. Reference numeral 1601 denotes a region retrieved from the design data in FIG. 15. Within this region, a portion 1602 subject to inspection and length measurement is specified. In this case, such an inspection/length-measurement specified region 1602 is converted into bitmap data 1603 and then transmitted to the scanning electron microscope system 1303. Here, the bitmap data 1603 consists of two values of black and white. However, such colors may be set up arbitrarily. FIG. 17 is a flowchart of a process performed by the navigation system according to the embodiment of the present invention. In Step 1701, layer and cell information of the design or the like is specified as shown in FIG. 15, whereby the data specified out of the stored design data are displayed on the screen. A region for capturing is specified in Step 1702. In Step 1703, pattern data and positional information within a scope (the region specified as the region for capturing) are retrieved and then converted into the bitmap data 1603. This part is the same as the content as shown in FIG. 16. Next in Step 1704, a place subject to inspection and length measurement is specified and coordinate data thereof are read in. In Step 1705, specification of a template for matching is performed and registration of pattern data and positional information of the template are performed. As for specification of the template, the operator normally selects and specifies the most distinctive and characteristic portion. It is also possible to specify such a characteristic portion automatically by use of an image processing technology to detect high frequency components and distinction of an image. Lastly in Step 1706, all the information necessary for performing capturing and inspection with the scanning electron microscope system is edited based on the information gathered in Steps 1701 to 1705, and the edited information is transmitted to the scanning electron microscope system. FIG. 18 shows examples of specifying a length-measuring point and the template in the navigation system according to the embodiment of the present invention. Reference numeral 1801 denotes specification of the length-measuring point and reference numeral 1802 denotes specification of the template. Although the image subject to specification herein is set as bitmap data, it is by all means possible to specify the template on the design data prior to conversion into the bitmap data. FIG. 19 is a flowchart of a process performed by the scanning electron microscope system according to the embodiment of the present invention. In Steps 1901 to 1904, information concerning wafer alignment, information concerning the template for matching and information concerning the length-measuring point, conditions for capturing and a method of length measurement are registered based on the information transmitted in Step 1706 of FIG. 17. Actual capturing takes place in Step 1905. Then in Step 1906, a search process (detection of positions) is executed by use of the template registered in Step 1902. In Step 1907, the length-measuring point is computed from matching coordinates detected in Step 1906 and length measurement is executed. In Step 1908, judgment is made as to whether or not length measurement is completed with respect to all the length-measuring points. Step 1908 is provided for effectuating length measurement with respect to all the length-measuring points. FIG. 20 shows an automatic condition file according to the embodiment of the present invention, in which capturing and inspecting conditions are registered. The automatic condition file may reside either in the navigation system or in the scanning electron microscope system. Actual capturing and inspection are performed by the scanning electron microscope system in accordance with the conditions registered in the automatic condition file 2001. In the case of deciding the capturing and inspecting conditions out of the information obtained by the navigation system, if the most suitable condition is selected from recipes registered in advance as in the present invention, a process for generating the conditions can be simplified and management and maintenance thereof become convenient. Moreover, each recipe registered in the automatic condition file can be partially modified or deleted as illustrated in a table 2002. Furthermore, each recipe can be also registered in another name. In addition, it is also possible to take statistics as to how often each recipe is used in order to delete less frequently used recipes automatically. FIG. 21 is a flowchart of a process in the case of using the automatic condition film according to the embodiment of the present invention. In Step 2101, judgment is made as to whether a new recipe should be generated or not. If an identical or partially modifiable recipe does not exist yet in the automatic condition file 2001, the new recipe is generated in Step 2102. After generated, the new recipe is registered with the automatic condition file 2001 in Step 2106. After registration, it is possible to execute the recipe in Step 2108 with reference thereto. There may be also a case where execution only takes place without registration. In the case that the identical or partially modifiable recipe already exists in the automatic condition file 2001, the existing recipe inside the automatic condition file is referred in Step 2103, and then judgment is made as to whether the recipe should be partially modified or not in Step 2104. It is unnecessary to modify the recipe partially if the recipe is identical; therefore, the existing recipe is executed directly in Step 2108. The same is applicable to a case in which the existing recipe is not identical but substitutable. When the recipe is to be partially modified, after partial modification in Step 2105, judgment is made as to whether or not the modified recipe should be registered with the automatic condition file 2001 in Step 2106. Thereafter, the modified recipe is either registered in Step 2107 then executed in Step 2108, or just executed in Step 2108 without registration. By registering the recipe once used with the automatic condition file, it is possible to refer to the condition next time. Moreover, if the capturing and inspecting condition is modified partially, it is possible to register the modified condition as another condition in Step 2106. In this case, it is possible to refer to both files before and after such modification. As the present invention has the configuration as described above, the following effects are achieved. In a conventional semiconductor inspection system, registration of points for image recognition, positions for length measurement and length measuring algorithms has been performed once after capturing an image of an actual wafer and by use of the image. For this reason, there has been a problem that throughput is not improved because the registrations are time-consuming and the apparatus is occupied at the time of the registrations. Moreover, there has been a problem that it is impossible to construct an operator-free and fully-automated semiconductor inspection system because the conventional system always requires an operator for judgment and registration who observes actual SEM images. In response to these problems, the present invention is arranged to generate all conditions necessary for inspection, including, the conditions for capturing, the points for length measurement and the length-measuring algorithms, out of the design information such as the CAD data. As the present invention is designed to perform actual inspection under these conditions, an operator-free and fully automated semiconductor inspection system with high throughput can be realized. Moreover, in the conventional case of performing the matching process between the design data and the SEM images, it has been impossible to perform a stable matching process because the correlation coefficient becomes considerably small due to inadaptability to deformed parts between the design data and the SEM images. In response to the foregoing problem, the present invention performs the matching process to make up the deformed parts by use of the edge information in multiple directions and smoothing thereof in the case that the matching process between the design data and the SEM images takes place. In addition, the present invention performs the matching process between the edge images and the templates of the design data, and performs the matching process after re-registering the part of the SEM image corresponding to the detected position as the template. Therefore, a stable matching process with a high correlation value and a high detection ratio can be achieved.
054323533
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to nuclear gauges for making measurements of traveling webs in continuous sheet-making systems. 2. State of the Art In continuous sheet-making systems, on-line measurements are highly desirable. The on-line measurements can provide, for instance, early indications of upsets in process conditions, thus allowing process controls to be effected before substantial quantities of substandard material are produced. In practice, however, accurate on-line measurements are difficult to make. The measurement difficulties arise, in part, because modern sheet-making machines are large and operate at high speeds. Some paper-making machines, for example, produce paper webs that are 100 to 400 inches (2.5 to 10.0 meters) wide at rates of up to 100 feet (30 meters) per second. For making on-line measurements of properties of traveling webs in continuous sheet-making systems, it is common to employ sensors that periodically traverse, or scan, the webs. One type of scanning sensor is the nuclear gauge. In operation, nuclear gauges direct nuclear radiation (beta rays) against a surface of a traveling web while detecting the absorbed (or transmitted) radiation. (The quantity of nuclear radiation absorbed over a given area is a measure of the basis weight of the absorbing material.) Nuclear scanning gauges typically use radioactive krypton gas as the beta ray source. When using nuclear gauges, safety is a major concern. For safety reasons, it is important that nuclear sources are appropriately shielded, especially when not in use, to prevent accidental exposure of personnel who might be working near the gauge. In conventional practice, shielding of a nuclear gauge is accomplished by mounting the nuclear source material to a protective housing that has a shuttered window. When the nuclear gauge is in use, the shuttered window is opened to allow radiation to be emitted onto a traveling web. When the gauge is not in use, the shuttered window is closed, thus blocking radiation and reducing the opportunities for accidental exposure. Although shielding of nuclear gauges is necessary, the design of the shielding must not impair the accuracy of measurements that are made by the gauge. SUMMARY OF THE INVENTION The present invention, generally speaking, provides a nuclear gauge for making measurements of traveling webs in continuous sheet-making processes. In the preferred embodiment, the nuclear gauge comprises an enclosure means, an encapsulated nuclear source, and a rotatable means mounted in the enclosure means for carrying the encapsulated nuclear source between two angularly-displaced positions. In practice, the two angularly-displaced positions comprise a) a first position whereat the encapsulated nuclear source is positioned for making measurements of a web that travels past the gauge, and b) a second position whereat the encapsulated nuclear source is positioned to face a sidewall of the enclosure means at a location remote from the first position. Also, in practice, the nuclear gauge includes an aperture which is formed through the enclosure means to intersect the cylindrical cavity for providing a window through which the encapsuled nuclear source, when located in the first position, can emit radiation onto a web that travels past the window. Still further in practice, the outer diameter of the wheel-like member approximates the inside diameter of the cylindrical cavity so that as the wheel-like member is rotated, the spacing between the periphery of the wheel-like member and the interior wall of the cylindrical cavity remains essentially constant. In the preferred embodiment, the nuclear gauge further includes a plug of material having a low atomic number, which plug is mounted in the sidewall of the cavity at the second position for absorbing beta rays that are emitted from the encapsulated nuclear source. In practice, the low atomic number material is selected from the group consisting of aluminum, beryllium, carbon and Delrin. Further in the preferred embodiment, the nuclear gauge includes a fire pin means for returning the wheel-like member to the second position in the event of a fire. In practice, the wheel-like member has a generally V-shaped slot formed in its periphery, the faces of which provide stop faces. Also in practice, the fire pin includes a spring-loaded member which is positioned such that, upon release, it applies pressure against one of the stop faces of the wheel-like member to force the wheel-like member to rotate to the second position, thereby reducing the chance that radiation will be emitted from the nuclear gauge.
claims
1. A heating rod in a pressurizer of a primary cooling system of a pressurized-water nuclear reactor, the pressurizer containing a primary liquid, the heating rod comprising:an outer shell made of stainless steel or alloy of longitudinally elongate shape having an external surface, wherein the external surface continues as one piece;a heating element mounted inside the outer shell so that an immersed portion of the rod has a heating longitudinal section and non-heating longitudinal sections located both above and below the heating longitudinal section; andan anti-corrosion coating covering a part of the external surface of the outer shell, the coating covering completely the external surface along the whole of the heating longitudinal section and continuing longitudinally on each side of the heating longitudinal section over a guard zone distance along the non heating longitudinal sections, other areas of the external surface along the non heating longitudinal sections zone being non coated by the anti-corrosion coating;such that the external surface is not in contact with the primary liquid along the heating longitudinal section and is partially in contact with the primary liquid along the non heating longitudinal sections;wherein the coating predominantly comprises nickel. 2. The rod according to claim 1, wherein the coating comprises at least 95% by weight nickel. 3. The rod according to claim 1, wherein the coating has been deposited on the external surface by electrolysis in a bath of nickel salts. 4. The rod according to claim 1, wherein the coating has a thickness greater than 50 micrometers. 5. The rod according to claim 1, wherein the guard zone distance is greater than 10 millimeters. 6. The rod according to claim 1, wherein the outer shell is made of austenitic stainless steel. 7. The heating rod to claim 1, wherein the outer shell is tubular. 8. The heating rod to claim 1, wherein the heating element is enclosed in the outer shell. 9. The heating rod to claim 1, wherein the outer shell is the most exterior element of the heating rod.
claims
1. An image information detecting apparatus, comprising:an image information detecting unit which receives recording light representing image information, and which records the image information by storing electric charges generated therein by the recording light;a wireless communication means which wirelessly transmits information to and which wirelessly receives information from an external device;a wire communication means which communicates with the external device through a communication cable that is detachable from the image information detecting apparatus;a wire transmission setting means which enables the wire communication means; anda wireless transmission prohibiting means which disables the wireless communication means if the wire communication means is enabled by the wire transmission setting means. 2. The image information detecting apparatus according to claim 1, wherein the wireless transmission prohibiting means prohibits wireless transmission from the wireless communication means by terminating power supply thereto if the wire communication means is enabled by the wire transmission setting means. 3. The image information detecting apparatus according to claim 2, wherein:the image information detecting apparatus further includes a communication cable detection means which detects connection of the communication cable to the wire communication means; andthe wire transmission setting means enables the wire communication means if the connection of the communication cable is detected by the communication cable detection means. 4. The image information detecting apparatus according to claim 3, wherein:the image information detecting apparatus further includes a receiving means which receives information from the external device; andthe wire transmission setting means enables the wire communication means based on a control signal received from the external device. 5. The image information detecting apparatus according to claim 2, wherein:the image information detecting apparatus further includes a receiving means which receives information from the external device; andthe wire transmission setting means enables the wire communication means based on a control signal received from the external device. 6. The image information detecting apparatus according to claim 1, wherein;the image information detecting apparatus further includes a communication cable detection means which detects connection of the communication cable to the wire communication means; andthe wire transmission setting means enables the wire communication means if the connection of the communication cable is detected by the communication cable detection means. 7. The image information detecting apparatus according to claim 6, wherein:the image information detecting apparatus further includes a receiving means which receives information from the external device; andthe wire transmission setting means enables the wire communication means based on a control signal received from the external device. 8. The image information detecting apparatus according to claim 1, wherein:the image information detecting apparatus further includes a receiving means which receives information from the external device; andthe wire transmission setting means enables the wire communication means based on a control signal received, from the external device. 9. The image information detecting apparatus according to claim 1, wherein the wireless communication means is mechanically fixed to the image information detecting apparatus. 10. The image information detecting apparatus according to claim 1, wherein if the wire communication means is enabled by the wire transmission setting means, the wireless transmission prohibiting means automatically disables the wireless communication device.
052873927
abstract
An internal passive catalytic device operating in the water phase of a boiling water reactor vessel downstream of the steam/water separator location. The device consists of catalytic material arranged and situated such that all (except perhaps a small leakage flow) water phase exiting the water/steam separator device flows over the surface of the catalytic material. The catalytic surfaces decompose dissolved hydrogen peroxide into water and oxygen. When the substrate of the catalytic material is plated or alloyed with a water recombination catalyst such as a noble metal, the catalytic surfaces also catalyze the recombination of dissolved hydrogen and oxygen molecules into water. The passive catalytic device is constructed to ensure that the pressure drop of the reactor water across the device is very small. The device includes a stainless steel flow-through housing packed with catalytic material, which could take the form of tangled wire or strips, crimped ribbon, porous sintered metal composite or any other structure having a high surface area-to-volume ratio.
abstract
Calibration devices for optical scanners and methods for their use are provided. The subject devices are characterized by having a polymeric coating with at least one fluorescent agent, where the devices have minimal local and global nonuniformities. The subject device may also include one or more photobleached regions. In using the subject devices, a surface is illuminated with at least one light source, fluorescence data is obtained from the surface and the optical system is calibrated based upon the obtained fluorescence data. The subject invention finds use in a variety of optical scanners, including biopolymeric array optical scanners. Also provided are kits for use in verifying and calibrating optical scanners.
abstract
Configurations of molten fuel salt reactors are described that utilize neutron-reflecting coolants or a combination of primary salt coolants and secondary neutron-reflecting coolants. Further configurations are described that circulate liquid neutron-reflecting material around a reactor core to control the neutronics of the reactor. Furthermore, configurations which use the circulating neutron-reflecting material to actively cool the containment vessel are also described. A further configuration is described that utilizes a core barrel between a reactor core volume of molten fuel salt and a reflector volume, in which the reflector volume contains a plurality of individual reflector elements separated by an interstitial space filled with molten fuel salt.
050248030
abstract
An emergency device for the control of the displacement of a conveying carrier for a nuclear fuel assembly, in which the conveying carrier (6) moves under the effect of a push-pull chain (15) actuated by a driving mechanism causing, according to the direction, its winding on itself in spiral so as to pull the carrier, or its unwinding by forming then a carrier rigid pushing element, including a connecting member between the chain and the carrier, formed of two portions (27,38) connected to each other by a pin which can be broken when required in order to disconnect the two portions and to free the carrier from the chain.. Breaking of the pin is due to the effect of a traction exerted remotely from the carrier on a control cable (16) such that, after breaking, the cable can apply a traction on the carrier so as to bring it back freely, independently of the chain, to a predetermined position. The connecting member between the chain (15) and the carrier (6) includes a fastening member (27) carried or rigidly connected to the carrier and connected to two parallel flanges (25,26) of a link of the chain by a transverse spindle (38), the fastening member and the spindle being connected by the pin (41) placed parallel to the link flanges.
description
The invention generally relates to the field of radiation detectors. More specifically, the invention relates to an anti-scatter device for an X-ray detector, an X-ray detector with such anti-scatter device and a method for producing an anti-scatter device. An image quality of X-ray images generally may be limited in X-ray detectors and/or in X-ray imaging systems with a variable source-image-distance. In order to improve the image quality, usually an anti-scatter device comprising an anti-scatter grid is utilized, which allows to increase a contrast in the X-ray image by reducing an incidence of scattered radiation upon a reception area of the X-ray detector. Examples of such X-ray system is for instance a C-arm system, in which an assembly comprising an X-ray detector and an anti-scatter device and/or an anti-scatter grid may be moved closer to and/or further away from an X-ray source. In other X-ray imaging systems with variable source-image-distance the X-ray source may be moved closer to and/or further away from an assembly comprising a table and an X-ray detector with anti-scatter device depending on application demands and/or requirements. In all these systems, usually a less than optimal anti-scatter grid ratio needs to be chosen to reduce the detrimental effects of shading artifacts caused by the grid when operated with a source-image-distance differing from its nominal focal distance. In the international norm IEC60627 the application limits for given grid parameters are provided and these limits should be matched with customer wishes for the range of allowed source-image-distances. A potential solution to this issue may be to have a variable focused anti-scatter grid in which a focal distance and/or a focusing distance may be matched to the actual source-image-distance for a certain range of source-image-distances. For such grids a higher aspect ratio, as defined in IEC60627, may be chosen which may reduce the amount of scattered radiation in the X-ray image and thus may improve the image quality. Normally, an anti-scatter device and/or an anti-scatter grid comprises a stack of lead lamellae interspaced with an X-ray transparent interspacer material, such as air, an organic material and/or Aluminum, which positions each lead lamella in an orientation directed towards a focal spot of the anti-scatter device and/or the anti-scatter grid at a distance equal to the focal distance and/or the focusing distance. Further, the anti-scatter device and/or the anti-scatter grid may be covered with a carbon fiber or an aluminum cover element to give the grid sufficient stiffness and to protect the interior against moisture and harm. In document U.S. Pat. No. 5,291,539 A a variable focused anti-scatter grid is disclosed having a flexible elongated slatted grid structure, which is suspended between a pair of spaced apart grid support frames each of which is adapted for rotation about a transverse axis intermediate the suspended ends of the grid. A mechanical adjustment means provides equal but opposite angular motion of the frames to curve the grid along a circular path. There may therefore be a need for a compact, reliable, robust, easily controllable, easily adjustable and cost efficient anti-scatter device and for an X-ray detector with such anti-scatter device. The object of the present invention is solved by the subject matter of the independent claims, wherein further embodiments are incorporated in the dependent claims and the following description. According to a first aspect of the invention, an anti-scatter device for an X-ray detector is provided. The anti-scatter device comprises an anti-scatter grid with a plurality of slats for absorbing X-rays and/or photons and/or X-ray photons. Further, the anti-scatter device comprises a cover element arranged on a side and/or on an outer surface of the anti-scatter grid. Therein, ends of the slats are coupled, e.g. mechanically coupled, to the cover element. Further, the cover element comprises an electroactive polymer material, wherein a dimension of the cover element is changeable, variable and/or increasable by applying and/or varying a voltage to the electroactive polymer material, such that a distance between the ends of the slats is controllable, increasable, variable and/or changeable by applying and/or varying the voltage. The anti-scatter grid may particularly refer to a variable focused anti-scatter grid, i.e. to a focused grid with variable and/or adjustable focusing distance. Generally, as e.g. defined in the international norm IEC60627, a focused grid may refer to a grid, in which planes of the X-ray absorbing slats converge to a straight line at the focusing distance. Accordingly, the focusing distance may refer to the distance between an incident face of a focused grid and the line into which planes of the absorbing slats converge. The slats may refer to strips, lamellae, bars and/or tube-shaped elements comprising X-ray absorbing material, such as e.g. lead (Pb), molybdenum (Mo), tungsten (W) and/or a compound thereof. The cover element may generally refer to any mechanical support structure for mechanically supporting the slats. For instance, the cover element may refer to a plate-like structure, e.g. a cover plate, and the ends of the slats may be coupled to and/or arranged on a side of the cover plate and/or the cover element. The ends of the slats may be mechanically coupled to the cover element by any suitable fixation means. For instance, the ends may be glued and/or welded and/or soldered to the cover element. The slats may be arranged on and/or coupled to the cover element such that they extend transversely from the cover element and/or from a side thereof. Further, the slats of the anti-scatter grid may be spaced-apart from each other by interspacers, interspacer material and/or air. The interspacers and/or the interspacer material may be pliable to allow changing the distance between the ends of the slats. The interspacers and/or the interspacer material may comprise X-ray transparent material, such as e.g. fiber material, polymer material, or the like. The electro-active polymer material, also referred to as piezo-active polymer material, may refer to a polymer material and/or a polymer which can have a dimensional change induced by an electric field, a voltage and/or a potential difference applied to and/or across the electroactive polymer material. In other words, an extension of the electro-active polymer material may be induced and/or the electro-active polymer material may be expanded by applying a voltage. Accordingly, a length and/or a size of the electro-active polymer material may be changed, controlled and/or increased by applying the voltage. The applied voltage may be in the kV range. Rephrasing the first aspect, the X-ray absorbing slats are arranged on and/or attached to the cover element such that they extend transversely from the cover element and/or transversely from a side of the cover element. By applying a voltage, an electric field, a potential and/or a potential difference to the electro-active polymer material a length, a size and/or the dimension of the cover element may be changed and/or increased at least in one direction. Thus, by applying the voltage to the electro-active polymer material an extension of the cover element may be induced and/or the cover element may be expanded at least in one direction. More specifically, the dimension, size and/or length of the cover element may be proportional to the amount and/or level of voltage applied. Hence, the dimension, size and/or length of the cover element may be varied by varying the applied voltage. Therefore, with respect to no voltage applied initially, the dimension, size and/or length of the cover element may be increased, whereas with respect to an initially applied voltage a reduction of the voltage may lead to a reduction of the dimension, the size and/or the length of the cover element. In other words with respect to an initially applied voltage, the cover element may shrink when the voltage is reduced. Further, since the ends of the slats are coupled and/or fixed to the cover element, the distance between the ends of the slats is also changed and/or increased. This may also lead to a change in the orientation and/or an extension direction of at least a part of the slats. As a consequence, the focusing distance of the anti-scatter grid and/or the anti-scatter device may be changed and/or adjusted by applying the voltage to the electro-active polymer material. According to an embodiment, at least a part of the anti-scatter device is bendable by applying the voltage to the electroactive polymer material of the cover element. For instance, at least an outer surface of the cover element may be bendable. Accordingly, by applying the voltage, a curvature of at least a part of the anti-scatter device is adjustable and/or inducable by applying the voltage. This may allow to bend the anti-scatter device and/or the anti-scatter grid from a flat shape to a curved shape by applying the voltage. Therefore, using the electro-active polymer material for the cover element of the anti-scatter device may provide the possibility to steer the cover element's dimension and thereby change and/or adapt a radius of the curvature of the anti-scatter grid. Further, by changing the radius of the curvature the focal distance and/or the focusing distance of the anti-scatter grid may be influenced, controlled and/or changed. This may allow to adjust a focal/focusing distance equal to a source-image-distance within a certain range of source-image-distances in order to improve an image quality. This may further alleviate difficult mechanics of commonly used variable focused anti-scatter grids. Also, by using the electro-active polymer material a cost efficient anti-scatter device may be provided. The invention may generally be considered being based on the following findings and insights. In imaging systems with a variable source-image-distance the anti-scatter grid function of a conventional non-flexible anti-scatter grid may be hampered at source-image-distance settings which differ from the nominal grid focus and/or the nominal focusing distance of the anti-scatter grid. As a compromise, parameters of the anti-scatter grid, most notably the grid ratio, may be chosen relatively low, so that grid functioning at the edges and/or borders of the allowed source-image-distance range may be acceptable, wherein the grid ratio may refer to the ratio between a height of the slats and a distance between the slats. This may reduce an overall performance of the anti-scatter grid and/or the anti-scatter device. Thus, the anti-scatter device may be less selective for discriminating primary from secondary, i.e. scattered, radiation than it would be for a single fixed source-image-distance system. Therefore, by controlling and/or changing the distance between the ends of the slats of the inventive anti-scatter device, and/or the focusing distance may be changed according to a required source-image-distance. This may allow to acquire images of constant quality over a rather broad range of source-image-distances. According to an embodiment, the cover element comprises at least one layer or a plurality of layers of the electroactive polymer material. The layers of electroactive polymer, which may be referred to hereinafter as electroactive polymer layers, may be stacked on top of each other, wherein edges of the layers may be flush, aligned and/or shifted with respect to each other. According to an embodiment, the cover element comprises at least one electrode or a plurality of electrodes for applying the voltage. Generally, this may increase a flexibility of the anti-scatter device in terms of providing the possibility to contact the electroactive polymer at various locations and/or regions. Also, voltages differing in size and/or sign may be applied by means of the plurality of electrodes. According to an embodiment, the plurality of electroactive polymer layers and the plurality of electrodes are alternately arranged. Particularly, the electroactive polymer layers and the electrodes may be alternately stacked on top of each other in a sandwich-like or multi-stack structure. Generally, such multi-stack structure may reduce the voltage required for a certain radius of a curvature of the anti-scatter grid and/or required for a certain change in dimension of the cover element. According to an embodiment, the electroactive polymer material comprises at least one monomer selected from the group consisting of Vinylidene-Fluoride and Trifluorovinyl. According to an embodiment, the electroactive polymer material comprises at least one of Polyvinylidene-Fluoride (PVDF) and Polytrifluorovinyl (PTFV) or a copolymer thereof. Also any other electroactive polymer material may be used as material for the cover element. However, the cover element may also comprise other material. E.g. the cover element may comprise any other flexible polymer material in addition to the electroactive polymer. According to an embodiment, the cover element is formed as a foil. For instance, the cover element may be formed as monolithic and/or flexible foil. Generally, this may reduce a number of parts, simplify production, reduce production cost and increase robustness of the cover element and/or the anti-scatter device. According to an embodiment, the anti-scatter device further comprises a further cover element, wherein the anti-scatter grid is arranged between the cover element and the further cover element. Further ends of the slats are coupled, particularly mechanically coupled, to the further cover element. The further ends of the slats may oppose the ends of the slats with respect to a longitudinal extension and/or a longitudinal extension direction of the slats. The further ends may be glued, welded and/or soldered to the further cover element and/or to a side thereof. Thus, the slats may extend, e.g. transversely, from the cover element to the further cover element. According to an embodiment, the further cover element is rigid. Therein, “rigid” may mean that the further cover element may not be elastically deformed by any deformation of the cover element potentially induced by applying a voltage to the cover element. E.g. the further cover element may comprise metal, fiber material and/or plastic material. According to an embodiment, the further ends of the slats are fixed at and/or fixedly attached to the further cover element. Generally, this may allow to increase and/or change a distance between the ends of the slats coupled to the cover element while maintaining a constant distance between the further ends of slats coupled to the further cover element. Accordingly, a trapezoidal shape of the anti-scatter device may be induced by applying a voltage to the cover element, thereby changing a focusing distance of the anti-scatter grid. Also, the anti-scatter grid may be a parallel grid when no voltage is applied to the cover element, i.e. the slats may be arranged parallel with respect to each other. By applying the voltage, a focused grid may be provided. According to an embodiment, the further cover element comprises electroactive polymer material, wherein a dimension of the further cover element is changeable, variable and/or increasable by applying and/or varying a voltage to the electroactive polymer material of the further cover element, such that a distance between the further ends of the slats is controllable, variable, changeable and/or increasable by applying and/or varying the voltage. In other words, the cover element and the further cover element may comprise electroactive material, such that a distance between the ends and the further ends of the slats may be changed, varied and/or increased by applying and/or varying the voltage. Therein, equal or differing voltages may be applied to the cover element and the further cover element. Generally, this may further increase a variability of the anti-scatter device in terms of allowing to change the shape of the anti-scatter grid. A further aspect of the invention relates to an anti-scatter arrangement. The anti-scatter arrangement comprises a plurality of anti-scatter devices as described above and in the following. The anti-scatter devices of the anti-scatter arrangement may be arranged on top of each other in an arbitrary orientation and/or in an arbitrary angle with respect to each other. Particularly, the anti-scatter arrangement may comprise two anti-scatter devices, e.g. providing a cross-grid, an orthogonal cross-grid, and/or an oblique cross-grid. The anti-scatter grids of the anti-scatter arrangement may be arranged at an arbitrary angle with respect to a pixel structure of a radiation detector. Further, the anti-scatter grids of the anti-scatter devices may also be arranged next to each other, i.e. in juxtaposition, allowing to increase an overall area and/or size of the anti-scatter device. It should be noted that features and/or elements of the anti-scatter device may be features and/or elements of the anti-scatter arrangement and vice versa. A second aspect of the invention relates to the use of an electroactive polymer material in an anti-scatter device for adjusting a focusing distance of an anti-scatter grid of the anti-scatter device by applying a voltage to the electroactive polymer material. More specifically, the electroactive polymer may be used as material for at least one cover element of the anti-scatter device and/or the anti-scatter grid. Generally, the anti-scatter device may comprise any features and/or elements as described above and in the following. A third aspect of the invention relates to an X-ray detector comprising at least one anti-scatter device as described above and in the following. It should be noted that features and/or elements of the X-ray detector may be features and/or elements of the anti-scatter device and vice versa. According to an embodiment, the X-ray detector further comprises a flexible substrate foil, which may e.g. comprise polyimide material or the like. The X-ray detector further comprises an array of pixels, e.g. photosensitive pixels, arranged on the substrate foil and configured for detecting X-rays. Moreover, the X-ray detector comprises a scintillator arranged on the array of pixels, wherein the anti-scatter device is arranged on the scintillator, and the anti-scatter grid is arranged between the cover element of the anti-scatter device and the scintillator. Therein, at least a part of the X-ray detector is bendable by applying the voltage to the electroactive polymer material of the cover element. This may mean that only the anti-scatter device and/or only the anti-scatter grid may be bendable by applying the voltage. Alternatively, also other elements and/or the entire X-ray detector may be bendable. According to an embodiment, the substrate foil comprises electroactive polymer material, wherein the X-ray detector is bendable by applying a voltage to the electroactive polymer material of the substrate foil. According to an embodiment, the X-ray detector further comprises a layer, e.g. a flexible foil layer, comprising electroactive polymer material. The layer may be arranged e.g. between the anti-scatter grid and the scintillator or on an outer surface of the X-ray detector. According to a fourth aspect of the invention, a method for producing an anti-scatter device is provided. The method comprises the following steps: providing an anti-scatter grid with a plurality of slats for absorbing X-rays; arranging a cover element on a side of the anti-scatter grid, such that the slats extend transversely from the cover element; and coupling ends of the slats to the cover element. Therein, the cover element comprises an electroactive polymer material and a dimension of the cover element is changeable and/or increasable by applying a voltage to the electroactive polymer material, such that a distance between the ends of the slats is controllable, changeable and/or increasable by applying the voltage. It should be noted that features and/or elements of the anti-scatter device and/or the X-ray detector may be features, elements and/or steps of the method. Vice versa, features, elements and/or steps of the method may be features and/or elements of the anti-scatter device and/or the X-ray detector. These and other aspects of the invention will be apparent from and elucidated with reference to the embodiments described hereinafter. In principle, identical and/or similar parts are provided with the same reference symbols in the figures. The figures are not to scale. FIG. 1 shows schematically a perspective view of an X-ray imaging system 500 with variable source-image distance. The imaging system 500 is a so-called digital interventional X-ray system 500 or C-arm system 500. The X-ray imaging system 500 comprises an arc-shaped and/or semi-circular C-arm 502, wherein on a first end 501 of the C-arm 502 an X-ray source 504 for emitting X-ray photons is arranged. On a second end 503 of the C-arm 502 an X-ray detector 100 with an anti-scatter device 10 is arranged. The anti-scatter device 10 is generally arranged and configured for absorbing secondary X-ray photons, i.e. scattered photons. A distance between the first end 501 and the second end 503 of the C-arm 502 can be varied. Accordingly, a source-image-distance between the source 504 and the detector 100 can be varied as indicated by arrow 506 in FIG. 1. The imaging system 500 further comprises a movable table 505 for supporting a patient. The X-ray detector 100 and/or the anti-scatter device 10 are described in more detail in subsequent figures. FIG. 2 shows schematically a perspective view of an X-ray imaging system 500 with variable source-image distance. The imaging system 500 is a so-called digital X-ray radiography system 500. If not stated otherwise, the X-ray imaging system of FIG. 2 comprises the same elements and features as the imaging system of FIG. 1. In contrast to the imaging system of FIG. 1, the X-ray detector 100 and the anti-scatter device 10 of the imaging system of FIG. 2 are arranged below and/or underneath the table 505 and the X-ray source 501 is arranged above the table 505. Also with the imaging system 500 of FIG. 2 the source-image-distance can be varied as indicated by the arrow 506. FIGS. 3A to 3C show schematically an anti-scatter device 10 according to an embodiment. Particularly, the anti-scatter device 10 may refer to a variable focus anti-scatter device 10 for an X-ray detector 100. The anti-scatter device 10 comprises an anti-scatter grid 12 with a plurality or slats 13 and/or lamellae 13. The slats 13 may be arranged in several rows and/or columns, thereby forming an array of slats 13. The slats 13 may comprise any material suitable for absorbing photons, e.g. X-ray photons, such as Pb, Mo and/or W. The slats 13 may be spaced apart and/or separated from each other by interspacers 15 arranged between directly neighboring slats 13. The interspacers 15 may be pliable and/or comprise X-ray transparent and pliable interspacer material, such as e.g. fiber material and/or polymer material. The slats 13 may thus be embedded in a matrix of interspacer material forming the interspacers 15. However, the slats 13 may also be separated by air. Accordingly, the interspacer material may be air. Further, the anti-scatter device 10 comprises a cover element 14a arranged on a side 17a of the anti-scatter grid 12 and a further cover element 14b arranged on a further side 17b of the anti-scatter grid 12, wherein the side 17a opposes the further side 17b. Therein, the slats 13 are arranged between the cover element 14a and the further cover element 14b. More specifically, a first end 16a of each of the slats 13 is mechanically coupled and/or attached to the cover element 14a and/or to a side of the cover element 14a. Moreover, a further end 16b of each of the slats 13 is mechanically coupled and/or attached to the further cover element 14b and/or to a side of the further element 14b. The ends 16a and/or the further ends 16b of the slats 13, thus, extend transversely from the cover element 14a to the further cover element 14b. The ends 16a and/or the further ends 16b may be glued, welded, soldered and/or otherwise attached to the cover element 14a and/or to the further cover element 14b, respectively. Generally, the cover element 14a and/or the further cover element 14b may have a plate-like structure and refer to a cover plate, respectively, between which the slats 13 are arranged in a sandwich-like structure. At least the cover element 14a comprises an electroactive polymer material such as e.g. Polyvinylidene-Fluoride and/or Polytrifluorovinyl. Generally, electroactive polymer material is expandable by applying a voltage, an electric field, a potential and/or a potential difference. Further, electroactive polymer material is elastically deformable, i.e. when the voltage is reduced and/or no voltage is applied, the material may shrink back to its original shape and/or size. As a consequence of the electroactive polymer material contained in the cover element 14a, a dimension of the cover element 14a may be changed and/or increased by applying a voltage to the cover element 14a and/or to the electroactive polymer material contained therein. In other words, the cover element 14a may be expanded in size and/or length by applying the voltage and/or an extension of the cover element 14a may be induced by applying the voltage. Further, when the voltage is applied and the cover element 14a is stretched, a distance between the ends 16a of the slats is changed and/or increased and a focusing distance 11 of the anti-scatter device 10 is thereby changed, altered and/or adjusted. Apart from changing, altering and/or adjusting the focusing distance 11, also at least a part of the anti-scatter device 10 may be bended when the voltage is applied to the cover element 14a. In other words, a radius of a curvature of the anti-scatter device 10 and/or an outer surface thereof may be changed by applying the voltage to the cover element 14. As the electroactive polymer material may generally only expand under the influence of the voltage, also the further cover element 14b may comprise electroactive polymer material, which allows bending of the anti-scatter device in at least two opposite directions. In order to apply the potential and/or the voltage to the cover element 14a, the anti-scatter device 10 comprises an electrode 18a connected to the cover element 14a and/or to the electroactive polymer material contained therein. In order to apply the potential and/or the voltage to the further cover element 14b, the anti-scatter device 10 comprises a further electrode 18b connected to the further cover element 14b and/or to the electroactive polymer material contained therein. The electrode 18a and/or the further electrode 18b may be flat and/or plane electrodes connecting an area of the cover element 14a and the further cover element 14b, respectively. However, the electrodes 18a, 18b may alternatively only locally connect to the respective cover element 14a, 14b. Generally, the cover elements 14a, 14b may expand proportional to an increase of the voltage applied to the electrodes 18a, 18b, respectively. Further, by reducing the applied voltage, the corresponding cover element 14a, 14b may shrink proportional to the voltage reduction. Thus, the size of the cover elements 14a, 14b may be varied by varying the amount and/or level of voltage applied to the respective electrode 18a, 18b. In FIG. 3A no voltage is applied to the cover element 14a and the further cover element 14b. Accordingly, the anti-scatter device 10 is flat and may have e.g. a focal distance 11 and/or a focusing distance 11 and/or focal length 11 of about 1000 mm. in FIG. 3B a voltage is applied to the cover element 14a, thereby inducing an extension in lateral direction, i.e. transversely to a longitudinal extension direction of the slats 13. Application of the voltage results in an increase of the distances between the ends 16a of the slats 13 and thus in an increase of a radius of a curvature of the anti-scatter device 10. As a consequence, the focusing distance 11 is reduced to about 800 mm. In contrast, in FIG. 3C a voltage is applied to the further cover element 14b and no voltage or a smaller voltage is applied to the cover element 14a. Thus, the anti-scatter device 10 is bended in opposite direction with respect to FIG. 3B, i.e. a sign of the curvature may be reversed, and a distance between the further ends 16b of the slats 13 is increased. In FIG. 3C, the focusing distance of the anti-scatter device may be about 1200 mm. In the following, various aspects, features and/or elements of the anti-scatter device 10 are summarized. The anti-scatter device 10 comprises cover elements 14a, 14b containing electro-active polymer material such as PVDF and/or PTFV, and electrodes 18a, 18b are attached to the cover elements 14a, 14b, respectively. Putting a potential difference on the electrodes 18a, 18b may then steer lateral dimensions of the cover elements 14a, 14b and induce a curvature of the anti-scatter grid 12 and/or the anti-scatter device 10. Because an interior of the anti-scatter grid 12 comprises slats 13 and/or lamellae 13, the stiffness for the curvature and/or bending in one direction is much weaker than for a perpendicular and/or transverse direction. Accordingly, the anti-scatter device 10 and/or the anti-scatter grid 12 may preferentially curve along the weaker direction, which may be transverse to the longitudinal extension direction of the slats 13. This curvature may influence the position of the slats 13 and therefore the focal distance 11 of the anti-scatter grid 12 and/or the anti-scatter device 10 is changed. As an example an initially focused anti-scatter device grid is shown in FIG. 3A, which can be curved into a smaller focal distance 11 shown in FIG. 3B or into a larger focal distance 11 shown in FIG. 3C. It is preferable to start with a flat anti-scatter grid 12 and/or a flat anti-scatter device 10 with some pre-existing focus 11 close to a center of the source-image-distance range and curve the anti-scatter grid 12 and/or the anti-scatter device 10 only weakly to obtain either a smaller focal distance 11 by positive curvature and a larger focal distance 11 by negative curvature to prevent the anti-scatter grid from cracking under constant tension due to creep of the interior components. Generally, an interior of the anti-scatter grid 12 may be flexible, at least a part of the slats 13 and an electro-active polymer material and/or the cover elements 14a, 14b containing electroactive material may cover the anti-scatter grid 12 on two opposite sides. The cover elements 14a, 14b may cover the anti-scatter grid 12 like a film and/or foil. The length of the cover elements 14a, 14b can be finely tuned by applying a voltage across the electro-active polymer. The top layer, i.e. the further cover element 14b, may expand a predetermined percentage while the bottom layer, i.e. the cover element 14a preferable stays at a fixed length. This may lead to a bending of the anti-scatter grid 12 and/or the anti-scatter device 10 and induce the focal distance change. If an unfocussed and/or flat anti-scatter device 10 is used, the radius of curvature of the anti-scatter device 10 may be made equal to the source-image-distance and it may be used for imaging systems 500 with a static curved X-ray detector 100 with a radius of the curvature equal to the source-image-distance. The bendability of the anti-scatter device 10 may then only be used to fix the anti-scatter device 10 in a static radius of curvature and the anti-scatter device 10 and/or the anti-scatter grid 12 may be under constant curvature. If a focused anti-scatter device 10 and/or anti-scatter grid 12 is used with a nominal grid focus in the flat state in the range of the source-image-distance of an imaging system 500, the anti-scatter device 10 may be used in a flat mode when the source-image-distance is equal to the nominal focal distance 11 of the anti-scatter grid 12. When the medical application demands a different source-image-distance, the anti-scatter device 10 and/or anti-scatter grid 12 may be curved accordingly. The benefit here may be that the anti-scatter grid 12 may not be under constant curvature. Alternatively, it may be used with a source-image-distance equal to the nominal focusing distance 11, no voltages have to be used and possible creep or cracking of the anti-scatter device 10 and/or the anti-scatter grid 12 may be reduced. It can be calculated that a required relative length change of the electro-active polymer and/or the cover elements 14a, 14b, respectively, may only be in the part per thousand range to induce a large focal distance change for usual nominal focal distances 11 and grid thicknesses. The invention may be used in any fixed and/or mobile imaging system 500 in which the source-image-distance may be variable but known and/or measurable. Because the invention supplies an approach and/or a method to optimize the focal distance 11 of the anti-scatter grid 12 and/or the anti-scatter device 10 with respect to the actual source-image-distance, the anti-scatter grid 12 transmission may be much better at the edges of the source-image-distance range and a better image quality may be reached. Because the factor of source-image-distance range limitations is lifted, an anti-scatter grid 12 with a higher grid ratio may be selected which may yield an even better image quality for each of the possible source-image-distances. An additional application may solve the problem that anti-scatter grids 12 and/or anti-scatter devices 10 may curve uncontrolled when a modest amount of heat is applied to them. This may be an issue in warm climates as the anti-scatter grid 12 and/or the anti-scatter device 10 may become so curved that it may no longer fit into the movable bucky system and the X-ray system 500 may crash. The electro-active polymers and/or the cover elements 14a, 14b may also be used as sensors for measuring the curvature of the anti-scatter grid 12 and external voltages may then be used to flatten out the anti-scatter grid 12, so it may no longer be curved and its curvature will cause no problems in the imaging system 500. FIGS. 4A and 4B show schematically an anti-scatter device 10 for an X-ray detector 100 according to an embodiment. If not stated otherwise, the anti-scatter device 10 of FIGS. 4A and 4B comprise the same features and elements as the anti-scatter device 10 shown in previous figures. In contrast to the anti-scatter device 10 shown in FIGS. 3A to 3C, the further cover element 21 of the anti-scatter device 10 shown in FIGS. 4A and 4B is rigid, stiff, non-deformable and/or does not comprise electroactive polymer material. Thus, also no further electrode connecting the further cover element 21 is required, i.e. the anti-scatter device 10 of FIGS. 4A and B comprises only one electrode 18 connecting the cover element 14, which comprises the electro-active polymer material. In the configuration of the anti-scatter device 10 shown in FIG. 4A no voltage is applied to the electrode 18. When in FIG. 4A a voltage is applied to the cover element 14 via the electrode 18, this results in an increase of a dimension, particularly a lateral dimension, of the cover element 14 as well as in an increase in the distance between the ends 16a of the slats 13. As shown in FIG. 4B, this results in a trapezoidal shape of the anti-scatter device 10 without necessarily inducing a curvature and/or bending of the anti-scatter device 10. The trapezoidal shape of the cover element may be adjusted and/or varied by varying the amount and/or level of the applied voltage. Further aspects, features, functions and/or elements of the embodiment described above are summarized in the following. As e.g. indicated in FIGS. 4A and 4B, the anti-scatter grid 12 of the anti-scatter device 10 may be confined into a stiff frame and the interspacer material may be sufficiently pliable. This may result in a nearly flat anti-scatter grid 12 and/or anti-scatter device 10, in which the lamellae 13 and/or slats 13 themselves may move in a plane parallel to the cover element 14 in order to generate a variable focal/focusing distance. FIG. 5 shows schematically a cover element 14 for an anti-scatter device 10 according to an embodiment. If not stated otherwise, the cover element 14 shown in FIG. 5 comprises the same functions, features and elements as the cover elements 14a, 14b described in previous figures. The cover element 14 shown in FIG. 5 comprises a layer 20 of electroactive polymer material and two electrodes 18, each covering an opposite side of the layer 20. When a voltage is applied and/or put across the electrodes 18, the cover element 14 may be actively shrunk in perpendicular (i.e. electrode) direction due to the electrostatic interaction between the electrodes and thereby expanding in a lateral direction and/or lateral directions, similar to a rubber band. Generally, by utilizing a plurality of electrodes 18 a required voltage for a certain extension may be reduced. FIG. 6 shows schematically a cover element 14 for an anti-scatter device 10 according to an embodiment. If not stated otherwise, the cover element 14 shown in FIG. 6 comprises the same functions, features and elements as the cover elements 14, 14a, 14b described in previous figures. The cover element 14 of FIG. 6 comprises in total five electrodes and four layers 20 of electroactive polymer material. Therein, the electrodes 18 and the layers 20 are alternately arranged and/or stacked on top of each other. Thus, the cover element 14 shown in FIG. 6 may refer to a multi-stack cover element 14. When a voltage is applied and/or put across the electrodes 18, the cover element 14 may be expanded laterally and squeezed and/or refined in perpendicular direction. Generally, by utilizing a plurality of electrodes 18 the required voltage for a certain extension may be further reduced. FIG. 7 shows an X-ray detector 100 with an anti-scatter device 10 according to an embodiment. If not stated otherwise, the anti-scatter device 10 of the X-ray detector 100 shown in FIG. 7 comprises the same functions, features and elements as the anti-scatter devices 10 described with reference to previous figures. The X-ray detector 100 of FIG. 7 comprises a flexible substrate foil 102. The substrate foil 102 may comprise e.g. polyimide, glass and/or silicon as substrate material. However, the substrate foil 102 may also comprise electroactive polymer material. For simplicity, various layers such as a detector cover, a scintillator encapsulation layer, a photodiode and pixel elements are not shown in detail. The X-ray detector 100 further comprises an array and/or a layer of pixels 104 arranged on top of the substrate foil 102. The array 104 of pixels (for simplicity the pixels are not shown) is configured for detecting photons. The array 104 of pixels may for this purpose comprise at least one photo diode optically coupled to a scintillator 106, which is arranged on top of the array 104 and configured for converting X-ray photons into visible light, which may in turn be converted to a current and/or charge by the photo diode, which current and/or charge may then be detected by the array 104 of pixels. On top of the scintillator 106 the anti-scatter device 10 is arranged, wherein the anti-scatter grid 12 and/or the slats 13 are in contact with the scintillator 106 and the cover element 14 forms an outer surface of the detector 100. In another embodiment, the detector is of the direct-detection type and no scintillator is present. The anti-scatter grid and/or anti-scatter device is directly mounted on top of a layer which is sensitive for X-rays impinging onto this layer. In a structured electrode beneath this sensitive layer an electronic signal may be produced and/or generated. Such detectors may be made of Selenium, Silicon and/or any other semiconductor material layer which, e.g. when sufficiently thin, may also be bent. Generally, the X-ray detector 100 shown in FIG. 7 may refer to a flexible detector 100, e.g. a detector on flexible foil 102, a detector on thin and/or flexible glass, or a detector on a thinned silicon substrate, which might be curved with either a fixed or a variable focus. The X-ray detector 100 of FIG. 7 further comprises a layer 103 comprising electro-active polymer material, wherein the assembly of substrate foil 102, array 104, scintillator 106 and anti-scatter device 10 is arranged on top of the layer 103. In other words, layer 103 is arranged on an outer surface and forms an outer layer of the X-ray detector 100. The X-ray detector 100 shown in FIG. 7 may further comprise at least one electrode (also not shown for simplicity) for applying voltage to the cover element 14 and/or the layer 103 in order to induce an extension of the cover element 14 and/or the layer 103, thereby inducing a curvature of the entire detector 100. Accordingly, the scintillator 106 and the array 104 may be flexible enough to follow the curvature of the anti-scatter grid 12 and/or of the anti-scatter device 10. By way of example, the X-ray detector 100 may have a dimension of about 30 cm by 40 cm, the anti-scatter device 10 and/or the anti-scatter grid 12 may have a thickness of about 1.5 mm to 3 mm, the scintillator 106 may have a thickness of about 0.3 mm to 0.6 mm, and the detector 104 may have a thickness of about 0.02 mm to about 0.2 mm and the substrate foil 102 may have a thickness of about 0.5 mm to about 1 mm. Moreover, the cover element 14 may have a total thickness of about 0.5 mm to about 1 mm, a voltage of about 50 kV may be applied, and the electroactive polymer may be a PVDF/PTFE copolymer. It should be noted that an X-ray detector 100 may be provided, which does not comprise the anti-scatter device 10, but which may comprise the layer 103 with electro-active material. Such detector 100 may then be bended and/or curved by applying voltage to the electroactive polymer material of the layer 103. Further, it should be noted that layer 103 may be combined with the substrate foil 102. For instance, substrate foil 102 may be replaced by the layer 103. FIG. 8 shows an X-ray detector 100 with an anti-scatter device 10 according to an embodiment. If not stated otherwise, the anti-scatter device 10 of the X-ray detector 100 shown in FIG. 8 comprises the same functions, features and elements as the anti-scatter devices 10 described with reference to previous figures, and the X-ray detector 100 shown in FIG. 8 comprises the same functions, features and elements as the X-ray detector 100 of FIG. 7. Further, the X-ray detector 100 of FIG. 8 comprises a layer 103, e.g. a flexible foil layer 103, with electroactive polymer material, which layer 103 is arranged between the anti-scatter grid 12 and the scintillator 106. The layer 103 and/or the cover element 14 may be connected to electrodes 18 (not shown) in order to bend the X-ray detector 100. FIG. 9 shows an X-ray detector 100 with an anti-scatter device 10 according to embodiment. If not stated otherwise, the anti-scatter device 10 of the X-ray detector 100 shown in FIG. 9 comprises the same functions, features and elements as the anti-scatter devices 10 described with reference to previous figures. Moreover, if not stated otherwise, the X-ray detector 100 shown in FIG. 9 comprises the same functions, features and elements as the X-ray detectors 100 described with reference to previous figures. As can be seen in FIG. 9, the array of pixels 104 and the scintillator 106 are flat and/or straight and only the anti-scatter device 10 is curved by applying voltage to the respective cover elements 14a, 14b (not shown in FIG. 9), wherein a center of the curvature is on an opposite side of the scintillator 106 and the array 104 as the anti-scatter device 10. FIG. 10 shows an X-ray detector 100 with an anti-scatter device 10 according to embodiment. If not stated otherwise, the anti-scatter device 10 of the X-ray detector 100 shown in FIG. 10 comprises the same functions, features and elements as the anti-scatter devices 10 described with reference to previous figures. Moreover, if not stated otherwise, the X-ray detector 100 shown in FIG. 10 comprises the same functions, features and elements as the X-ray detectors 100 described with reference to previous figures. As can be seen in FIG. 10, the array 104 of pixels and the scintillator 106 are flat and/or straight and only the anti-scatter device 10 is curved by applying voltage to the respective cover elements 14a, 14b (not shown in FIG. 10), wherein a center of the curvature is on the same side of the scintillator 106 and the array 104 as the anti-scatter device 10. FIG. 11 shows an X-ray detector 100 with an anti-scatter device 10 according to embodiment. If not stated otherwise, the anti-scatter device 10 of the X-ray detector 100 shown in FIG. 11 comprises the same functions, features and elements as the anti-scatter devices 10 described with reference to previous figures. Moreover, if not stated otherwise, the X-ray detector 100 shown in FIG. 11 comprises the same functions, features and elements as the X-ray detectors 100 described with reference to previous figures. As can be seen in FIG. 11, the array of pixels 104 and the scintillator 106 are flexible and the entire stack of array 104, scintillator 106 and anti-scatter device 10 is curved by applying voltage to the respective cover elements 14a, 14b (not shown in FIG. 11). Accordingly, the invention may be used to produce anti-scatter grids 12 with a well-defined curvature to fit the curvature of the detector 100. For such grids 12 a flat unfocused grid interior may be beneficial and the grid focus may be purely induced by the curvature of the anti-scatter grid 12. FIG. 12 shows an X-ray detector 100 with an anti-scatter device 10 according to embodiment. If not stated otherwise, the anti-scatter device 10 of the X-ray detector 100 shown in FIG. 12 comprises the same functions, features and elements as the anti-scatter devices 10 described with reference to previous figures. Moreover, if not stated otherwise, the X-ray detector 100 shown in FIG. 12 comprises the same functions, features and elements as the X-ray detectors 100 described with reference to previous figures. The X-ray detector 100 shown in FIG. 12 basically corresponds to the detector 100 of FIG. 11, wherein the curvature is reversed, e.g. by applying a voltage to another cover element 14a, 14b as explained in detail with reference to FIGS. 3A to 3C. FIG. 13 shows a flowchart illustrating steps of a method for producing an anti-scatter device 10 according to an embodiment. In a first step S1 an anti-scatter grid 12 with a plurality of slats 13 for absorbing X-rays is provided. The slats of the anti-scatter grid 12 may be spaced-apart from each other by pliable interspacer material or air. In a second step S2 a cover element 14a is arranged on a side of the anti-scatter grid 12, such that the slats 13 extend transversely from the cover element 14a. In a further step S3 ends 16a of the slats 13 are mechanically coupled to the cover element 14a, wherein the cover element 14a comprises an electroactive polymer material and wherein a dimension of the cover element 14a is changeable and/or increasable by applying a voltage to the electroactive polymer material, such that a distance between the ends 16a of the slats 13 is changeable, controllable and/or increasable by applying the voltage. While the invention has been illustrated and described in detail in the drawings and foregoing description, such illustration and description are to be considered illustrative or exemplary and not restrictive; the invention is not limited to the disclosed embodiments. Other variations to the disclosed embodiments can be understood and effected by those skilled in the art and practicing the claimed invention, from a study of the drawings, the disclosure, and the appended claims. In the claims, the word “comprising” does not exclude other elements or steps, and the indefinite article “a” or “an” does not exclude a plurality. The mere fact that certain measures are recited in mutually different dependent claims does not indicate that a combination of these measures cannot be used to advantage. Any reference signs in the claims should not be construed as limiting the scope.
047479949
claims
1. A control system for a trip coil in switchgear, the switchgear receiving power at a first level from a power source and supplying the power at the first level to a process control device, said control system comprising: voltage reduction means, supplied with power by the switchgear, for reducing the power from the first level to a second level; and trip means, for supplying the power at the second level to the trip coil of the switchgear only when interruption of the power supplied to the process control device is desired, said voltage reduction means ending the supplying of power at the second level when the power supplied to the process control device is interrupted. wherein the power has alternating current, and wherein said voltage reduction means comprises: wherein the power has alternating current, and wherein said voltage reduction means comprises: rectifier means, operatively connected to said potential transformer, said trip means and said power monitoring means, for converting the power into a direct current voltage. voltage/optical converter means for converting the direct current voltage into a light signal; and optical transmission means for transmitting the light signal. optical/voltage converter means, operatively connected to said optical transmission means, for converting the light signal into a monitored voltage signal; and process means for monitoring the monitored voltage signal. (a) reducing the power supplied by the switchgear from the first voltage level to a second voltage level; (b) supplying the power at the second voltage level to the trip coil of the switchgear only when interruption of the power supplied to the process control device is desired; and (c) ending said supplying of power at the second level in step (b) when the power supplied to the process control device has been interrupted. a potential transformer, operatively connected to the switchgear to receive the alternating current power at the first voltage level, for reducing the first voltage level to a second voltage level; rectifier means, operatively connected to said potential transformer, for converting the alternating current power at the second voltage level to a first direct current voltage; trip means, operatively connected to said rectifier means and the trip coil in the switchgear, for supplying the first direct current voltage to the trip coil of the switchgear only when interruption of the alternating current power supplied to the rod positioner is desired, said rectifier means ending the supplying of the first direct current voltage when the alternating current supplied to the rod positioner is interrupted; voltage/optical converter means, operatively connected to said rectifier means, for converting the first direct current voltage into a light signal indicating the second voltage level; and optical transmission means, operatively connected to said voltage/optical converter means, for transmitting the light signal. wherein said control system is operatively connected to an automatic protection system, and wherein said trip means comprises: optical/voltage converter means, operatively connected to said optical transmission means, for converting the light signal into a second direct current voltage; and processor means, operatively connected to said optical/voltage converter means, for monitoring the second direct current voltage. 2. A control system as recited in claim 1, 3. A control system as recited in claim 1, further comprising power monitoring means for monitoring the power that the switchgear supplies by monitoring the power at the second level output by said voltage reduction means. 4. A control system as recited in claim 3, 5. A control system as recited in claim 4, wherein said power monitoring means, comprises: 6. A control system as recited in claim 5, wherein said power monitoring means further comprises: 7. A control system as recited in claim 6, wherein said power monitoring means further comprises an analog/digital converter, operatively connected to said rectifier means and said voltage/optical converter means, for detecting a voltage level of the power supplied to the trip coil of the switchgear. 8. A method for supplying power to a trip coil in switchgear, the switchgear receiving power at a first voltage level from a power source and supplying the power at the first voltage level to a process control device, said method comprising the steps of: 9. A method as recited in claim 8, further comprising the step of (a) monitoring the second voltage level. 10. A control system for a trip coil in switchgear, the switchgear receiving alternating current power at a first voltage level from a power source and supplying the alternating current power at the first voltage level to a rod positioner for control rods in a pressurized light water nuclear reactor, said control system comprising: 11. A control system as recited in claim 10, 12. A control system as recited in claim 10, further comprising an analog/digital converter, operatively connected to said voltage/optical converter means, for detecting a voltage level of the power supplied to the trip coil of the switchgear. 13. A control system as recited in claim 10, further comprising: 14. A control system as recited in claim 13, further comprising an analog/digital converter, operatively connected to said rectifier means and said voltage/optical converter means, for detecting a voltage level of the power supplied to the trip coil of the switchgear.
summary
054147433
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS Referring now to the figures of the drawing in detail and first, particularly, to FIG. 1 thereof, there is seen a residual-heat removal system which belongs, for example, to a pressurized-water nuclear reactor with a four-loop primary circuit configuration. In this case a reactor R is assigned to four primary-circuit loops (primary loops), which are denoted by reference symbols L1 to L4. The loops are represented fully only in the case of the primary loop L1, but in each case they have a steam generator D1 and a main coolant pump P1. Of course, the invention can also be applied in the case of three-loop or two-loop installations. All of the primary loops L1 to L4 are identically constructed. In the case of the primary loop L1 which is represented in greater detail, a hot leg r1 leads from the reactor R to a primary chamber 1 of the steam generator D1. The steam generator D1 has a tube bundle which is denoted by reference symbol W1 and a secondary chamber which is denoted by reference symbol 2. Re-cooled primary medium is fed back from the primary chamber 1 through a cold leg r2 and the main coolant pump P1 into the nuclear reactor R through a non-illustrated inlet nozzle of the latter. Primary medium 1.1 circulates through a tube bundle W1 and fills the latter (which is diagrammatically indicated by horizontal dashes at a diagonal line symbolizing the tube bundle W1). The pressure on the primary side is, for example, 155 bar and on the secondary side is, for example, 64 bar. A level of a secondary medium 2.1 in the secondary chamber 2 is denoted by reference symbol 2.0. Above the level 2.0 there is a steam space 2.2, in which non-illustrated steam moisture separators or steam driers are disposed. The live steam generated passes through a steam dome 3 into a live-steam line d1 and from there through a non-illustrated live-steam valve to a non-illustrated steam turbine and further components and pipelines of a secondary-side thermal cycle of the nuclear power plant. The steam generator D1 (and accordingly also the non-illustrated steam generators of the other loops L2 to L4) is connected to its operational live-steam line d1 and a feed-water line d5, through the circuit line d1 (up to a branching point 4), through a circuit line d2 (these two line parts d1, d2 form the hot leg) and through line parts d3, d4 of the circuit lines forming the cold leg. The steam generator D1 is also connected to one side of a safety condenser SK1, which has a tube bundle W2 that is diagrammatically indicated by a diagonal line (in just the same way as in the case of the steam generator D1). The circuit lines d1 to d4, which connect the secondary sides of the steam generator D1 and of the safety condenser SK1 to each other, are referred to below as SACO circuit lines, and the safety condenser itself is referred to as SACO (which is an abbreviation for safety condenser). Through the use of the feed-water line d5, the feed-water is delivered from a main feed pump P2 through a non-return valve A2 into the secondary chamber 2. The SACO SK1 is set up on its other (tertiary) side for cooling the secondary medium circulating in the SACO circuit d1-d4 (this secondary circuit is denoted as a whole by reference symbol N1) by supplying a cooling medium (demineralized water), which is still to be explained in further detail below. According to the invention, the lines d3-d4 of the cold leg of the SACO circuit N1 or the SACO circuit lines d1-d4 leading from the SACO SK1 to a feed-water connection 5 of the lower-situated steam generator D1, are led by its line part d3 to a supply connection S of a swirl chamber valve WV. The swirl chamber valve WV has a control connection C which is connected by a pressure-side feed-water line part d51 to a downgradient of the main feed-water pump P2 and is connected through the line part d51 to the feed-water line d5 at a connection point 6. An outlet E of the swirl chamber valve WV opens out through the line part d4 into the secondary chamber 2 in the region of the connection point 5, i.e. into its inflow space filled by the feed-water 2.1. The difference in level between the SACO SK1 and the steam generator D1, i.e. in particular the difference in level between a level 7.0 and the level 2.0 of the feed-water 2.1 in the secondary chamber 2, is dimensioned in such a way that a natural circulation through the SACO circuit N1 or its corresponding circuit lines d1-d4 can be accomplished during residual-heat removal operation. This difference in level is diagrammatically indicated by dashed level lines and an arrow 8 representing the distance between the levels. In order to provide for the natural circulation, a level distance 8 on the order of magnitude of about 2 m is sufficient. FIGS. 3 and 4 show that the swirl chamber valve WV, which may also be referred to as a vortex chamber valve, includes a flat hollow-cylindrical housing 9 with three openings c1, s1 and e1 and with a tangential connection nozzle 10, a radial connection nozzle 11 and an axial connection nozzle 12 respectively connected thereto. The tangential control connection C, the radial supply connection S and the axial outlet E are respectively formed by the openings with nozzles c1/10, s1/11 and e1/12. A supply stream Qs fed through the radial opening s1 is not disturbed when there is no control stream Qc or only a small control stream Qc and leaves a swirl chamber 13 through the axially disposed outlet E or the outlet nozzle 12 as an outlet stream Qe, which is indicated by an arrow f1 in FIG. 3. With the aid of a tangential control stream Qc conducted through the opening c1 as is seen in FIG. 4, a swirl flow is generated in the swirl chamber 13, as arrows f2 symbolize. The centrifugal force has the effect of building up a counter pressure in the swirl chamber 13, whereby the inflow of the supply flow Qs comes to a standstill. In this case, a control pressure Pc must be slightly higher (by about 5 to 10%) than the supply pressure Ps. In this state, all that flows is the control stream Qc, which makes up about 5 to 10% of the required supply stream Qs through the swirl chamber 13. A higher control stream Qc would also not have any adverse effects. Following the functional explanation of the swirl chamber valve WV with reference to FIGS. 3 and 4, it can now be recognized from FIG. 1 that when the main feed-water pump P2 is switched off, the swirl chamber valve WV is set in motion due to the reduced control pressure Pc, or the control stream Qc, at the control connection C of the secondary-side SACO circuit N1 through the then released flow section between the supply connection S and the outlet E. On the tertiary side, a water reservoir B with a water pool 14 having a water level which is denoted by reference symbol 14.0, is disposed at a geodetically higher level than the SACO SK1 and is connected to a tertiary-side intake 15 of the SACO SK1 through a parallel connection of a minimum flow bypass line b1 with a shut-off valve A0 as well as a line branch b11 with a control valve A1, that is connected in parallel with the minimum flow bypass line b1. A level of a water pool 16.1 in a tertiary chamber 16 establishing itself during residual-heat removal operation is denoted by reference symbol 16.0. A discharge line for evaporating tertiary medium, which is connected to the tertiary chamber 16, is denoted by reference symbol b2. The evaporated tertiary medium can be blown out over the roof, with it being possible for there to be provided a non-illustrated steam moisture separator having condensate which may enter the water reservoir B through a non-illustrated return feed line. During operation of the nuclear reactor installation as intended, the secondary circuit of the SACO SK1, which is synonymous with the SACO circuit N1, and also the tertiary side of the SACO SK1, are to be in readiness, so that they are filled with condensate and demineralized water, respectively. In order to avoid energy losses by the discharge of vapor over the roof, a low circulation in the secondary circuit of the SACO SK1 is also to be avoided, which happens by blocking the swirl chamber valve WV by means of the tangential control stream Qc through the control connection C. The required control stream Qc is produced in power operation or in start-up and shut-down operation of the installation by the main feed-water pump P2 or the non-illustrated start-up and shut-down pumps. As soon as the control stream Qc approaches zero due to failure or deliberate switching off of the pump concerned, the natural circulation in the SACO circuit N1, i.e. the cooling of the steam produced in the steam generator on account of the decay heat in the SACO SK1 and the return of the condensate through the elements d3-WV-d4-5 into the secondary chamber 2 of the steam generator, begins to start up automatically. In order to maintain continuous operation, all that is necessary is to ensure the non-illustrated secondary circuit shut-off (for example live-steam shut-off valve in the live-steam line d1 in the closed position). In order to control the heat removal in dependence on the amount of residual heat produced, there is provided the control valve A1, which is in the open position during normal operation and is controlled according to the requirements of the system. The falling filling level in the water reservoir B has the effect of assisting control. The volume of this reservoir B must be constructed to be great enough to allow a residual-heat removal operation of preferably at least 24 hours to be maintained. In the case of the second illustrative embodiment according to FIG. 2, a tube bundle W2 of a SACO SK2 is integrated in the water pool 14 of the water reservoir B, so that a combined SACO/water reservoir SK2/B is formed. The water level 14.1 of the water pool 14 is geodetically higher than the tube bundle W2 of the SACO Sk2. The outlet E of the swirl chamber valve WV opens out into the feed-water inflow space, or the secondary chamber 2, of the steam generator D1, through a control valve A3 that is open during normal operation of the steam generator, with it being possible in principle for the control valve also to be connected between the SACO SK2 and the swirl chamber valve WV. In the case of this circuit, control takes place in the condensate return by condensate retention in the tube bundle W2 of the safety condenser SK2. Otherwise, the function of the circuit according to FIG. 2 is analogous to that according to FIG. 1: the flow path S-E of the swirl chamber valve WV is blocked by a control stream Qc at the control connection C as long as the main feed-water pump P2 is in operation. If the secondary-side heat removal is blocked off through the live-steam line d1 to a downstream steam turbine by means of closing the live-steam valve and if the pump P2 is switched off, the natural circulation in the secondary-side SACO circuit N1, and consequently the residual-heat removal operation, commences so that the primary medium flowing through the heat-exchanging tubes W1 can give off its heat to the secondary medium 2.1, with the secondary medium in the SACO SK2, in the form of steam, cooling again and condensing.
abstract
A radioactive nuclear waste storage system includes a cask comprising a hermetically sealed internal cavity configured for holding the waste such as spent nuclear fuel submerged in an inventory of water. One or more pressure surge capacitors disposed inside the cask include a vacuum cavity evacuated to sub-atmospheric conditions prior to storage of fuel in the cask. At least one rupture disk seals a vacuum chamber inside each capacitor. Each rupture disk is designed and constructed to burst at a predetermined burst pressure level occurring inside the cask external to the capacitor. This allows excess cask pressure occurring during a high pressure excursion resulting from abnormal operating conditions to bleed into capacitor, thereby returning the pressure inside the cask to acceptable levels. In one embodiment, the capacitors are located in peripheral regions of the cask cavity adjacent to the circumferential wall of the cask body.
050698273
description
EXAMPLE 1 Dissolving PuO.sub.2 by Cr.sup.2+ in 5M H.sub.2 SO.sub.4 Use is made of an electrolyzer having a platinum anode and a cathode constituted by a solid copper support covered with gold and which has been amalgamed by soaking in mercury. The electrolyzer is subdivided into two compartments by a porous wall. Into the cathode compartment are introduced 1134 mg of PuO.sub.2 powder calcined at 500.degree. C. and 100 ml of a sulphuric acid solution with 5 mol/l of H.sub.2 SO.sub.4 containing 0.1 mol/l of Cr.sup.3+ ions. The solution is stirred with a magnetic stirrer and between the anode and the cathode is applied a potential difference such that the current density on the cathode is 0.036 A/cm.sup.2, whilst maintaining the electrolyzer at 85.degree. C. After stirring for 16 minutes, it is found that the dissolving rate is 100%, 85% of the plutonium being present in the solution in the form of Pu(III), which corresponds to 8.5 g/l and the remaining 15% are present in the form of a blue precipitate of plutonium (III) sulphate. The corresponding dissolving rate is 6.25%/min, which corresponds to a faradic yield of 37.7%. COMPARATIVE EXAMPLE 1 Dissolving PuO.sub.2 by 5M H.sub.2 SO.sub.4 The same operating procedure as in example 1 is adopted in order to attempt to dissolve 113 mg of the same PuO.sub.2 powder in the same solution operating at a temperature of 85.degree. C. and accompanied by stirring, but without applying a potential difference between the anode and the cathode. Thus, it is not possible to produce and then regenerate in the solution the Cr.sup.2+ ions, which serve as the plutonium reducing agent. Under these conditions, after stirring for 2 hours, the dissolving rate does not exceed 10%, which corresponds to a rate of 0.1%/min. Thus, sulphuric acid is ineffective in the absence of the reducing agent for the reduction of plutonium dioxide. EXAMPLE 2 Dissolving PuO.sub.2 by Cr.sup.2+ in 1M H.sub.2 SO.sub.4 The same operating procedure as in example 1 is used for dissolving 1134 mg of a PuO.sub.2 powder identical to that of example 1 and using 100 ml of sulphuric acid solution at 1 mol/l of sulphuric acid and containing 0.1 mol/l of Cr.sup.3+. The same temperature, current density and stirring conditions are used. After stirring for 8 minutes, the dissolving rate is 100% and a 10 g/l Pu.sup.3+ solution is obtained. Thus, the reaction rate is equal to 12.5%/min, which corresponds to a faradic yield of 80%. EXAMPLE 3 Dissolving PuO.sub.2 by Cr.sup.2+ in 1M H.sub.2 SO.sub.4 In this example, PuO.sub.2 is dissolved without electrolytically regenerating the reducing species, i.e. the Cr.sup.2+ ions. A mass of 266 mg of PuO.sub.2 powder calcined at 450.degree. C. is introduced into a thermostatically controlled reactor under an inert atmosphere. Into the reactor are introduced 120 ml of a sulphuric acid solution at 1 mol/l and containing 0.1 mol/l of Cr.sup.2+ ions. After stirring for 9 min at 70.degree. C., the dissolving rate is 100%, which corresponds to a dissolving rate of 11%/min and to a final plutonium concentration in the solution of 2 g/l. Thus, when using an adequate chrome ion quantity for reducing all the plutonium, it is not necessary to electrolytically regenerate the Cr.sup.2+ ions and PuO.sub.2 can be dissolved under good conditions. EXAMPLE 4 Dissolving PuO.sub.2 by U(IV) The same operating procedure as in example 2 is followed for dissolving 1134 mg of PuO.sub.2 powder calcined at 500.degree. C., but using 100 ml of a uranyl sulphate solution having a sulphuric acid concentration of 1 mol/l and a UO.sub.2.sup.2+ ion concentration of 0.1 mol/l. The reducing agent U.sup.4+ is produced and regenerated electrolytically and the same temperature, cathode current density and stirring conditions as in example 1 are followed. After stirring for 40 min, the dissolving rate is 100%, which corresponds to a rate of 2.5%/min and to a faradic efficiency of 13%. Thus, uranium IV is effective for dissolving PuO.sub.2 in sulphuric solution, but the reaction is slightly slower. EXAMPLE 5 Dissolving PuO.sub.2 in the presence of cellulose by Cr.sup.2+ in 1M H.sub.2 SO.sub.4 The same operating conditions as in example 2 are used for dissolving 1134 mg of PuO.sub.2 identical to that of example 2 and to which is added 1 g of finely divided cellulose. The same solution as in example 2 is used, as well as the same temperature, stirring and cathode current density conditions. After stirring for 8 min, the dissolving rate is 100% as in example 2 and the plutonium (III) content of the solution is 10 g/l. COMPARATIVE EXAMPLE 5 Oxidizing dissolving using silver of the PuO.sub.2 /cellulose mixture In this example, use is made of the oxidizing dissolving process described in European patent 158 555 for dissolving PuO.sub.2 in the presence of cellulose. Thus, into the electrolyzer are introduced 1134 mg of PuO.sub.2 identical to that of example 1 and 1 g of finely divided cellulose, followed by the addition of 100 ml of a 5 mol/l nitric acid solution containing 0.1 mol/l of Ag.sup.+ and an anode current density of 0.036 A/cm.sup.2 is applied in order to regenerate the Ag.sup.+ ions and working at 25.degree. C. Under these conditions, after stirring for 100 min, the PuO.sub.2 dissolving rate is only approximately 40%, which corresponds to a dissolving rate of 0.4%/min and a faradic efficiency of 5%. Thus, oxidizing dissolving using silver is much less effective for dissolving PuO.sub.2 in the presence of organic materials with reducing properties. EXAMPLE 6 Decontamination of cryobroyats by reducing dissolving In this example, dissolving takes place of the plutonium present in nuclear waste formed from cryobroyats, which are waste materials mainly constituted by contaminated, crushed or ground organic substances. In the reactor is placed a mass of 6.33 g of cryobroyats and 100 ml of a 1 mol/l sulphuric acid solution containing 0.1 mol/l of Cr.sup.3+ ions is added. A temperature of 85.degree. C. is maintained and the same cathode current density (0.036 A/cm.sup.2) and the same stirring conditions as in example 1 are used. After reacting for 62 min, the decontamination rate is 100%. Thus, the inventive process makes it possible to bring about a plutonium decontamination of the organic waste under good conditions and with relatively short treatment periods. EXAMPLE 7 Decontamination of crushed organic waste by reducing dissolving using V(II) and V(III) In the electrolyzer is placed a mass of 12.44 g of plutoniferous waste and 200 ml of 1.05 mol/l sulphuric acid containing 0.1 mol/l of VOSO.sub.4. The mixture is raised to a temperature of 85.degree. C. and electrolysis is carried out by using a current density equal to 0.029 A/cm.sup.2. After 135 min electrolysis, it is found that 90% of the plutonium initially present in the waste has dissolved. The curve translating the solubilization kinetics of the plutonium shows that V(III) (generated at the start of electrolysis) and V(II) (generated when all the initial V(IV) had disappeared) are effective for solubilizing the plutonium contained in the waste. EXAMPLE 8 Decontamination of crushed organic waste by reducing dissolving using U(IV) and U(III) The same operating procedure as in example 7 is adopted but using UO.sub.2 SO.sub.4 in place of VOSO.sub.4. The waste has the same origin as example 7 and the electrolysis conditions are the same as in the latter. After electrolysis for 210 min a solubilization rate of the plutonium equal to 90% is reached. The solubilization kinetics of the plutonium shows that U(IV) and U(III) are effective. Nevertheless the action of U(III) leads to a faster solubilization of the plutonium.
summary
description
Referring to the drawings wherein identical reference numerals denote the same elements throughout the various views, FIG. 1 illustrates an exemplary x-ray inspection apparatus 10 constructed in accordance with the present invention. The apparatus 10 comprises several components disposed sequentially along a central beam axis, denoted A (which is parallel to the x-axis of the overall apparatus), including a high energy X-ray source 12, a pre-target collimator 14, a target support structure 16 which supports a target 18, and a detector assembly 20. The source 12, pre-target collimator 14, and detector assembly 20 are suspended from a support structure such as a gantry 13 illustrated in FIG. 1 including a horizontal member 15 which may be raised or lowered in a known fashion to move the X-ray components in the vertical or z-direction relative to the target 18. All three of these components may also be moved individually along the x-axis of the apparatus 10 by known means, as indicated by the arrows in FIG. 1. The X-ray source 12 may be any known X-ray source which is capable of producing X-rays having the energy level required for the particular application. The X-ray source 12 includes a first collimator 22, for example a lead slit-type collimator having an aperture 24 (see FIG. 6) which limits the vertical dispersion of the beam. In the illustrated example the aperture 24 has a vertical dimension (height) of about 3 mm (0.12 in.), and a horizontal dimension (width) of about 100 mm (3.9 in.) One suitable X-ray source is a Linatron M6 linear accelerator of 6 MeV output, available from Varian Industrial Products, 3100 Hansen Way, Palo Alto, Calif., 84104 USA. In an exemplary embodiment, the focal spot of the source 12 is positioned about 2.4 m (96 in.) away from the detector (described below). Referring to FIGS. 2, 3, and 4, a pre-target collimator is shown in detail. The pre-target collimator 14 comprises a plate-like body 28 having an opening 30 formed therethrough. A pair of end pieces 32 (see FIG. 3) are attached to each end of the body 28, for example with fasteners 34. The end pieces include a means for attaching the pre-target collimator 26 to a support structure 36, for example with studs 38. A pair of collimator jaws 40 is mounted to the front surface 42 of the body 28. The collimator jaws 40 include a pair of parallel spaced apart bars 44 which each carry a jaw piece 46. The generally rectangular space between the jaw pieces 46 defines the aperture 48 of the pre-target collimator 14. The jaw pieces 46 are constructed of a radio-opaque material, such as tungsten, and have a length sufficient to stop the beam in the x-direction, for example about 7.62 cm (3 in.). The bars 44 are held in parallel, movable relationship to each other by a pair of pivoting links 50, which are attached to the collimator body 28 and the ends of the bars 44 by pivot pins 52. One of the links 50 has an extended arm 54 which is connected to a controllable motor 56 with a pivot pin 50 and a threaded rod 58. This arrangement allows the vertical dimension (height) of the aperture 48 to be adjusted to suit a particular application by operating the motor 56, which causes pivoting of the links 50 which in turn causes the jaw pieces 46 to move towards or away from each other. The operating mechanism of the pre-target collimator 14 includes suitable known means for providing feedback to the means (not shown) used to control the motor 56. For example, a position sensor 57, such as an LVDT, may be mounted on the upper bar 44, with its moveable probe or rod 59 projecting through a hole in the upper jaw piece 46 and contacting the lower jaw piece 46. The output of the position sensor provides a direct measurement of the gap between the jaw pieces 46, and allows control of the aperture 48 independent of any excess motion in the moving parts between the motor 56 and the jaw pieces 46. In the illustrated example the aperture 48 may be adjusted from approximately 0 mm (0 in.), that is, completely closed, to approximately 6 mm (0.24 in.). The jaws 46 have a width extending in the y-direction (perpendicular to both the x-axis and the z-axis) a distance sufficient to encompass the beam spread at the location of the pre-target collimator 14. In the illustrated example the jaws 36 are approximately 66 cm (26 in.) wide. The target support structure 16 provides means for supporting and manipulating the target 18. The exemplary support structure 16 illustrated in FIG. 1 comprises a turntable 60 which is powered so as to be able to rotate the target 18. The target 18 is mounted to the turntable with suitable tooling 64, such as a pedestal as shown in the illustrated example. The tooling 64 incorporates known means for securing the target 18, such as clamps or fasteners (not shown). If required, the support structure 16 may also include known means for manipulating the target 18 in other ways, for example rotating the target 18 about other axes than that of the turntable 60, or by moving the target 18 in the x-, y-, or z-axes. The detector assembly 20 includes an X-ray detector 19, for example a linear array detector 19, and a post-target collimator 21. The post-target collimator is of a known type generally comprising an array of radio-opaque plates arranged to collimate the beam in-plane (i.e. horizontally, or perpendicular to the direction of the first collimator 22 and pre-target collimator 14). FIGS. 5 and 6 illustrate the operation of the X-ray inspection apparatus 10 in comparison to that of a prior art system. Referring to FIG. 5, a prior art X-ray inspection system 210 includes a source 212 having a vertical collimator 214. In operation the source 212 produces a fan shaped X-ray beam 300 which diverges as it travels toward a target 18, the centerline of which is denoted B in FIG. 5. This divergence causes the target 18 to be illuminated by X-rays over a zone having a dimension in the z-direction, denoted H1 in FIG. 5. Unfortunately, the z-dimension (height) of the desired inspection zone, denoted H2, is much smaller, for example as small as about 0.5 mm (0.02 in.) The stray radiation outside of the desired inspection zone causes scatter of the X-rays, which degrades both contrast resolution and measurement accuracy of the inspection system. FIG. 6 illustrates the operation of the X-ray inspection apparatus 10 of the present invention. A x-ray beam 100 is generated in an X-ray source 12. The X-ray beam 100 propagates from a focal point 110 and passes through the horizontal slit aperture 24 of the first collimator 22. The beam 100 then diverges as is travels towards the target 18 along the central beam axis A. The beam 100 subsequently passes through the aperture 48 of the pre-target collimator 14, which is placed as close as physically possible to the target 18. Because the distance from the pre-target collimator 14 to the target 18 is minimized, the portion of the target 18 exposed to the X-ray beam measured in the z-direction, denoted H3 in FIG. 6, is substantially equal to the height H2 of the desired inspection zone, thus eliminating stray radiation and scattering. In the illustrated example, the pre-target collimator 14 is positioned about 2.5 cm (1 in.) away from the target 18. In comparison, in a similar prior art application not having the pre-target collimator 14, the target 18 is located about 125 cm (49 in.) away from the source collimator. These dimensions are of course merely representative and can be varied to suit a particular application. The important consideration is to locate the pre-target collimator 714 so that it is as close as possible to the target 18 without physically interfering with manipulation of the target 18. The arrangement of components of the present invention minimizes the collimation requirements at the x-ray source 12 and completely eliminates the need for vertical collimation between the target 18 and the detector assembly 20. In addition, the improved imaging performance of the x-ray inspection apparatus 10 of the present invention enables it to be effectively applied for such applications as composite material and part inspection, reverse engineering of complex parts and assemblies, high resolution x-ray metrology, and first article inspection and validation. An apparatus constructed in accordance with the present invention has shown improvements of as much as 70% in contrast resolution and as much as 40% in measurement accuracy over prior a prior art system, without reducing inspection speed. The foregoing has described an X-ray inspection system having an X-ray source and first and second collimators, wherein the first and second collimators are arranged in relation to the source and the target such that the portion of the target actually illuminated by The X-ray beam is substantially equal to the size of a selected inspection zone. While specific embodiments of the present invention have been described, it will be apparent to those skilled in the art that various modifications thereto can be made without departing from the spirit and scope of the invention as defined in the appended claims.
RE0298760
description
Referring now specifically to FIGS. 1 and 2 of the drawings, the central cavity 1 carries the radioactive material. It is made only large enough for easy insertion of the fuel elements or other radioactive materials to be transported. While a square cross section is illustrated in the drawings, any configuration, such as round, may be employed, depending primarily on strength strength the shape of the material to be contained. The cavity is lined with a corrosion resistant inner liner 2, such as stainless steel. This inner liner is surrounded by a beta-gamma radiation shield 3 of sufficient thickness to be required for the beta-gamma shielding. However, since the size of the cavity is reduced from what had normally been required in prior structures, the volume of shielding material required is smaller to accomplish the same degree of shielding and, therefore, the total weight is thereby reduced without sacrificing radiation attenuation. Conventional beta-gamma radiation shielding materials can be used, however, metallic uranium depleted in the U-235 isotope is preferred. Further, depleted uranium having a structural strenght similar to that of steel is preferred so that the depleted uranium can be either cast or fabricated into the desired condiguration such that its structural strenght may be utilized to contribute to the overall integrity of the package. The uranium shield is next surrounded with a structurally strong outer wall 4 which has an exterior surface of corrosion resistant material, such as stainless steel. An 18-8 stainless steel is often the preferred material. If neutron attenuation is required by reason of the nature of the radioactive material being transported, an additional jacket 5 having an outer wall 6 is provided to accommodate a neutron attenuator, such as borated water (e.g. a dilute solution of a soluble boron compound such as sodium borate) or other low density fluid with suitable neutron attenuation properties. By this technique the lower density neutron absorbing material is at the outside of the package and thus adds relatively less weight to this large volume. A further advantage of this arrangement is that a reduced thickness of neutron absorbing fluid is required than would be required if the beta-gamma shield material were not between it and the neutron emitting radioactive material, the beta-gamma shielding being able to absorb some neutrons and slow some other neutrons. The outer wall 6 has a smooth exterior surface made of a material which also is corrosion resistant to decontaminating solutions, such as nitric acid. Heat is dissipated through detachable fin plates 10, having the fins 12 permanently affixed to a base plate 14. The fin plates 10 are mounted against the smooth outer surface of wall 6 by bolts 16 or otherwise held in close heat conducting contact with the container surface, such as by springs or other conventional holding means. These fin plates 10 and heat dissipation fins 14 are removed during loading and unloading and, therefore, they need not be constructed of a material resistant to corrosion by decontaminating solutions. They may, preferably, be constructed of aluminum which has a thermal conductivity approximately 4 times that of steel and approximately 14 times that of stainless steel and a density about one-third that of steel or stainless steel. The resulting weight of the heat dissipation system may be approximately one-twelfth that of previously used stainless steel to obatin equal heat dissipation capability and a corresponding lower weight of stainless steel. A typical road trailer mounted container used to ship spent power reactor fuel elements, for example, might require 12,000 pounds of heat dissipating fins if they were composed of stainless steel. The same heat can be dissipated with approximately 1,000 pounds of aluminum fins or less. It is to be understood, of course, that where the container of the present invention is to transport radioactive materials which do not necessitate neutron attenuation, the additional jacket 5 and outer wall 6 are an unnecessary part of the container. Under such circumstances, the jacket 5 and wall 6 are eliminated from the package, and the detachable fin plate 10 is mounted directly on outer wall 4 in the same manner as described for mounting the plate on wall 6. Turning now to FIG. 3, it will be noted that a conventional tractor-trailer 20 has a trailer frame 22 on which are pivotally mounted at each side fin plates 24. Plates 24 include fins 26 permanently affixed to base plates 28 in the same manner as described for fin plates 10. Fin plates 24 are also transversely hinged at a point to allow these plates to surround a smooth exterior wall container 30 similar to that illustrated in FIG. 1. Accordingly, it can be seen that the detachable fin system of the present invention may be pivotally mounted on a conveyance and after placing the smooth wall container holding the radioactive material in position on the body, the detachable fins are then assembled around the container, as shown in dotted lines in FIG. 3, in heat conducting relation thereto. It will be apparent to those skilled in the art that numerous modifications of the invention herein described and shown are possible without departing from the invention, which is to be interpreted in accordance with the appended claims.
claims
1. A diffraction enhanced imaging system comprising:an X-ray source configured to generate a first X-ray beam;a first monochromator crystal positioned to intercept the first X-ray beam for producing a second X-ray beam;a second monochromator crystal positioned to intercept the second X-ray beam to produce a third X-ray beam for transmission through an object, wherein the second monochromator crystal has a thickness selected such that a mechanical strain on a side of the first monochromator crystal is the same as a mechanical strain on a side of the second monochromator crystal;an analyzer crystal having a thickness selected such that a mechanical strain on a side of the first monochromator crystal is the same as a mechanical strain on a side of the analyzer crystal, wherein the analyzer crystal is positioned to intercept transmitted X-ray beams at angles of incidence of the analyzer crystal; andan image detector configured to detect an image of the object from one or more beams diffracted from the analyzer crystal,wherein the image detector is configured to:detect a first angle image of the object from first diffracted beams emitted from the analyzer crystal positioned at first angular positions; anddetect a second angle image of the object from second diffracted beams emitted from the analyzer crystal positioned at second angular positions; andwherein the system further comprises a computer configured to:combine the first and second angle images to derive a refraction and apparent absorption image; andderive a mass density image of the object from the refraction image. 2. The system of claim 1 wherein the thicknesses of the analyzer crystal and the second monochromator crystal extend in a first direction, and the first X-ray beam diverges in a second direction substantially perpendicular to the first direction. 3. The system of claim 1 wherein a thickness of the first monochromator crystal is about 0.5 centimeters, the thickness of the second monochromator crystal is about 1 centimeter, and the thickness of the analyzer crystal is about 2 centimeters. 4. The system of claim 1 wherein the thicknesses of the second monochromator crystal and the analyzer crystal are substantially uniform. 5. The system of claim 1 wherein the first and second monochromator crystals are silicon crystals. 6. The system of claim 5 wherein the silicon crystals have [333] reflection. 7. The system of claim 1 wherein the analyzer crystal is a Bragg type crystal. 8. The system of claim 1 wherein the object is a soft tissue object. 9. The system of claim 8 wherein the soft tissue object is breast tissue. 10. The system of claim 1 wherein the third X-ray beam applies a total radiation dosage of less than or equal to about 0.5 mrad to the object. 11. The system of claim 1 wherein the image detector is configured to receive the diffracted beams. 12. The system of claim 1 wherein the image detector is configured to produce a digitized image of the object. 13. The system of claim 1 wherein the image detector is one of a radiographic film and an image plate. 14. The system of claim 1 wherein the image detector is configured to detect the image of the object from the beam diffracted from the analyzer crystal one of at or near a peak of a rocking curve of the analyzer crystal. 15. The system of claim 14 further comprising a computer configured to derive at least one of a diffraction enhanced image, an absorption image, a refraction image, a scatter image, and a mass density image of the object from the detected image. 16. The system of claim 15 wherein the one of at and near the peaks occurs approximately one-half of a Darwin width of the rocking curve. 17. The system of claim 1 wherein the image detector is configured to detect the first angle image of the object from the analyzer crystals at a low rocking curve angle setting of the analyzer crystals, and wherein the image detector is configured to detect the second angle image comprises detecting the second angle image of the object from the analyzer crystals at a high rocking curve angle setting of the analyzer crystals. 18. The system of claim 1 wherein the monochromator crystal is one of germanium and silicon monochromator crystals. 19. The system of claim 1 wherein the monochromator crystal is one of germanium [333] and silicon [333] monochromator crystals. 20. The system of claim 1 comprising a computer configured for adjusting a radiation dose applied by the second X-ray beam to the object. 21. A method for detecting an image of an object, the method comprising:generating a first X-ray beam;positioning a first monochromator crystal to intercept the first X-ray beam to produce a second X-ray beam;positioning a second monochromator crystal to intercept the second X-ray beam to produce a third X-ray beam for transmission through an object, wherein the second monochromator crystal has a thickness selected such that a mechanical strain on a side of the first monochromator crystal is the same as a mechanical strain on a side of the second monochromator crystal;positioning an analyzer crystal to intercept transmitted X-ray beams at angles of incidence of the analyzer crystal, wherein the analyzer crystal has a thickness selected such that a mechanical strain on a side of the first monochromator crystal is the same as a mechanical strain on a side of the analyzer crystal;detecting an image of the object from one or more beams diffracted from the analyzer crystal;detecting a first angle image of the object from first diffracted beams emitted from the analyzer crystal positioned at first angular positions;detecting a second angle image of the object from second diffracted beams emitted from the analyzer crystal positioned at second angular positions;combining the first and second angle images to derive a refraction and apparent absorption image; andderiving a mass density image of the object from the refraction image. 22. The method of claim 21 wherein the thicknesses of the analyzer crystal and the second monochromator crystal extend in a first direction, and the first X-ray beam diverges in a second direction substantially perpendicular to the first direction. 23. The method of claim 21 wherein a thickness of the first monochromator crystal is about 0.5 centimeters, the thickness of the second monochromator crystal is about 1 centimeter, and the thickness of the analyzer crystal is about 2 centimeters. 24. The method of claim 21 wherein the thicknesses of the second monochromator crystal and the analyzer crystal are substantially uniform. 25. The method of claim 21 wherein the first and second monochromator crystals are silicon crystals. 26. The method of claim 25 wherein the silicon crystals have [333] reflection. 27. The method of claim 21 wherein the analyzer crystal is a Bragg type crystal. 28. The method of claim 21 wherein the object is a soft tissue object. 29. The method of claim 28 wherein the soft tissue object is breast tissue. 30. The method of claim 21 wherein the third X-ray beam applies a total radiation dosage of less than or equal to about 0.5 mrad to the object. 31. The method of claim 21 further comprising providing an image detector configured to receive the diffracted beams. 32. The method of claim 21 further comprising providing an image detector configured to produce a digitized image of the object. 33. The method of claim 21 wherein detecting an image of the object comprises providing radiographic film. 34. The method of claim 21 wherein detecting an image of the object comprises providing an image plate. 35. The method of claim 21 further comprising providing an image detector configured to detect the image of the object from the beam diffracted from the analyzer crystal one of at or near a peak of a rocking curve of the analyzer crystal. 36. The method of claim 35 further comprising providing a computer configured to derive at least one of a diffraction enhanced image, an absorption image, a refraction image, a scatter image, and a mass density image of the object from the detected image. 37. The method of claim 36 wherein the one of at and near the peaks occurs approximately one-half of a Darwin width of the rocking curve. 38. The method of claim 21 further comprising:detecting the first angle image of the object from the analyzer crystals at a low rocking curve angle setting of the analyzer crystals; anddetecting the second angle image comprises detecting the second angle image of the object from the analyzer crystals at a high rocking curve angle setting of the analyzer crystals. 39. The method of claim 21 wherein the monochromator crystal is one of germanium and silicon monochromator crystals. 40. The method of claim 21 wherein the monochromator crystal is one of germanium [333] and silicon [333] monochromator crystals. 41. The method of claim 21 comprising providing a computer configured for adjusting a radiation dose applied by the second X-ray beam to the object. 42. A diffraction enhanced imaging system comprising:an X-ray source configured to generate first and second X-ray beams;a plurality of first monochromator crystals being spaced apart substantially along a first direction, and the first monochromator crystals being positioned to intercept the first X-ray beam on surfaces of the first monochromator crystals for producing a third X-ray beam;a plurality of second monochromator crystals being spaced apart substantially along the first direction, the second monochromator crystals being positioned to intercept the second X-ray beam on surfaces of the second monochromator crystals for producing a fourth X-ray beam, wherein the surfaces of second monochromator crystals at least partially extend in the first direction within the spacings of the first monochromator crystals;a plurality of third monochromator crystals being spaced apart substantially along the first direction, and the third monochromator crystals being positioned to intercept the third X-ray beam on surfaces of the third monochromator crystals to produce a fifth X-ray beam for transmission through an object;a plurality of fourth monochromator crystals being spaced apart substantially along the first direction, and the fourth monochromator crystals being positioned to intercept the fourth X-ray beam on surfaces of the fourth monochromator crystals to produce a sixth X-ray beam for transmission through the object, wherein the surfaces of fourth monochromator crystals at least partially extend in the first direction within the spacings of the third monochromator crystals;a plurality of analyzer crystals positioned to intercept the fifth and sixth X-ray beams at angles of incidence of the analyzer crystals; andan image detector configured to detect an image of the object from beams diffracted from the analyzer crystals,wherein the image detector is configured to:detect a first angle image of the object from first diffracted beams emitted from the analyzer crystals positioned at first angular positions; anddetect a second angle image of the object from second diffracted beams emitted from the analyzer crystals positioned at second angular positions; andwherein the system further comprises a computer configured to:combine the first and second angle images to derive a refraction and apparent absorption image; andderive a mass density image of the object from the refraction image. 43. The system of claim 42 wherein the first and second monochromator crystals are spaced from one another along a second direction, wherein the first direction is substantially perpendicular to the second direction. 44. The system of claim 42 wherein the first X-ray beams have a characteristic X-ray energy ranging from about 10 keV to about 70 keV. 45. The system of claim 42 wherein each of the monochromator crystals are matched in orientation and lattice planes to a respective one of the analyzer crystals. 46. The system of claim 42 wherein the monochromator crystals are symmetric crystals. 47. The system of claim 46 wherein the monochromator crystals are silicon crystals. 48. The system of claim 47 wherein the silicon crystals have [333] reflection. 49. The system of claim 42 wherein the analyzer crystals are Bragg type crystals. 50. The system of claim 42 wherein the object is a soft tissue object. 51. The system of claim 50 wherein the soft tissue object is breast tissue. 52. The system of claim 42 wherein the fifth and sixth X-ray beams apply a total radiation dosage of less than or equal to about 0.5 mrad to the object. 53. The system of claim 42 wherein the image detector is configured to receive the diffracted beams. 54. The system of claim 53 wherein the image detector is configured to produce a digitized image of the object. 55. The system of claim 42 wherein the image detector is one of a radiographic film and an image plate. 56. The system of claim 42 wherein the image detector is configured to detect the image of the object from the beam diffracted from the analyzer crystals one of at or near a peak of a rocking curve of the analyzer crystals. 57. The system of claim 56 further comprising a computer configured to derive at least one of a diffraction enhanced image, an absorption image, a refraction image, a scatter image, and a mass density image of the object from the detected image. 58. The system of claim 56 wherein the one of at and near the peaks occurs approximately one-half of a Darwin width of the rocking curve. 59. The system of claim 42 wherein the image detector is configured to detect the first angle image of the object from the analyzer crystals at a low rocking curve angle setting of the analyzer crystals, and wherein the image detector is configured to detect the second angle image comprises detecting the second angle image of the object from the analyzer crystals at a high rocking curve angle setting of the analyzer crystals. 60. The system of claim 42 wherein the monochromator crystals are one of germanium and silicon monochromator crystals. 61. The system of claim 42 wherein the monochromator crystals are one of germanium [333] and silicon [333] monochromator crystals. 62. The system of claim 42 further comprising a computer configured for adjusting a radiation dose applied by the fifth and sixth X-ray beams to the object. 63. A method for detecting an image of an object, the method comprising:generating first and second X-ray beams;providing a plurality of first monochromator crystals being spaced apart substantially along a first direction;positioning the first monochromator crystals to intercept the first X-ray beam on surfaces of the first monochromator crystals for producing a third X-ray beam;providing a plurality of second monochromator crystals being spaced apart substantially along the first direction;positioning the second monochromator crystals to intercept the second X-ray beam on surfaces of the second monochromator crystals for producing a fourth X-ray beam, wherein the surfaces of second monochromator crystals at least partially extend in the first direction within the spacings of the first monochromator crystals;providing a plurality of third monochromator crystals being spaced apart substantially along the first direction;positioning the third monochromator crystals to intercept the third X-ray beam on surfaces of the third monochromator crystals to produce a fifth X-ray beam for transmission through an object;providing a plurality of fourth monochromator crystals being spaced apart substantially along the first direction;positioning the fourth monochromator crystals to intercept the fourth X-ray beam on surfaces of the fourth monochromator crystals to produce a sixth X-ray beam for transmission through the object, wherein the surfaces of fourth monochromator crystals at least partially extend in the first direction within the spacings of the third monochromator crystals;providing a plurality of analyzer crystals positioned to intercept the fifth and sixth X-ray beams at angles of incidence of the analyzer crystals;detecting an image of the object from beams diffracted from the analyzer crystals;detecting a first angle image of the object from first diffracted beams emitted from the analyzer crystals positioned at first angular positions;detecting a second angle image of the object from second diffracted beams emitted from the analyzer crystals positioned at second angular positions;combining the first and second angle images to derive a refraction and apparent absorption image; andderiving a mass density image of the object from the refraction image. 64. The method of claim 63 wherein the first and second monochromator crystals are spaced from one another along a second direction, wherein the first direction is substantially perpendicular to the second direction. 65. The method of claim 63 wherein the first X-ray beams have a characteristic X-ray energy ranging from about 10 keV to about 70 keV. 66. The method of claim 63 wherein each of the monochromator crystals are matched in orientation and lattice planes to a respective one of the analyzer crystals. 67. The method of claim 63 wherein the monochromator crystals are symmetric crystals. 68. The method of claim 63 wherein the monochromator crystals are silicon crystals. 69. The method of claim 68 wherein the silicon crystals have [333] reflection. 70. The method of claim 63 wherein the analyzer crystals are Bragg type crystals. 71. The method of claim 63 wherein the object is a soft tissue object. 72. The method of claim 71 wherein the soft tissue object is breast tissue. 73. The method of claim 63 wherein the fifth and sixth X-ray beams apply a total radiation dosage of less than or equal to about 0.5 mrad to the object. 74. The method of claim 63 further comprising providing an image detector configured to receive the diffracted beams. 75. The method of claim 74 wherein the image detector is configured to produce a digitized image of the object. 76. The method of claim 74 wherein the image detector is one of a radiographic film and an image plate. 77. The method of claim 74 wherein the image detector is configured to detect the image of the object from the beam diffracted from the analyzer crystals one of at or near a peak of a rocking curve of the analyzer crystals. 78. The method of claim 77 further comprising providing a computer configured to derive at least one of a diffraction enhanced image, an absorption image, a refraction image, a scatter image, and a mass density image of the object from the detected image. 79. The method of claim 77 wherein the one of at and near the peaks occurs approximately one-half of a Darwin width of the rocking curve. 80. The method of claim 63 further comprising:detecting the first angle image of the object from the analyzer crystals at a low rocking curve angle setting of the analyzer crystals; anddetecting the second angle image of the object from the analyzer crystals at a high rocking curve angle setting of the analyzer crystals. 81. The method of claim 63 wherein the monochromator crystals are one of germanium and silicon monochromator crystals. 82. The method of claim 63 wherein the monochromator crystals are one of germanium [333] and silicon [333] monochromator crystals. 83. The method of claim 63 further comprising a computer configured for adjusting a radiation dose applied by the fifth and sixth X-ray beams to the object. 84. A diffraction enhanced imaging system comprising:an X-ray source configured to generate at least first and second X-ray beams;a plurality of first monochromator crystals being spaced apart substantially along a first direction, and the first monochromator crystals being positioned to intercept the first X-ray beam on surfaces of the first monochromator crystals for producing a third X-ray beam;a plurality of second monochromator crystals being spaced apart substantially along the first direction, the second monochromator crystals being positioned to intercept the second X-ray beam on surfaces of the second monochromator crystals for producing a fourth X-ray beam, wherein the surfaces of second monochromator crystals at least partially extend in the first direction within the spacings of the first monochromator crystals;a plurality of third monochromator crystals being spaced apart substantially along the first direction, and the third monochromator crystals being positioned to intercept the third X-ray beam on surfaces of the third monochromator crystals to produce a fifth X-ray beam for transmission through an object;a plurality of fourth monochromator crystals being spaced apart substantially along the first direction, and the fourth monochromator crystals being positioned to intercept the fourth X-ray beam on surfaces of the fourth monochromator crystals to produce a sixth X-ray beam for transmission through an object, wherein the surfaces of fourth monochromator crystals at least partially extend in the first direction within the spacings of the third monochromator crystals;a plurality of first analyzer crystals being spaced apart substantially along the first direction, and the first analyzer crystals being positioned to intercept the fifth X-ray beams at angles of incidence of the first analyzer crystals;a plurality of second analyzer crystals being spaced apart substantially along the first direction, the second analyzer crystals being positioned to intercept the sixth X-ray beams at angles of incidence of the second analyzer crystals, and the surfaces of fourth monochromator crystals at least partially extend in the first direction within the spacings of the third monochromator crystals;an image detector configured to detect an image of the object from beams diffracted from the first and second analyzer crystals,wherein the image detector is configured to:detect a first angle image of the object from first diffracted beams emitted from the analyzer crystals positioned at first angular positions; anddetect a second angle image of the object from second diffracted beams emitted from the analyzer crystals positioned at second angular positions; andwherein the system further comprises a computer configured to:combine the first and second angle images to derive a refraction and apparent absorption image; andderive a mass density image of the object from the refraction image. 85. The system of claim 84 wherein the first and second monochromator crystals are spaced from one another along a second direction, wherein the first direction is substantially perpendicular to the second direction. 86. The system of claim 84 wherein the first and second monochromator crystals are spaced from one another along a second direction, wherein the first direction is substantially perpendicular to the second direction. 87. The system of claim 84 wherein the first X-ray beams have a characteristic X-ray energy ranging from about 10 keV to about 70 keV. 88. The system of claim 84 wherein each of the monochromator crystals are matched in orientation and lattice planes to a respective one of the analyzer crystals. 89. The system of claim 84 wherein the monochromator crystals are symmetric crystals. 90. The system of claim 84 wherein the monochromator crystals are silicon crystals. 91. The system of claim 90 wherein the silicon crystals have [333] reflection. 92. The system of claim 90 wherein the analyzer crystals are Bragg type crystals. 93. The system of claim 90 wherein the object is a soft tissue object. 94. The system of claim 93 wherein the soft tissue object is breast tissue. 95. The system of claim 90 wherein the fifth and sixth X-ray beams apply a total radiation dosage of less than or equal to about 0.5 mrad to the object. 96. The system of claim 84 wherein the image detector is configured to receive the diffracted beams. 97. The system of claim 96 wherein the image detector is configured to produce a digitized image of the object. 98. The system of claim 84 wherein the image detector is one of a radiographic film and an image plate. 99. The system of claim 84 wherein the image detector is configured to detect the image of the object from the beam diffracted from the analyzer crystals one of at or near a peak of a rocking curve of the analyzer crystals. 100. The system of claim 99 further comprising a computer configured to derive at least one of a diffraction enhanced image, an absorption image, a refraction image, a scatter image, and a mass density image of the object from the detected image. 101. The system of claim 99 wherein the one of at and near the peaks occurs approximately one-half of a Darwin width of the rocking curve. 102. The system of claim 84 wherein the image detector is configured to detect the first angle image of the object from the analyzer crystals at a low rocking curve angle setting of the analyzer crystals, and wherein the image detector is configured to detect the second angle image comprises detecting the second angle image of the object from the analyzer crystals at a high rocking curve angle setting of the analyzer crystals. 103. The system of claim 84 wherein the monochromator crystals are one of germanium and silicon monochromator crystals. 104. The system of claim 84 wherein the monochromator crystals are one of germanium [333] and silicon [333] monochromator crystals. 105. The system of claim 84 comprising a computer configured for adjusting a radiation dose applied by the third X-ray beams to the object.
052727335
claims
1. A control rod driving hydraulic system of a control rod driving system of a nuclear power plant, in utilization of condensate fed from a condensate supply source of the nuclear power plant as a control rod driving water, comprising a water pressure control unit arranged for each control rod and a water pressure supply unit for commonly supplying water pressure to all control rods, said water pressure supply unit comprising: a pump means operably connected to the condensate supply source for driving the control rod driving water; means for regulating quantity of flow of the control rod driving water; means for regulating pressure of the control rod driving water; and a filter means operably connected to the condensate supply source and said pump means for filtering the condensate as the control rod driving water, said filter means including at least one hollow fiber filter unit for purifying the control rod driving water and said filter means includes a suction filter unit and a driving water filter unit, said suction filter unit being connected to the condesnate supply unit at one end, said driving water filter unit is connected at one end to another end of the suction filter unit through the pump means and connected to the flow quantity regulator, said suction filter unit being substituted with the hollow fiber filter unit. 2. The control rod driving hydraulic system according to claim 1, wherein said hollow fiber filter unit includes a backwash regeneration equipment. 3. The control rod driving hydraulic system according to claim 1, wherein said hollow fiber filter unit includes a sealing casing having an interior divided into two sections by a partition plate provided with a plurality of perforations, a bundle of filament fiber elements passing through the perforations of the partition plate, a drain mechanism connected to the sealing casing and a backwash regeneration equipment connected to the sealing casing. 4. The control rod driving hydraulic system according to claim 3, wherein said backwash regeneration equipment includes an air supply unit for supplying air into the sealing casing, a purge air supply pipe connected to the air supply unit and to one section, as a header chamber, of the sealing casing and a bubble air supply pipe connected to the air supply unit and to another section, as a filtering chamber, of the sealing casing. 5. The control rod driving hydraulic system according to claim 1, wherein said suction filter unit includes two suction filters which are substituted with two hollow fiber filter units. 6. The control rod driving hydraulic system according to claim 1, wherein said suction filter unit includes two suction filters one of which is substituted with one hollow fiber filter unit. 7. The control rod driving hydraulic system according to claim 1, wherein said suction filter unit includes one suction filter which is substituted with the hollow fiber filter unit. 8. The control rod driving hydraulic system according to claim 1, wherein said suction filter unit is connected to the condensate supply unit at one end, said driving water filter unit is connected at one end to another end of the suction filter unit through said pump means and connected at another end to the flow quantity regulating means and wherein said driving water filter unit is substituted with the hollow fiber filter unit. 9. The control rod driving hydraulic system according to claim 1, wherein said driving water filter unit includes two driving water filters which are substituted with two hollow fiber filter units. 10. The control rod driving hydraulic system according to claim 1, wherein said driving water filter unit includes two driving water filters one of which is substituted with one hollow fiber filter units.
claims
1. A pipe inspecting apparatus comprising:a selection module configured to select first and second ultrasonic optical probes from a plurality of ultrasonic optical probes attached at intersections of first lines extending in a first direction on a surface of a pipe and second lines extending in a second direction on the surface of the pipe, the selection module selecting, as the first and second ultrasonic optical probes, a combination of ultrasonic optical probes which are apart from each other at an interval of two second lines in the first direction and at an interval of one first line in the second direction;a power supplying module configured to supply power to an ultrasonic transducer of the first ultrasonic optical probe to input an ultrasonic wave from the ultrasonic transducer to the pipe and to supply the ultrasonic wave via the pipe to an optical fiber sensor of the second ultrasonic optical probe; anda light detection module configured to detect laser light transmitted through the optical fiber sensor of the second ultrasonic optical probe,whereinthe selection module selects two first ultrasonic optical probes and one second ultrasonic optical probe from the plurality of ultrasonic optical probes, the two first ultrasonic optical probes being provided at a same position in the first direction and being apart from each other at an interval of two first lines in the second direction,the power supplying module simultaneously supplies the power to ultrasonic transducers of the two first ultrasonic optical probes and controls the two first ultrasonic optical probes such that phases of ultrasonic waves from the two first ultrasonic optical probes are aligned at a position of the one second ultrasonic optical probe to input the ultrasonic waves from the ultrasonic transducers to the pipe and to supply the ultrasonic waves via the pipe to an optical fiber sensor of the one second ultrasonic optical probe, andthe light detection module detects the laser light transmitted through the optical fiber sensor of the one second ultrasonic optical probe. 2. The apparatus of claim 1, wherein at least one of the ultrasonic transducers includes: a coil configured to be supplied with the power, a first magnet including a first pole on a side of the coil and including a second pole on an opposite side to the coil, and a second magnet having a shape surrounding the first magnet, including the second pole on a side of the coil and including the first pole on an opposite side to the coil. 3. The apparatus of claim 1, wherein at least one of the ultrasonic transducers includes: a coil configured to be supplied with the power and wound in an annular shape, and a magnet formed into a circular cylinder shape and having a diameter larger than an inner diameter of the annular shape. 4. The apparatus of claim 1, wherein each of the ultrasonic optical probes includes an optical fiber sensor wound in an ellipse shape. 5. The apparatus of claim 4, wherein the ultrasonic optical probes are attached to form rings around a circumference of the pipe with N ultrasonic optical probes per circle where N is an integer of two or more, and are attached to the pipe such that a long axis of the ellipse shape is parallel to a circumferential direction of the pipe. 6. The apparatus of claim 1, wherein the selection module sets a plurality of combinations of the first ultrasonic optical probe and the second ultrasonic optical probe, and the combinations are set such that a cover ratio in wall thickness measurement for each spool of the pipe reaches 100% by the wall thickness measurement using the combinations. 7. The apparatus of claim 1, wherein the selection module includes a first switch configured to select the first ultrasonic optical probe from the plurality of ultrasonic optical probes, and a second switch configured to select the second ultrasonic optical probe from the plurality of ultrasonic optical probes. 8. A pipe inspecting method comprising:selecting first and second ultrasonic optical probes from a plurality of ultrasonic optical probes attached at intersections of first lines extending in a first direction on a surface of a pipe and second lines extending in a second direction on the surface of the pipe, the first and second ultrasonic optical probes being a combination of ultrasonic optical probes which are apart from each other at an interval of two second lines in the first direction and at an interval of one first line in the second direction;supplying power to an ultrasonic transducer of the first ultrasonic optical probe to input an ultrasonic wave from the ultrasonic transducer to the pipe and to supply the ultrasonic wave via the pipe to an optical fiber sensor of the second ultrasonic optical probe; anddetecting laser light transmitted through the optical fiber sensor of the second ultrasonic optical probe,whereinthe selecting includes selecting two first ultrasonic optical probes and one second ultrasonic optical probe from the plurality of ultrasonic optical probes, the two first ultrasonic optical probes being provided at a same position in the first direction and being apart from each other at an interval of two first lines in the second direction,the supplying the power includes simultaneously supplying the power to ultrasonic transducers of the two first ultrasonic optical probes and controlling the two first ultrasonic optical probes such that phases of ultrasonic waves from the two first ultrasonic optical probes are aligned at a position of the one second ultrasonic optical probe to input the ultrasonic waves from the ultrasonic transducers to the pipe and to supply the ultrasonic waves via the pipe to an optical fiber sensor of the one second ultrasonic optical probe, andthe detecting includes detecting the laser light transmitted through the optical fiber sensor of the one second ultrasonic optical probe. 9. The method of claim 8, further comprising:calculating an attenuation ratio of the ultrasonic wave, based on a detection result of the laser light; andcalculating a distance between an occurrence location of pipe wall thinning in the pipe and the second ultrasonic optical probe, based on the attenuation ratio of the ultrasonic wave. 10. The method of claim 8, further comprising:detecting a transmitted wave and a reflected wave in the ultrasonic wave, based on a detection result of the laser light; andestimating a shape or position of the occurrence location of pipe wall thinning in the pipe, based on a detection result of the transmitted wave and the reflected wave.
summary
abstract
A forged nozzle shell course for a pressure vessel includes shell course comprising at least one reinforcement portion extending radially outward from an outer surface of the shell course with each reinforcing portion including a nozzle having a radius. The nozzle includes a bore extending from an outside surface of the reinforcing portion to an inside surface of the shell course, and at least one extension attachment surface located adjacent to and coaxial to the bore. The reinforcing portion having a longitudinal dimension equal to about 2.0 times the radius of the nozzle, and a circumferential dimension equal to about 1.5 times the radius of the nozzle, measured from the centerline of the nozzle bore.
060524316
description
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS The following description is provided to enable any person skilled in the art to make and use the invention and sets forth the best modes contemplated by the inventors of carrying out their invention. Various modifications, however, will remain readily apparent to those skilled in the art, since the general principles of the present invention have been defined herein specifically to provide an X-ray converging mirror for an X-ray detecting system. Referring to FIG. 4, a schematic embodiment of the present invention is disclosed in the form of an improved X-ray analytical microscope system 40. A sample 41 can be placed on a sample stage 42, which can be appropriately moved by a motor 43 to permit a scanning of the sample 41. An X-ray generator 44 generates X-rays which are focused onto the sample 41 by a microfocus X-ray tube or guide tube 45 has a shape that is paraboloid of revolution. An optical microscope 46 permits the operator to view the positioning and location of the sample. Below the sample stage is a transmission or scintillation X-ray detector 47, while above the sample stage is a fluorescent X-ray detector 48. The outputs from these respective detectors 47 and 48 are provided to a pulse processor circuit 49 and then transmitted to a CPU controller 50. The CPU controller 50 also provides direction to the XY scan stage controller 51. The CPU controller 50 can constitute one or more microprocessor systems to control the analysis and operation of the analytical microscope system. A detected output can be disclosed on a display 52. The X-ray beams generated by the X-ray generator 44 are introduced into the guide tube 45 and, as a result of the shape of the guide tube, fine high intensity X-ray beams are generated that can irradiate the sample on the XY axis scanning stage 42. The resulting fluorescent X-rays that are generated can be measured by a silicon X-ray detector or fluorescent X-ray detector 48 that can be kept within a liquid nitrogen Dewar. The X-rays that are transmitted through the sample are measured by a scintillation detector 47. As a result of these measurement signals, the X-ray axis scanning signals can be reconstructed to make a mapping image of surface elements detected by the fluorescent X-rays and a mapping image of the internal structure of the sample as determined from transmitted X-rays. The guide tube can be moved so that spot diameters can vary, for example, from 10 .mu.m to 100 .mu.m to permit an optimum measurement suitable to the specific sample 41. As a result of the configuration of the X-ray guide tube or channel 8, the X-rays emitted from the X-ray generator 44 can be accurately positioned at a focal point coincident with the desired measurement point on the sample 41. Referring now to the drawings, the embodiments of the improved X-ray converging mirror according to the invention will be described in detail. FIG. 1 shows a principal portion of the X-ray analysis microscope with the X-ray channel according to this invention. In FIG. 1, numeral 1 is a microfocus X-ray tube as an X-ray source, which comprises a filament 4 for generating electrons 3, and an X-ray target 6 for generating desired X-ray beams 5 by allowing the electrons 3 to collide against the target 6. The X-ray source 1 is housed in a container 2 held to a specified high vacuum. Numeral 7 is an X-ray transmission window comprising beryllium that allows the X-ray beams 5 generated at the X-ray target 6 to pass to the X-ray channel 8 side. Numeral 8 is an X-ray channel that guides the X-ray beams emitted from the microfocus X-ray tube 1 to the X-ray irradiation position direction, and comprises material with a small amount of zinc added thereto, for example, silica glass. The X-ray channel 8 comprises an X-ray converging mirror 9 in the vicinity of the microfocus X-ray tube 1 and an X-ray channel portion 10 on the X-ray irradiation position side connected thereto. The cross-sectional profile of the X-ray converging mirror can be expressed by the equation of EQU x=ytan .theta.[1-ln(y/b)] (I) where, b is a point on the y-axis when dx/dy is 0 and .theta. is equal to or less than the critical angle. The X-ray channel portion 10 is equipped with a profile similar to that of the second focal point side of the ellipsoid of revolution type reflecting mirror 30 and is joined to the open side of the X-ray converging mirror 9 expressed by equation (1). Numeral 11 is an XY-axis scanning stage provided on the other end side of the X-ray channel 8, and this XY-axis scanning stage 11 is held in such a manner that the X-ray beam from the X-ray tube 1 side converges to the surface of the specimen 12 placed on the stage 11, and in this embodiment, it is arranged in such a manner that the surface coincides with the focal point position of the X-ray channel portion 10. Though not illustrated in FIG. 1, a scintillation detector for detecting the X-ray permeating the semiconductor detector or specimen 12 for detecting fluorescent X-rays is installed in such a manner to command the XY-axis scanning stage 11. Referring now to FIG. 2, description is made of the internal profile of the X-ray converging mirror 9 installed in the vicinity of the microfocus X-ray tube 1. As shown in FIG. 2 on X and Y planes, let the angle .theta. denote the angle made by a tangent 14 at point P (x, y) on curve 13 passing origin 0 and the line 15 connecting origin O and point P, and let .phi. denote the angle made by tangent 14 and perpendicular 16 to the y-axis at point P. Then we have EQU x=tan .theta..multidot.ytan .phi. (1) Differentiate both sides of equation (1) results in: EQU cy/dx=tan .theta.+tan .phi.+y.multidot.(1/cos.sup.2 .phi.).multidot.d.phi./dy (2) And for the gradient of tangent 14, we have EQU dy/dx=tan .phi. (3) From equation (2) and equation (3), we obtain an equation as follows: EQU tan .phi.=tan .theta.+tan .phi.+y.multidot.(1/cos.sup.2 .phi.).multidot.d.phi../dy (4) Consequently, EQU tan .theta.+y.multidot.(1/cos.sup.2 .phi.).multidot.d.phi./dy=o EQU .thrfore.d.phi./cos.sup.2 .phi.=tan .theta..multidot.dy/y (5) By integrating both sides of equation 5, this would result in: EQU tan .phi.=-tan .theta..multidot.lny+C (6) And if dx/dy=o, that is, .phi.=0 and y=b, we have EQU C=tan .theta..multidot.lnb (7) Consequently, equation (6) is reduce to the following equation: EQU tan .phi.=-tan .theta..multidot.lny+tan .theta..multidot.lnb EQU =-tan .theta.(lny/lnb) (8) From equation (1) and equation (8), EQU x=y tan .theta.[1-ln(y/b)] (I) (where, b denotes one point on the y-axis when dx/dy is 0.) PA1 (where, b denotes a point on the y-axis when dx/dy is 0, and .theta. is equal or less than a Bragg critical angle of reflection. The X-ray converging mirror 9 with a cross section given by equation (I) is arranged in such a manner that a microfocus X-ray tube 1 is located at the origin (position of reference symbol 0 in FIG. 2). In an X-ray analysis microscope of the above configuration, the X-ray beams 5 generated at the microfocus X-ray tube 1 become fine X-ray beam of high brightness with a diameter less than 10 .mu.m by passing through the X-ray channel 8. This fine X-ray beam 5 is applied to a specimen 12 placed on the XY-axis scanning stage 11, and the fluorescent X-ray generated from it is detected by a semiconductor detector and the X-ray that penetrates the specimen 12 is detected by a scintillation detector, respectively. And by correlating the signals of each detector into images using the XY-axis scanning signals, it is possible to obtain a mapping image of surface elements by fluorescent X-ray and also a mapping image of the internal construction of the sample by penetrating X-rays. Because the cross-sectional profile of the X-ray converging mirror 9, located in the vicinity of the microfocus X-ray channel 1, is a curve expressed by the equation (I), the reflectivity of X-ray beam 5 in the vicinity of the microfocus X-ray tube 1 becomes high, and the X-ray intensity increases as much. Consequently, the X-ray efficiency of the X-ray converging mirror 9 improves and the measuring accuracy of the X-ray analysis microscope improves. In addition, the X-ray converging mirror 9 is small as compared to a conventional X-ray converging mirror, and it is possible to make the X-ray analysis microscope compact. In the above-mentioned embodiment, an ellipsoid of revolution type reflecting mirror is used for the X-ray channel portion 10 joined to the X-ray converging mirror 9, but needless to say, it is possible to adopt a mirror of a profile conventionally used such as a paraboloid of revolution, etc. The X-ray converging mirror 9 of this invention is able to be applied to other X-ray irradiation equipment using X-ray tubes other than the illustrated X-ray analysis microscopes. As described above, because the X-ray converging mirror of this invention is a curve whose cross-sectional profile is expressed by the following equation, EQU x=y tan .theta.[1-ln(y/b)] it is possible to configure X-ray irradiation equipment with high measuring accuracy, good X-ray efficiency, and a compact optical system. Those skilled in the art will appreciate that various adaptations and modifications of the just-described preferred embodiment can be configured without departing from the scope and spirit of the invention. Therefore, it is to be understood that, within the scope of the appended claims, the invention may be practiced other than as specifically described herein.
summary
043205283
summary
BACKGROUND OF THE INVENTION Large scale heat exchanger systems are essentially comprised of a primary system which contains a large number of individual tubes which have fluid circulating through them, and a secondary system which consists of a second fluid surrounding said tubes contained within a housing which enwraps both systems. In large scale heat exchanger systems, and especially in heat exchanger systems utilized in nuclear reactors, an often recognized problem has been the loss of efficiency of the heat exchanger system due to the build-up of products of corrosion, oxidation, sedimentation and comparable chemical reations on the inner walls of the tubes comprising the primary circulation system. More recently, it has been discovered that the secondary system is also plagued with similar problems such as the build-up of scale, oxides and similar products of corrosion on the outer walls of the tubes comprising the primary circulation system and in particular between the tubes and the support structure for the tubes. Solutions to this problem which are relatively non-destructive to the heat exchanger are desired. Ever since nuclear reactors have been employed for the generation of electrical power, concern has been focused upon the primary heat exchanger system and on the necessity for maintaining the tubes and conduits of the primary circulation system therein free of anything that could adversely affect either the heat exchanging capability of said tubing or the unimpeded flow of fluid through said tubing. At the same time, it was recognized that to a lesser extent, the same concerns affected the secondary system. In very large sized heat exchangers, and especially those used in conjunction with steam generating nuclear reactors, the primary system usually comprises a large number of individual tubes which have a primary fluid circulated through them. These tubes are placed in a large receptacle containing a secondary fluid. The primary fluid which carries the heat is circulated through the primary tubes in order to transfer the heat to the secondary fluid which is circulated through the receptacle. To maximize the surface available for heat exchange, the primary tube system contains a very very large number of tubes which are bundled spaced apart from each other. Each of the large number of tubes in said primary system has a relatively small diameter. A principal concern in such systems has been the possibility of occluding and/or restricting the flow of fluid through these relatively small diameter tubes. It is also recognized that any build-up on the interior walls of the conduits or tubes adversely affects the heat exchange properties of the primary system. In the past, similar concerns have not been directed to the secondary system which, in many cases, is the steam generation system. Therefore, in the secondary system, the principal concerns have been only that there be an adequate supply of fluid in the primary system, and that the opportunity and volume for the generation of steam is made available. The problem of maintaining the unimpeded flow of fluid through the large number of tubes in the primary system and the efficiency of heat exchange capability of these primary system tubes is one to which a great deal of effort has been devoted. A chemical cleaning process for an entire nuclear power station was described in detail in a paper presented at the 21st Annual Water Conference of the Engineers' Society of Western Pennsylvania on Oct. 26, 1960, by M. F. Obrecht, et al, entitled "Chemical Cleaning of Boiling Water Reactor and Steam Water System at the Dresden Nuclear Power Station." In recent years, however, a hitherto unknown but disturbing phenomena has been encountered, especially in heat exchange systems of some of the larger nuclear reactors. These utilize tube bundles in the primary system which are retained in alignment by spacer grids and support plates. In many such systems, the tubing in the primary system was made of a relatively corrosion resistant material such as Inconel. The support structure for the tubing, however, was made of steel. In the elevated temperatures and the less than ideal fluid environment of the heat exchanger, in addition to the normal build-up of scale and other corrosion or oxidation products on the surface of the various components, it has been discovered that the steel support structure, itself, oxidized to magnetite, especially in the areas immediately adjacent the tubing in the primary system. The support structure is comprised of spacer grids and support plates. The steel support plates, which in many heat exchanger designs are located in the upper portion of the tube bundles, are fabricated with a plurality of perforations or apertures, each to accomodate a tube of the tube bundle and to maintain the tubes adequately spaced and aligned in the secondary chamber during the installation process. Once the tube bundle was fastened in place, in some heat exchanger designs there was no further need for the troublesome support plates, but there was no easy way to remove them. While the creation of magnetite is not wholly unexpected, the adverse consequences of its creation had not been fully appreciated. Magnetite, which is a ceramic material and is relatively "spongy", occupies a greater spatial volume than the steel which has been oxidized to form the magnetite. As the steel support structure oxidizes to magnetite and the magnetite builds up at the area where the tubing is surrounded by the support plate, the aperture between the support plate and tubing is reduced, and magnetite eventually fills the space between the support plate and the tubing. As the oxidation process of steel to magnetite continues a phenomena known as "denting" or "pinching" takes place. The tubing in the primary system of the heat exchanger is constricted by the increasing volume of the magnetite, and the tubing can then be damaged and/or cracked. Further, the flow through the tubing can be substantially impeded at the site of the restriction. Eventually, the usefulness of the tube is reduced to virtually nothing and the tube must be capped at its base. When over 25% of these tubes are capped, the heat exchanger can no longer operate properly and a major and very costly repair of the entire heat exchanger unit must be undertaken. The continued creation of magnetite with its volumetric increase over the steel it has replaced also tends to cause cracking and distortion of the steel support plates themselves. Fittings and other restraints attached to the support plates cannot accommodate this "expansion" process and structural stresses which are capable of exceeding the limits of the structure are generated thereby creating a deformation of the surrounding structure of the heat exchanger. Experiments have been conducted to determine ways in which the heat exchanger system can be cleaned and the build-up removed. Chemical methods, such as those discussed in the above-identified paper of Obrecht, et al have been considered. Further, pilot scale experiments have been conducted to determine the relative efficiency of various chemical formulations in the "cleaning" process. It has been found that more or less conventional chemical cleaning methods utilizing more or less accepted chemical cleaning formulations are so slow as to endanger the integrity of the heat exchanger system. That is, the same formulation which dissolves the magnetite and other scale and corrosion products, if left long enough to be effective, also attacks the basic structural elements of the heat exchanger as well. Further, the cleaning process is inhibited, especially in the apertures between the tubing and support plate, if the cleaning fluid cannot be adequately circulated or agitated to continually bring a fresh supply of cleaning fluid to the site to be cleaned. It has long been known that sonic cleaning is a useful method for the decontamination of critical or precision parts and assemblies. The American Society for the Testing of Materials published, among other things, a special technical publication No. 342 in 1962, entitled "Cleaning and Materials Processing for Electronics and Space Apparatus." In an article entitled "The Role of Cavitation in Sonic Energy Cleaning," written for that publication by T. J. Bulat, at page 119, the phenomenon of sonic cleaning is discussed at great length. It was suggested by Bulat, for example, that lower frequencies are better for cleaning massive parts and for penetrating interstices. Further, the effects of temperature were reviewed, revealing that in water, efficiency increases with elevated temperature until approximately 170.degree. F. Higher temperatures appear to cause a loss in efficiency. However, it was suggested that optimum temperature ranges are more a function of the cleaning fluid to be utilized or the temperature at which the contaminants are most susceptible to breakdown. As summarized by Bulat, cleaning by sonic cavitation provides a direct and effective mechanical agitation to speed up the soil removal process and, at the same time, maintain a maximum concentration gradient of cleaning chemical at the surface to be cleaned. Further, the energy for cleaning can be focused and directed so that cavitation can be made to occur deep within the interstices of a part or of an assembly with a complicated geometric configuration. Most early researchers endeavored to utilize sonic energy to keep the interior of the primary tubes free from surface deposits during use. See, for example, the patent to G. A. Worn, et al U.S. Pat. No. 2,664,274. That invention was primarily directed at improving the efficiency of heat exchangers by removing deposits from the interior of the tubing in the primary system and preventing the formation of deposits within said tubing during operation. Similarly, the patent to Bernard Ostrofsky, et al, U.S. Pat. No. 3,295,596, also taught the removal of deposits from the tubes of a heat exchanger while on-stream at elevated temperatures, through the use of a special liquid coupling device which isolated a sonic transducer from the adverse effects of the elevated temperatures in the heat exchanger system. Yet another approach utilizing sonic energy has been disclosed by Alvin B. Kennedy, Jr., et al. U.S. Pat. No. 4,120,699 which teaches a continuous varying of the frequency or phase relationship of opposing accoustic wave trains which "sweep" over the surfaces of the body to be cleaned. It would seem that the Kennedy method is intended to clean the surfaces and restrict sedimentation. It appears, however, that the methods and apparatus described therein are intended for normal, preventive maintenance, and are not suited by themselves to the problems presently being considered. SUMMARY OF THE PRESENT INVENTION It has been discovered, according to the present invention, that a combination of chemical cleaning and relatively low frequency sonic cleaning can be adapted for the removal of oxidation products, and especially magnetite, from the structure supporting the tube bundle in the primary system of the heat exchanger of a nuclear reactor. The chemical formula of magnetite is Fe.sub.3 O.sub.4. The chemical reaction which controls the rate at which the magnetite is dissolved is as follows: EQU Fe.sub.3 O.sub.4 +8H.sup.+ .fwdarw.2Fe.sup.+3 +Fe.sup.+2 +4H.sub.2 O To dissolve one magnetite molecule, 8H.sup.+ ions must be supplied, and 2 ferric (Fe.sup.+3) ions, one ferrous (Fe.sup.2) ion, and 4 water molecules must be removed. The rate at which the reaction proceeds is totally dependent on the available supply of hydronium (H.sup.+ ion) and the rate of removal of iron and water. In the small interstices between the tubes and the support plates there is very little, if any, circulation of the chemical solution. As a result, as the reaction proceeds and the chemicals dissolve the magnetite in the crevices and apertures between the tubing and support plate, the reaction rate tends to slow appreciably, as the site of the reaction becomes saturated with the resulting products and fresh chemicals cannot be brought to the site. It is therefore necessary to provide a means of agitating a mixing of the chemicals within the crevices or apertures between the tubing and support plate causing fresh chemicals to be brought to the magnetite. The use of sonic energy to mix and circulate the chemicals solves many of the prior art difficulties in cleaning heat exchangers such as steam generators. An additional benefit to be obtained from the use of sonic energy is that a wholly different problem which has troubled steam generators for many years may also be attacked. There tends to be created a buildup of sedimentation or "sludge" which accumulates in the bottom of the heat exchanger vessel. This sludge includes copper oxide, magnetite, and other oxidation or corrosion products which have not adhered to the tubing or other surfaces and therefore accumulate at the bottom. As the sludge "pile" increases in thickness, it eventually covers portions of the tubing in the primary system and also builds up on the support plates for said tubing. The presence of the sludge not only affects the rate of flow of the fluid in the secondary system, but also degrades the heat transfer process from the fluid in the primary system to the fluid in the secondary system. As the sludge layer deepens, the lowermost portion of the vessel becomes only marginally useful as a heat exchanger. The use of sonic energy, together with appropriate chemicals, can first attack the sludge pile to prevent a later contamination of the chemicals that are used in the magnetite dissolving process. Cavitation and agitation of the sludge pile could facilitate removal of the sludge by a flushing and/or filtration process. Further, and according to the present invention, the use of sonic energy to assist in cleaning and/or removal of detrimental deposits from thermal surfaces, tends to mix thoroughly the cleaning compounds and control the distribution of heat while preventing local "hot spots." Further, if heat is supplied, for example, by recirculating a heated fluid through the primary system, cavitation is enhanced in the vicinity of the tube bundle in the primary system. The presence of a "colder" region along the external surface of the heat exchanger facilitates the delivery of sound energy to the "warmer" regions surrounding said tube bundle. As a part of the present invention, special transducers are employed which can be placed on the outer shell of the heat exchanger, within said shell, attached to the support plates or created of special shapes so as to focus energy at a desired area. Depending upon the access available, the transducers can be provided in various locations of the interior of the heater exchanger. If desirable, the transducer can be coupled directly to the support plates on the interior of the heat exchanger, enabling sonic energy to be delivered to the sites of magnetite buildup. It is therefore an object of the present invention to provide a process and apparatus for removing the buildup of products of corrosion, oxidation, sedimentation and comparable chemical reactions from various portions of heat exchanger systems such as the location wherein the primary heat exchanger tubes in the primary system come in contact with support plates for said tube, and the base of said heat exchanger. It is a further object of the present invention to provide a process and apparatus for removing corrosion deposits such as scale, oxides and the like from steam generators and other tube bundle heat exchangers. It is another object of the present invention to provide a process and apparatus for accelerating action of chemical solvents in removing corrosion deposits in heat exchangers. It is a further object of the present invention to provide a process for focusing and localizing the dissolving action of chemical solvents in heat exchangers. It is another object of the present invention to provide a process and apparatus for removing magnetite from the crevices or apertures between the tubes of the primary system and support plates for those tubes in certain nuclear power plant steam generators and other heat exchangers. It is a further object of the present invention to significantly reduce the chemical contact time required to "clean" steam generators and other heat exchangers. It is another object of the present invention to provide a means for stimulating the activity of chemical solvents in the region of steam generator or heat exchanger support plates which support tubes within said steam generator or heat exchanger. It is another object of the present invention to provide a process for removing corrosion from the interstices between tubes and their support plates in steam generators or heat exchangers without damaging other components within said steam generator or heat exchanger, which are chemically sensitive, such as the tubes, tube supports, the downcomer, exterior shell, and tube sheet at the base of the heat exchanger. It is still another object of the present invention to provide a means for accelerating the action of chemical solvents, by causing agitation and local regions of high temperature and pressure at the interfaces between the solvent and components to be cleaned. Further novel features and other objects of the present invention will become apparent from the following detailed description, discussion and the appended claims taken in conjunction with the drawings.
summary
062755579
summary
FIELD OF THE INVENTION The present invention relates to a nuclear fuel assembly for a light water reactor with a substantially square cross section comprising a plurality of fuel rods extending between a top tie plate and a bottom tie plate. BACKGROUND OF THE INVENTION In a nuclear reactor, moderated by means of light water, the fuel exists in the form of fuel rods. Each fuel rod contains a stack of pellets of a nuclear fuel arranged in a cladding tube, a column of extruded fuel cylinders or an uninterrupted column of vibration-compacted powdered fuel. The cladding tube is normally made of a zirconium-base alloy. A fuel bundle comprises a plurality of fuel rods arranged in parallel with each other in a certain definite, normally symmetrical pattern, a so-called lattice. The fuel rods are retained at the top by a top tie plate and at the bottom by a bottom tie plate. To keep the fuel rods at a distance from each other and prevent them from bending or vibrating when the reactor is in operation, a plurality of spacers are distributed along the fuel bundle in the longitudinal direction. A fuel assembly comprises one or more fuel bundles, each one extending along the main part of the length of the fuel assembly. Together with a plurality of other fuel assemblies, the fuel assembly is arranged in a core. The core is immersed in water which serves both as coolant and as neutron moderator. During operation, the water flows from below and upwards through the fuel assembly, whereby, in a boiling water light-water reactor, part of the water is transformed into steam. The percentage of steam increases towards the top of the fuel assembly. Consequently, the coolant in the lower part of the fuel assembly consists of water whereas the coolant in the upper part of the fuel assembly consists both of steam and of water. This difference between the upper and lower parts gives rise to special problems which must be taken into consideration when designing the fuel assembly. This problem can be solved by achieving a flexible fuel assembly which, in a simple manner, may be given a shape in which the upper part of the fuel assembly differs from the lower part thereof such that optimum conditions can be obtained. A fuel assembly for a boiling water reactor with these properties is shown in International patent document PCT/SE95/01478 (Int. Publ. No. WO 96/20483). This fuel assembly comprises a plurality of fuel units stacked on top of each other, each comprising a plurality of fuel rods extending between a top tie plate and a bottom tie plate. The fuel units are surrounded by a common fuel channel with a substantially square cross section. A fuel assembly of this type may, in a simple manner, be given a different design in its upper and lower parts. Also in a light-water reactor of pressurized-water type, it may be desirable to design the fuel assemblies such that each fuel assembly comprises a plurality of fuel units stacked on top of each other. As described above, each one of the fuel units then comprises a plurality of fuel rods extending between a top nozzle and a bottom nozzle. A fuel assembly for a pressurized-water reactor, however, comprises no fuel channel. One factor which must be taken into consideration when designing such fuel units with a length on the order of 300-1500 millimeters is that fission gases are formed during nuclear fission. In addition, the column of fuel pellets expands because of the heat generated in the fuel pellets. To take care of the fission gases and the thermal expansion of the column of fuel pellets, a relatively large space, an axial gap, is normally formed above the uppermost fuel pellet in the cladding tube in known full-length fuel rods, that is, fuel rods with a length on the order of 4 meters. The axial gap has a length on the order of 200-300. The fission gases may thus diffuse to this axial gap and the column of fuel pellets may expand into this gap. Another factor which must be taken into consideration when designing axial gaps is that the temperature of the cladding tube in this region is lower than in the rest of the cladding tube since no fuel pellet is arranged in the axial gap. A problem which may arise as a result of this is that hydrogen formed, inter alia, by corrosion of the cladding tube, which is of a zirconium-based alloy, and is taken up thereby, diffuses into this colder region. In the event that the concentration of hydrogen becomes too high in this region, hydrides are formed in the cladding material and cause embrittlement thereof. In a serious case, the cladding tube may burst and fissionable material may enter into the cooling water. The same type of problem may also arise in the regions between the pellets, that is, where a lower end of a fuel pellet makes contact with an upper end of an adjacent fuel pellet, and in the region between two fuel units stacked on top of each other. The risk of embrittlement due to too high a concentration of hydrogen increases, to a certain limit, with the size of the axial gap. Released fission gas contributes to the temperature in the axial gap decreasing further. This is due to the fission gas deteriorating the thermal conductivity of the gas which is present in the axial gap. The same thing applies to the gas which is present in the gap between the fuel pellets and the cladding tube, in which case the difference in temperature between the outer surface of the pellets and the inner surface of the cladding tube increases. It is known to reduce the release of fission gas in different ways. One such way is to provide one or more of the fuel pellets with through-holes in their axial directions. In this way, the temperature in the fuel pellet is lowered whereby the release of fission gas is reduced and the axial gap may be reduced. In this case, the axial gap may be limited on the order of a few millimeters in a rod with a length on the order of 300 millimeters, up to a few tens of millimeters for longer rods, to allow the thermal expansion of the column of fuel pellets. A disadvantage of pellets provided with through-holes is that they are complicated to manufacture. For that reason, it is desirable to arrange axial gaps in the fissionable material. Still another factor which must be taken into consideration when designing axial gaps in a fuel rod is that local power peaks arise here. The power peaks arise due to the moderation in this region, where fissionable and neutron-absorbing material are missing, being very good. This results in the power in the pellets adjoining the axial gap becoming very high, that is, a power peak arises. The power peak grows with the size of the axial gap. The object of the present invention is to provide a fuel assembly with a plurality of short fuel units with fuel rods formed with axial gaps in the fissionable material adapted to give rise to small power peaks only. SUMMARY OF THE INVENTION The present invention relates to a fuel assembly comprising a plurality of fuel rods, each having at least one axial gap for fission gases, formed during operation, and thermal expansion of the nuclear fuel. The fuel assembly comprises a cladding and a stack of nuclear fuel pellets arranged therein. The cladding tube is sealed with a plug at each end, more particularly with a top plug and a bottom plug. The axial gaps in the fuel rods are arranged such that, in adjacently arranged fuel rods, they are disposed at axially separated levels. By avoiding to arrange axial gaps at the same levels in adjacently arranged fuel rods, the risk of high power peaks is reduced as a consequence of the good moderation in this region. To further reduce the power peaks at the axial gaps, in one embodiment of the invention these gaps are distributed at a plurality of levels within one fuel rod. In this way, each one of the axial gaps may be made considerably smaller than if only one gap is arranged in the fuel rod. To achieve the axial gaps at the desired level in the fuel rod, a spacer is arranged in the axial gap or gaps. The spacer is designed deformable in the axial direction. In this way, the column of fuel pellets is allowed, because of thermal expansion, to be extended into the axial gap or gaps while the spacer is being deformed. When the spacer has been deformed in the axial direction, it prevents, by friction against the wall of the cladding tube, axial gaps from arising in the upper part of the fuel rod also when the fuel pellets decrease in size because of densification. Alternatively, the spacer may be designed to be resilient, for example in the form of a spiral spring with the same function as described above. By not arranging the axial gaps in a traditional manner, that is, above or below the column with the fissionable material in the fuel rods, the power peaks between two fuel units stacked on top of each other are reduced. The axial gaps are achieved by arranging a spacer at an arbitrary level in the column of fissionable material. To further reduce the power peaks in the upper and lower ends, respectively, of the fuel rods, that is, between two fuel units stacked on top of each other, the fuel pellets in these regions may be designed with a smaller diameter than the other fuel pellets. To avoid annular gaps between the fuel pellet and the cladding tube, that part of the fuel rod which surrounds the fuel pellet and the cladding tube is designed with a correspondingly smaller inner diameter which has the same extent in the axial direction as the fuel pellet. Alternatively, the fuel pellets in this region may be given a lower enrichment. The advantage of the invention is that axial gaps comprising the spacers which may be placed in optional positions are avoided in the upper parts of the fuel rods. The region without fissionable material formed between two fuel units stacked on top of each other is thus reduced and hence also the local power peak which may arise in this region due to too good moderation. Another advantage is that the necessary axial gap, by means of the spacers which may be located in optional positions, may be divided into a plurality of smaller axial gaps whereby the power peaks therein are reduced. At the same time, the risk of too high a concentration of hydrogen in the axial gaps is reduced. At least to a certain extent, the spacer contributes to increase the temperature somewhat in the material surrounding the axial gap in comparison with the temperature of axial gaps without spacers. The increased temperature is due to the spacer conducting part of the heat, which is generated in the pellets facing the axial gap, to the cladding tube. By this increased temperature, the risk of the hydrogen concentration becoming too high in the axial gaps is further reduced. Still another advantage is that the spacer, even at the time of manufacture of the fuel rods, may accumulate a certain length tolerance of the pellets column. This means that the requirement for the length tolerance of the individual fuel pellets is reduced.
summary
abstract
In an underwater remote surface inspection method for a reactor constituting member, in order to improve the precision of an operation of inspecting a surface shape of the reactor constituting member, an underwater remote surface inspection apparatus includes a replica picking head, an ultrasonic vibrator, and a replica agent cartridge. The replica picking head is pressed against a surface of core internal structure as an inspection target. A replica agent is supplied from the replica agent cartridge into the replica agent supply region formed inside the replica picking head and contacting with the surface of the core internal structure. After the operation of supplying the replica agent ends, an ultrasonic wave is transmitted from an ultrasonic vibrator to the replica agent inside the replica agent supply region. Accordingly, gas bubbles or liquid bubbles existing inside the replica agent supply region rise up so as to be discharged to the outside of the replica picking head through an air extracting hole. As a result, the gas bubbles or the liquid bubbles do not remain in the surface of the replica agent contacting with the surface of the core internal structure.
050229737
summary
This invention relates to enhanced solvent extraction, and in particular to a method and an apparatus for electrostatically enhanced solvent extraction. In known electrostatic solvent extraction cells, relatively high extraction rates can be obtained if the dispersed phase is charged electrically by passing it through charged nozzles, and then subsequently accelerating the charged dispersed phase droplets in an electrical field. This procedure not only gives rise to very small drops (and hence a large interfacial area for mass transfer) but also involves very short contact times by virtue of the high drop velocity. The process is thus ideally suited to the extraction of labile and unstable materials, frequently of biological origin. UK Patent 1205562 envisaged a column comprising a number of stacked sieve plates and a bank of nozzles which are in communication with an inlet for the dispersed phase. The nozzles are arranged to discharge longitudinally along the column, and when a potential is applied to the nozzles, charged droplets of the dispersed phase are discharged longitudinally towards the plates. This arrangement works well on the small scale but becomes progressively less efficient with scale-up due to the fact that the inner nozzles in the bank tend to be shielded electrostatically by the outer ones and therefore carry a smaller charge. As a consequence, only the outer nozzles produce the very small droplets required whilst the inner nozzles give rise to larger droplets having a lower specific area for mass transfer. Furthermore, since the larger droplets are not so highly charged, they are incapable of being accelerated to high velocities and so the advantage of low contact times is lost. According to one aspect of the present invention, there is provided a method of electrostatically enhanced solvent extraction in which a dispersed phase interacts with a continuous liquid phase flowing through a column in counter-current relationship, wherein the improvement comprises locating interacting means in the column so as to catch the dispersed phase flowing in the column and so as to define a space at a side of the interacting means between the side and the side of the column and through which space the continuous phase flows, and providing an electric field to cause the discharge of charged droplets of the dispersed phase sideways from the interacting means through the space toward the side of the column. According to another aspect, the invention provides apparatus for electrostatically enhanced solvent extraction comprising a column in which a continuous phase is arranged to flow therethrough in counter-current relationship with a dispersed phase, wherein the improvement comprises, means for catching a dispersed phase flowing through the column and for interacting the dispersed phase with the continuous phase, the interacting means being located in the column to define a space at a side thereof between the side and the side of the column and through which space the continuous phase is arranged to flow, and means for providing an electric field to cause the discharge of charged droplets of the dispersed phase sideways from the interacting means through the space toward the side of the column. In a further aspect, the invention provides apparatus for electrostatically enhanced solvent extraction, the apparatus comprising a column for the counter-current flow of a continuous phase and a dispersed phase therethrough, one or a plurality of inlets for the introduction of the dispersed phase into the column to contact the continuous phase, at least one receptacle at an intermediate location in the column for catching the dispersed phase flowing through the column, the receptacle having side walls, an open top, and a closed base, the receptacle being located to define a space at the side thereof between the side of the receptacle and the column and through which space the continuous phase is arranged to flow, the receptacle having a plurality of discharge ports extending through the side walls and arranged to discharge the dispersed phase through the side walls and through the space toward the side of the column, and means for applying an electric potential to the receptacle to cause the discharge of charged droplets of the dispersed phase through the discharge ports. Preferably, the cell comprises a column with the discharge ports being arranged to discharge droplets towards the side of the column. Desirably, the flow of the continuous phase is biased away from the centre of the column so as to enhance the interaction of the continuous phase with the charged droplets. Advantageously, the electric field strength applied to the receptacle is at least 1.5 kV/cm. Preferably a collector is disposed below the receptacle, the collector having radially disposed riser ports for the upward flow of the continuous phase therethrough, and a central outlet for the downward flow of dispersed phase therethrough. Conveniently the collector has a side wall that extends to at least the same height as the discharge ports in the cell, and the electric potential is applied between the discharge ports and the side wall. Advantageously, the base of the collector is of frusto-conical form to bias the flow of the dispersed phase towards the central outlet, and bias the flow of the continuous phase towards the ports. Preferably, a plurality of receptacles and collectors are located in series in the cell, and alternate receptacles may be oppositely charged. Conveniently, the collectors may be at earth potential. Use of the invention overcomes the aforementioned problem by arranging nozzles in such a manner that no matter how many nozzles are employed, electrostatic shielding is avoided and all the nozzles can carry the same charge. This arrangement enables large diameter electrostatic solvent extraction columns to be envisaged and so opens the way to the exploitation of electrostatically enhanced solvent extraction on an industrial scale.
047059494
claims
1. An improved specimen cell for use in the evacuated chamber of a directed beam irradiation device having an electron beam, said cell comprising: means for preventing dehydration and disruption of a specimen placed in the cell including closed specimen means for mounting and at least partially containing said specimen; means for defining a single aperture between said specimen and said electron beam when said beam is directed towards said speciman, said aperture comprising a means, sized and gometrically configured, for bidirectionally passing electrons and for restricting passage of vapor from within to without said specimen means; and door means mounted on a pivotable arm for movement between an opened position and a closed position, for permitting bidirectional electron flow in said open position and for blocking said single aperture and for preventing passage of vapor from within to without said specimen means in said closed position, said door means comprising a backing metal plate attached to said arm and a facing of elastomeric material for blocking said aperture. providing a closed specimen means, in said vacuum chamber, for mounting and at least partially containing said specimen where said closed specimen means includes means for defining an aperture between said specimen and said electron beam when said beam is directed towards said specimen, wherein said aperture comprises means for bidirectionally passing electrons and for restricting passage of vapor from within to without said specimen means; providing a door means having an open position and a closed position; locating a specimen in the specimen means and closing said door means; reducing the pressure in the evaucated chamber to the normal electron microscope operating pressure while maintaining the door means in said closed position; moving said door means to said open position; and scanning said specimen with said electron beam through said aperture. closing said door means after said scanning to prevent further passage of vapor from within to without said specimen means; and repeating said opening, scanning, and closing steps for further scanning of said specimen. a sole means for preventing dehydration and disruption of a specimen placed in the cell including closed specimen means for mounting and at least partially containing said specimen; means for defining a single aperture between said specimen and said electron beam when said beam is directed towards said specimen, said sperture comprising a means, sized an geometrically configured, for bidirectionally passing electrons and for restricting passage of vapor from within to without said specimen means; and door means, mounted in a pivotable arm for movement between an opened position and a closed position for permitting bidirectional electron flow in said open position and for blocking said single aperture and for preventing passage of vapor from within to without said specimen means in said closed position said door means comprising a backing metal plate attached to said arm and a facing of elastomeric material for blocking said aperture. 2. The specimen cell according to claim 1, wherein said specimen means comprises a closed specimen module. 3. The specimen cell according to claim 1, wherein said specimen means comprises a specimen cell body and an open specimen module. 4. The specimen cell according to claim 2, wherein said closed specimen module comprises an upper portion and a lower portion and said means for defining a single aperture comprises a single aperture in said upper portion. 5. The specimen cell according to claim 3, wherein said open specimen module includes an upper chamber lid and a mesh specimen mounting means located thereunder. 6. The specimen cell according to claim 5, wherein said open specimen module further includes a liquid/gas induction shroud surrounding said mesh means. 7. The specimen cell according to claim 6, wherein said open specimen module further includes sealing means for sealing said chamber lid to said specimen cell body. 8. The specimen cell according to claim 1, wherein said aperture has a diameter within the range of from 200 angstroms to 500 microns. 9. The specimen cell according to claim 8, wherein said aperture diameter is substantially equal to 200 microns. 10. The specimen cell according to claim 3, wherein said cell body includes a means for heating said open specimen module. 11. The specimen cell according to claim 3, wherein said cell body includes a means for cooling said open specimen module. 12. The specimen cell according to claim 3, wherein said cell body includes a means for injecting a fluid into said open specimen module. 13. The specimen cell according to claim 3, wherein said specimen cell body includes upper, middle, and lower portions with said upper and middle portions separated by a diaphragm and further including means for introducing a fluid into said middle portion forcing said diaphragm to move towards said upper portion. 14. The specimen cell according to claim 13, wherein said means for introducing a fluid includes a means for modulating said fluid for causing said diaphragm to vibrate. 15. The specimen cell according to claim 2, wherein said cell body includes a means for heating said closed specimen module. 16. The specimen cell according to claim 2, wherein said cell body includes a means for cooling said closed specimen module. 17. A method of exposing a specimen to the electron beam of a directed beam irradiation device in a vacuum chamber without destroying high vapor pressure specimens, said method comprising: 18. The method according to claim 17, further including after said scanning step the additional steps of: 19. An improved specimen cell for use in the evacuated chamger of a directed beam irradiation device having an electron beam, said cell comprising:
053612809
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS The present invention was made based on the study of the techniques disclosed in JP-A-57-53688 and JP-A-60-207095. In the eddy current sensor disclosed in JP-A-57-53688, a detection accuracy of the sensor is lowered when a groove narrower than a diameter of the eddy current sensor or a hole having a narrower diameter than the diameter of the eddy current sensor is to be detected. Characters of 8 mm square size are engraved in a handle of a fuel assembly. A width of those curved characters is as narrow as approximately 1.6 mm. Accordingly, the detection accuracy of the nuclear fuel identification number by the eddy current sensor is low. Since the diameter of the eddy current sensor is usually approximately 5-10 mm, the reduction of the detection accuracy is innevitable. In the ultrasonic wave sensor disclosed in JP-A-60-207095, a signal processing time for detecting the nuclear fuel identification number is long and it is difficult to apply the sensor to a number of fuel assemblies in a fuel storage pool, which require a short response time. This is also true in a case where an eddy current sensor having a long signal processing time is used. JP-A-57-110994 is similar to JP-A-60-207095. The present invention is intended to solve those problems. One embodiment of the nuclear fuel identification code reader of the present invention is now explained with reference to FIGS. 1, 2 and 3. The nuclear fuel identification code reader of the present embodiment comprises a sensor handling unit 1, an ITV camera 5, an ultrasonic wave probe 9, an ultrasonic wave probe scanner 10, a nuclear fuel handling control unit 22, a nuclear fuel identification code monitor 28 and a nuclear fuel identification code processing unit 43. The sensor handling unit 1 utilizes a portion of a nuclear fuel handling unit 51. The nuclear fuel handling unit 51 is used to move a used fuel assembly 66 in a fuel storage pool 63. The used fuel assembly 66 is taken out of a core of a nuclear reactor and loaded and stored in a fuel rack 65 arranged in the fuel storage pool 63. The fuel storage pool 63 is filled with water 64. The fuel rack 65 is arranged under a water level of the water 64. The nuclear fuel handling unit 51 is constructed to cross the fuel storage pool 63. The nuclear fuel handling unit 51 includes a movable truck 52, a laterally movable truck 53, a grapple 54, a clamp 55 and a hoist 56. The movable truck 52 is driven by a drive motor 58 on a pair of rails 57 arranged on both sides of the fuel storage pool 63. The laterally movable truck 53 has the grapple 54, the clamp 55 and the hoist 56 and is driven by a drive motor 60 on a pair of rails 59 arranged on the movable truck 52. The grapple 54 is raised and fallen by the hoist 56 and a drive motor 62. The grapple 54 is rotated by a drive motor 61 to allow adjustment of an angle of the clamp 55 in a horizontal plane. The drive motor 61 is mounted on the laterally movable truck 53. The grapple 54 is constructed by several linked expandable pipes. The lamp 55 is mounted at the bottom end of the grapple 54. A direction of movement of the movable truck 52 is represented by X, a direction of movement of the laterally movable truck 53 is Y, the elevation of the grapple 54 is represented by Z.sub.1 and the rotation is represented by .theta..sub.1. Position signals representing the displacements X, Y, Z.sub.1 and .theta..sub.1 are detected by synchronous signal generators (not shown) mounted on the respective drive shafts of the fuel handling unit 51. The sensor handling unit 1 has a hoist 2, a drive motor 3 and a grapple 4. The hoist 2, the drive motor 3 and the grapple 4 are mounted on the movable truck 53. A drive motor (not shown) which corresponds to the drive motor 61 and serves to rotate the grapple 4 is mounted on the laterally movable truck 53. The grapple 4 is also constructed by several linked expnadable pipes. The grapple 4 is moved up and down by the hoist 2 and the drive motor 3. The elevation of the grapple 4 is represented by Z.sub.2 and the rotation is represented by .theta..sub.2. Position signals representing the displacements Z.sub.2 and .theta..sub.2 are detected by synchronous signal generators (not shown) mounted on the respective drive shafts of the sensor handling unit 1. A mount table 6 is arranged at the bottom end of the grapple 4. The ITV camera 5 is mounted on the mount table 6. Four downwardly extending frames 7 are fixed to the mount table 6 by screws. An illumination device 8 is arranged at the bottom ends of the frames 7. The ultrasonic wave probe scanner 10 is mounted on the mounted table 6 by an arm 11. A detailed structure of the ultrasonic wave probe scanner 10 is explained below. A drive motor 13 is mounted at a top of a bottom-opened box 12. An upwardly extending rotary screw 14 linked to a rotation shaft of the drive motor 13 meshes with a nut (not shown) mounted on a support member 16 which is mounted on the arm 11. A pair of guide members 15A and 15B which hold the rotary screw 14 therebetween are mounted on the drive motor 13. The guide members 15A and 15B extend through the support member 16 so that they are vertically movable. Another drive motor 17 is mounted on a side of the box 12 which faces the ITV camera 5. A rotary screw 18 horizontally arranged in the box 12 has one end thereof linked to a rotation shaft of the drive motor 17 and the other end thereof supported by a bearing (not shown) mounted on the side of the box 12. A probe holding table 19 engages with the rotary screw 18. Two ultrasonic wave probes 9 are mounted on the probe holding table 19. They are arranged to traverse the rotary screw 18. A pair of fixing guides 21 is arranged in the box 12. An encoder 20 measures the displacement of the ultrasonic wave probe 9 along the axis of the rotating screw 18. The ITV camera 5 and the ultrasonic wave probe 9 constitute a nuclear fuel identification code detection means. The nuclear fuel handling control unit 22 comprises input/output means 23A and 23B, a nuclear fuel handling unit control means 24, a nuclear fuel monitor unit control means 25, a memory 26 and a console panel 27. The input/output means 23A supplies control signals to the drive motors 58, 60, 61 and 62 and receives the position signals representing the displacements X, Y, Z.sub.1 and .theta..sub.1 from the corresponding synchronous signal generators (not shown). The intput/output means 23B supplies control signals to the drive motors 3, 58 and 60 and the drive motor (not shown) which drives the grapple 4, and receives the position signals representing the displacements X, Y, Z.sub.2 and .theta..sub.2 from the corresponding synchronous signal generators (not shown). The input/output means 23A inputs and outputs the signals related to the nuclear fuel handling unit control means 24, and the input/output means 23B inputs and outputs the signals related to the nuclear fuel monitor unit control means 25. The nuclear fuel handling unit control means 24 and the nuclear fuel monitor control means 25 are included in a computer 48. The nuclear fuel identification code monitor 28 has a video signal digitizer 29 and a signal processing microprocessor 30. The microprocessor 30 has a memory 33, an image processing means 31 and a nuclear fuel number identification/discrimination means 32. The video signal digitizer 29 is a kind of A/D converter which converts a video signal (analog signal) sent from the ITV camera 5 to a digital signal. The video signal digitizer 29. The image signal processing means 31 and the fuel number identification/discrimination means 32 are coupled to the input/output means 23B. The nuclear fuel identification code monitor 28 thus constructed identifies the nuclear fuel identification code based on the video signal derived from the ITV camera 5. The nuclear fuel identification code monitor 34 comprises a pulse generation means 35, a signal receive means 36, a probe scanner control means 38 which is constructed by a microprocessor, and a signal processing microprocessor 39. The microprocessor 39 has an ultrasonic wave signal processing means 40, a nuclear fuel number identification means 41, and a memory 42. The pulse generation means 35 is connected to the ultrasonic wave probe 9 and the probe scanner control means 38. The signal receive means 36 is connected to the ultrasonic wave probe 9 and the ultrasonic wave signal processing means 40. The ultrasonic wave signal processing means 40 is coupled to the encoder 20. The probe scanner control means 38 is connected to the drive motors 13 and 17, the encoder 20 and a limit switch 37, and further to the input/output means 23B. The nuclear fuel identification code monitor 34 thus constructed identifies the nuclear fuel identification code based on the reflected wave of the ultrasonic wave derived from the ultrasonic wave probe 9. The nuclear fuel identification code processing unit 43 comprises a fuel number processing means 44 and a memory 45. A numeral 46 denotes a display and a numeral 47 denotes a printer. The display 46 may be mounted on the console panel 27. A structure of the fuel assembly 66 loaded in the fuel rack 65 is explained with reference to FIG. 4. The fuel assembly 66 loaded in the fuel rack 65 is an assembly of used fuel which is taken out of a center of a boiled-water type nuclear reactor. The fuel assembly 66 comprises an upper tie plate 67, a lower tie plate 69, a plurality of fuel rods 70 and a plurality of fuel spacers 71. The top and bottom ends of the fuel rods 70 are held by the upper tie plate 67 and the lower tie plate 69. The fuel spacers 71 are arranged axially of the fuel assembly 66 to keep a predetermined spacing between the fuel rods 70. A channel box 72 mounted on the upper tie plate 67 surrounds a bundle of fuel rods 70 supported by the fuel spacers 71. The upper tie plate 67 has a handle 72 arranged at the top thereof. A nuclear fuel identification number 74 is marked on the top 73 of the handle 72. As shown in FIGS. 5 and 6, the nuclear fuel identification number 74 includes a nuclear fuel identification number 74A coded by recesses 75 having circular cross-sections, and a nuclear fuel identification number 74B which is a combination of alphanumeric characters. Those two types of nuclear fuel identification numbers are marked in parallel on the top 73 of the handle 72. The nuclear fuel identification number 74B can be recognized by a human when he/she looks it but the nuclear fuel identification number 74A cannot be recognized by the human by just looking it. Both of the nuclear fuel identification numbers 74A and 74B are marked by engraving on the top 73 of the handle 72. The nuclear fuel identification number 74A is a combination of the recesses 75 which corresponds to the nuclear fuel identification number 74B. The cross-section of the recess 75 need not necessary be circular but it may be trianglar, square or rectangular, or even oval. In the nuclear fuel identification number 74A, each area sectioned by broken lines 76 corresponds to one character. In FIG. 5, the nuclear fuel identification number 74A represents "2FABC". Each coded symbol of the nuclear fuel identification number 74A is represented by the combination of up to six recesses 75 (two lines of three recesses). Each symbol of the nuclear fuel identification number 74A is a digital signal represented by the presence or absence of the recess 75. FIG. 7 shows a correspondence between the digitized symbols representing the nuclear fuel identification number 74A and the alphanumeric characters (0-9, A-Z). In FIG. 7, the black dot represents the presence of the recess 75 and a white dot represents the absence of the recess 75. It is possible to digitize 36 alphanumeric characters by arranging six recesses 75 (two lines of three recesses) as shown in FIG. 7. A plurality of digital symbols shown in FIG. 7 may be arranged on the top 73 in combination with the characters of the nuclear fuel identification number 74B. Assuming that a diameter of the recess 75 is approximately 1 mm and a spacing W.sub.1 between the recesses 75 in one digital symbol is at least approximately 1 mm, the presence or absence of the recess 75 can be detected by the ultrasonic wave. Since a spacing W.sub.2 between lines of recesses 75 is approximately 3 mm, the nuclear fuel identification number 74A and the nuclear fuel identification number 74B can be marked in parallel on the top 73 having a width of approximately 12 mm. A recess 76 which is used as a reference to read the nuclear fuel identification number 74A is formed on the top 73 of the handle 72. The recess 76 is orthogonal to the side of the handle 72 and it is positioned on the left of the first digital symbol of the nuclear fuel identification number 74A. Without the recess 76, the nuclear fuel identification code monitor 34 cannot specify the nuclear fuel identification number 74A detected by the ultrasonic wave. In the example shown in FIG. 5, the nuclear fuel identification number 74A may be read as either "2FABC" or "CBAF2". If it is determined that the nuclear fuel identification number 74A is to be read from the end adjacent to the recess 76, the number 74 is read as "2FABC". A width W.sub.3 of the recess 76 is either wider or narrower than a width W.sub.4 (diameter) of the recess 75 so that the nuclear fuel identification code monitor 34 can easily discriminate the recess 75 of the digital symbol and the recess 76 of the read reference. The recess 76 need not be linear but it may be circular, triangular or square in cross-section so long as it is positioned on the left of the lines of recesses 75. The fuel assembly 66 having the nuclear fuel identification numbers 74A and 74B marked in parallel on the top 73 of the handle 72 is loaded into the center of the boiled-water type nuclear reactor after the used fuel assembly 66 has been removed from the center of the reactor. The operation of the nuclear fuel identification code reader of the present invention is non explained. An operator specifies, through the console panel 27, the operation of the nuclear fuel handling or the operation of the detector for the nuclear fuel identification code. The specified operation signal is supplied to the computer 48. If the former operation is specified, the nuclear fuel handling unit control means 24 is activated, and if the latter operation is specified, the nuclear fuel monitor control means 25 is activated. It is now assumed that the specified operation is the operation of nuclear fuel handling. Before the function of the nuclear fuel handling unit control means 24 is explained, the operation of the nuclear fuel handling unit 51 in the nuclear fuel handling operation is briefly explained. A plurality of used free assemblies 66 are carried to a predetermined postion in the fuel storage pool 63 from the top thereof while they are loaded in a container. Then, the movable truck 52 and the laterally movable truck 53 are driven to move the clamp 55 above the container. As the grapple 54 descends, the clamp 55 is lowered to the position of the handle 72 of the fuel assembly 66 in the container. After the clamp 55 has held the handle 72, the grapple 54 is raised. When the bottom end of the fuel assembly 66 reaches a level which is a predetermined distance above the top end of the fuel rack 65, the elevation of the grapple 54 is stopped. The movable truck 52 and the laterally movable truck 53 are again driven to move the fuel assembly 16 to a level which is a predetermined distance (specified by the operator through the console panel 27) above the fuel rack 65. When it reaches that level, the grapple 54 is lowered to load the fuel assembly 66 to a predetermined position in the fuel rack 65. The above movement is referred as a movement 1. When the fuel assembly is taken out of the fuel storage pool 63 for fuel processing, the opposite movement (movement 2) is carried out. Namely, the fuel assembly 66 taken out of the fuel rack 65 is loaded into the container. The clamp 55 may be moved, while it does not clamp the fuel assembly 66, from the position of the fuel rack 65 to other position (movement 3), from the position of the fuel rack 65 to the position of the container (movement 4), of from the position of the container to the position of the fuel rack 65 (movement 5). The memory 26 stores data relating to the loading status of the fuel assembly 66 at the respective positions (X-Y ID coordinate) of the fuel rack 65. The memory 26 stores "0" for the position at which the fuel assembly 66 is not loaded, and "1" for the position at which the fuel assembly 66 is loaded. The ID coordinate is not represented by absolute distances on X and Y axises but it is represented by the code applied to the fuel assembly load position. The position signals representing the displacements X, Y, Z.sub.1 and .theta..sub.1 measured by the synchronous signal generators are converted to the digital signals by the input/output means 23A and they are supplied to the nuclear fuel handling unit control means 24 of the computer 48. A limit switch (not shown) mounted on the nuclear fuel handling unit 51 detects when the grapple 55 reaches a grapple upper limit level A and a mount level B of the fuel assembly (in the fuel rack 65 and the container). The detection signal is supplied to the computer 48. The nuclear fuel handling unit control means 24 uses those signals to control and monitor the position of the nuclear fuel handling unit 51. When the used fuel assembly 66 is to be moved in the fuel storage pool 63, the operator specifies N target positions (X-Y ID coordinate) necessary for the fuel handling unit 51 to move the fuel assembly 66, through the console panel 27. The P.sub.l or P which is shown in JP-B-58-21238, column 6, lines 8-10 is also specified through the console panel 27. The nuclear fuel handling unit control means 24 discriminates in the manner described and shown in JP-B-58-21238, column 7, line 26 to column 8, line 11 and FIGS. 3 and 4. That is, the load status of the fuel assembly 66 at the target position, the correctness of the data (P.sub.l or P) specified by the operator and the open/close status of the clamp 55 are checked, and if the check result is normal, the control signal for the corresponding movement (one of the movements 1-5) is supplied to the nuclear fuel handling unit 51 to control the corresponding movement. If the check result is not normal, the nuclear fuel handling unit control means 24 inhibits the start of the nuclear fuel handling unit 51. When the fuel assembly 66 is moved by the nuclear fuel handling unit 51, the data on the load status of the fuel assembly 66 at the respective positions of the fuel rack 65, which is stored in the memory 26, is updated as the movement proceeds. When the operator specifies the operation of the detector for the nuclear fuel identification code, the nuclear fuel monitor unit control means 25 moves the sensor handling unit 1 in accordance with the process (steps 77A-77M) of FIG. 8. This is explained in detail below. When a monitor operation signal is supplied from the console panel 27 (step 77A), a step 77B is carried out. The position signals representing the displacements X, Y, Z.sub.2 and .theta..sub.1 measured by the respective synchonous signal generators are converted to the digital signals by the input/output means 23B and they are supplied to the nuclear fuel monitor control means 25 of the computer 48. Levels L.sub.1 and L.sub.2 are detected by the limit switch (not shown) mounted on the sensor handling unit 1. Those detection signals are supplied to the nuclear fuel monitor control means 25, which uses those signals to control and monitor the position of the sensor handling unit 1. The level L.sub.1 (FIG. 2) is set at the bottom end of the ITV camera 5 when the nuclear fuel identification number is monitored so that the illumination device 8 does not contact to the top 73 of the handle 72 of the fuel assembly 66 in the fuel rack 65. The level L.sub.2 is set substantially above the level L.sub.1, at a position where the ITV camera 5 is positioned when the nuclear fuel identification number is not detected. The sequence of the fuel assemblies 66 for which the nuclear fuel identification numbers are detected is predetermined and stored in the memory 26. The sequence is shown in FIG. 1 by a chain line 49 starting at a point K.sub.1. It is in the order of the positions K.sub.i (i=1, 2, . . . n.sub.1) shown in the X-Y ID coordinate for the fuel rack 65. In a step 77B, the grapple 4 is lowered, and when the bottom end of the ITV camera 5 reaches the level L.sub.1, the descend of the grapple 4 is stopped. Then, i is set to "1" (step 77C). Whether i=n.sub.1 or not is checked (step 77D). If it is, a step 77E is carried out, and if it is not, a step 77M is carried out. In a step 77F following to the step 77E, the movable truck 52 and the laterally movable truck 53 are driven so that the ITV camera 5 reaches on the fuel assembly 66 which is at the position K.sub.i for which the nuclear fuel identification number is to be detected. When i=1, the ITV camera 5 is set to the start position K.sub.1. When the ITV camera 5 reaches the position K.sub.i, a start of detection signal S.sub.1 for the nuclear fuel identification number 74B by the ITV camera 5 is produced (step 77G). The start of detection signal S.sub.1 is supplied to the nuclear fuel identification code monitor 28, the ITV camera 5 and the illumination device 8. When the nuclear fuel identification code monitor 28 receives the start of detection signal S.sub.1, it starts to receive and process the video signal produced by the ITV camera 5. Upon receipt of the signal S.sub.1, the ITV camera 5 starts to pick up the image and the illumination device 8 is turned on. The image pick-up operation of the ITV camera 5 and the turn-on of the illumination device 8 may be started by the input of the signal S.sub.1 at the position K.sub.1 and continued until the image pick-up at the position K.sub.n is completed, instead of repetitively turning on and off at each position. In a step 77H, a discrimination signal J is received. The discrimination signal J is produced by the nuclear number identification/discrimination means 32 when the processing of the video signal relating to one fuel assembly 66 is completed in the nuclear fuel identification code monitor 28. The fuel member identification/discrimination means 32 produces a "0" discrimination signal J when all characters of the fuel identification number 74B detected by the ITV camera 5 are recognized by the image processing, and produces a "1" discrimination signal J when all characters are not recognized. After the step 77H, whether the discrimination signal J is "1" or "0" is checked (step 77I). If the discrimination signal J is "0", a step 77D is carried out, and if the signal J is "1", a step 77J is carried out In the step 77J, the laterally movable truck 53 (or the movable truck 52) is driven to move the ultrasonic wave probe 9 on the position K.sub.i. When the ultrasonic wave probe 9 reaches the position K.sub.i, a start of detection signal S.sub.2 for the nuclear fuel identification number 74A by the ultrasonic wave probe 9 is produced (step 77K). The start of detection signal S.sub.2 is supplied to the probe scanner control means 38 of the nuclear fuel identification code monitor 34 to effect the detection of the nuclear fuel identification number 74A by the ultrasonic wave probe 9 and the recognition of the nuclear fuel identification number 74A by the nuclear fuel identification code monitor 34. The probe scanner control means 38 produces an end of ultrasonic wave scan signal E.sub.1 when the scan of the ultrasonic wave probe 9 to detect the fuel identification number 74A is over. When the nuclear fuel monitor control unit 25 receives the end signal E.sub.1 (step 77L), it carries out the decision of the step 77D. If the decision in the step 77D is YES, the grapple 4 is elevated to elevate the ITV camera 5 to the level L.sub.2 (step 77M). In this manner, the nuclear fuel identification numbers of all fuel assemblies 66 in the fuel storage pool 63 are monitored. The nuclear fuel monitor control means 25 drives the ITV camera 5 which is the optical sensor for the nuclear fuel identification number onto the fuel assembly 66 under consideration, and when the fuel identification number 74B detected by the ITV camera 5 is hard to be recognized, the ultrasonic wave probe 9 which is the ultrasonic wave sensor is driven onto the fuel assembly 66 to detect the fuel identification member 74A. The operation of the nuclear fuel identification code monitor 28 when it receives the start of detection signal S.sub.1 produced by the nuclear fuel monitor unit control means 25 is explained. When the start of detection signal S.sub.1 is received, the video signal digitizer 29 starts the A/D conversion of the video signal for the top 73 of the handle 72 picked up by the ITV camera 5. The image signal converted to the digital signal by the video signal digitizer 29 is supplied to the memory 33 in 1/30 second and stored therein. The image processing means 31 carries out the process shown in FIG. 9. Upon receipt of the start of detection signal S.sub.1, the image processing means 31 receives the video signal stored in the memory 33 (step 78A). It extracts the image signal of the nuclear fuel identification number 74B marked on the fuel assembly 66 under consideration, from the input image signal (step 78B). The extracted image signal is processed for noise elimination (step 78C) and contrast enhancement (step 78D). Then, the image signal is binarized to generate character patterns for all characters (n.sub.2, n.sub.2 =5 in the present embodiment) of the detected nuclear fuel identification number 74B (step 78E). Those character patterns are generated as two-dimension character patterns P.sub.jk (l) (j=1-M, k=1-N, l=1-n.sub.2) having M.times.N picture elements The n.sub.2 generated character patterns are supplied to the fuel number identification/discrimination means 32 in the sequence of the characters of the nuclear fuel identification number 74B (step 78F). When the nuclear fuel number identification/discrimination means 32 receives the character patterns of the characters of the nuclear fuel identification number 74B, it carries out a process comprising steps 79A-79K shown in FIG. 10. The nuclear fuel number identification/discrimination means 32 receives the n.sub.2 character patterns P.sub.jk (l) (step 79A) and carries out the steps 79B and 79C to read n.sub.3 standard character patterns Q.sub.jk (m) from the memory 33. In the present embodiment, 36 standard character patterns Q.sub.jk (m) (m=1-n.sub.3) including 0-9 and A-Z shown in FIG. 11 are stored in the memory 33, and n.sub.3 =36. Those standard character patterns correspond to the engraved characters of the nuclear fuel identification number 74B. In a step 79E, a similarity I(m) between the character patterns P.sub.jk (l) and the n.sub.3 standard character patterns Q.sub.jk (m) are calculated in accordance with a formula (1). ##EQU1## The similarity I(m) calculated in accordance with the formula (1) is 1.0 when the character patterns P.sub.jk (l) and the standard character patterns Q.sub.jk (m) fully match. It does not exceed 1.0. In a step 79F, whether a maximum one (max I(m)) of the I(m) calculated for the character patterns P.sub.jk (l) is larger than a predetermined threshold S or not. When max I(m) is close to 1.0, it indicates that the ITV camera 5 has detected the characters of the nuclear fuel identification number 74 to a sufficient extent to permit the recognition. When max I(m) is around 0.6, it means that the characters of the nuclear fuel identification number 74B cannot be sufficiently detected because of the deposition of soft clad. The threshold S is to be determined by taking the above into account. When max I(m) is smaller than the threshold S (the decision in the step 79F is NO), the "1" discrimination signal J is supplied to the input/output means 23B of the nuclear fuel handling unit control means 22 in order to detect the nuclear fuel identification number 74A by the ultrasonic probe 9 (step 79K). In the step 79F, the necessity of the detection of the nuclear fuel identification number 74A by the ultrasonic wave sensor, that is, the necessity of the movement of the ultrasonic wave probe 9 onto the fuel assembly 66 under consideration is checked. If the decision in the step 79F is YES, the characters of the character patterns P.sub.jk (l) are recognized as the characters corresponding to the standard character patterns Q.sub.jk (m) having the similarity max I(m) (step 79G). If the decision in the step 79H is NO, the steps 79C et seq are repeated. If the decision is YES, a step 79I (output of the "0" discrimination signal J) is carried out. The "0" discrimination signal J is also supplied to the input/output means 23B. Finally, the n characters (2FABC) recognized in the step 79J are supplied to the nuclear fuel number processing means 44 of the nuclear fuel identification code processing unit 43. The character recognition technique carried out by the nuclear fuel number identification/discrimination means 32 is a two-dimension template matching method. The operation and process of the nuclear fuel identification code monitor 34 when the nuclear fuel number identification/discrimination means 32 produces the "1" discrimination signal J in the step 79K and the nuclear fuel monitor control means 25 produces the start of detection signal S.sub.2 are now explained. The process of the probe scan control means 38 is shown in FIG. 12. When it receives the start of detection signal S.sub.2 (step 80A) it sends a drive signal to the drive motor 13 (step 80B). As the drive motor 13 rotates, the rotary screw 14 is rotated and the box 12 which accommodates the ultrasonic wave probe 9 is moved down. When the start of detection signal S.sub.2 is generated, the ultrasonic wave probe scanner 10 has already been located above the fuel assembly 66 under consideration. As a result, the handle 72 of the fuel assembly 66 is inserted between the pair of fixing guides 21 in the descending box 12. When the limit switch 37 contacts to the top 73 of the handle 72, it produces an activation signal. When the probe scanner control means 38 receives the activation signal, it stops the rotation of the drive motor 13. In a step 80D, a start of ultrasonic wave scan signal S.sub.3 is generated. The start signal S.sub.3 is supplied to the pulse generation means 35 and the ultrasonic signal processing means 40. When the pulse generation means 35 receives the start signal S.sub.3, it produces an electrical pulse to cause the ultrasonic wave probe 9 to generate an ultrasonic wave. The ultrasonic wave generated by the ultrasonic wave probe 9 is irradiated to the top 73 of the handle 72. After the step 80D, a drive signal is supplied to the drive motor 17 (step 80E). As the drive motor 17 rotates, the rotary screw 18 is rotated and the probe support table 19 which accommodates the ultrasonic probe 9 is moved from the right to the left in FIG. 2. Since the fixing guides 21 contact to the top 73 of the handle 72, the ultrasonic wave probe 9 is moved laterally while the distance to the top 73 is kept constant. One of the pair of ultrasonic wave probe 9 mounted on the probe support table 19 moves on an extended line of an arrow R.sub.1 (FIG. 5) and the other moves on an extended line of an arrow R.sub.2 which is parallel to the arrow R.sub.1. In the present embodiment, the pair of ultrasonic wave probes 9 can substantially simultaneously detect the two lines of recesses 75 of the nuclear fuel identification number 74A. When the ultrasonic wave probe 9 reaches the end point of scan, it is detected by the encoder 20. The detection signal (position signal of the ultrasonic wave probe 9) of the encoder 20 is supplied to the probe scanner control means 38. When the ultrasonic wave probe 9 reaches the end point of scan, the probe scanner control means 38 stops the drive motor 17 and produces an end of ultrasonic wave scan signal E.sub.1 (step 80E). After the step 80F, it drives the drive motor 13 to elevate the ultrasonic wave probe 9 to a predetermined position (step 80G). Then, the detection of the nuclear fuel identification number 74A by the ultrasonic wave probe 9 is terminated. As described above, the ultrasonic wave probe 9 irradiates the ultrasonic wave to the top 73 of the handle 72 and receives the reflected ultrasonic wave from the top 73. A relationship between a horizontal position of the ultrasonic wave probe 9 driven by the drive motor 17 and the reflected ultrasonic wave is shown in FIG. 13. The reflected wave in FIG. 13 is detected by the ultrasonic probe 9 which is moved on the extended line of the arrow R.sub.1. The ultrasonic wave generated by the ultrasonic wave probe 9 is mostly reflected by the area of the top 73 which has no recess 75, and the reflected ultrasonic wave is received by the ultrasonic wave probe. However, since the bottom of the recess 75 is arcuate as shown in FIG. 6, the ultrasonic wave is scattered in the area of recess 75 and little reflected wave reaches the ultrasonic wave probe 9. Accordingly, the amplitude of the reflected wave is zero in the area of recess 75. Clad may deposit on the top 73 of the handle 72 of the fuel assembly 66 which the fuel assembly 66 is loaded in the center of the nuclear reactor and a portion of the recesses 75 may be covered by the clad. Even if the recesses 75 on the top 73 of the handle 72 of the fuel assembly 66 loaded in the fuel rack 65 is covered by the clad, it is possible to detect the recesses 75 by the ultrasonic wave. This is due to the fact that there is no substantial difference between acoustic impedances of water and water-containing clad (primary constituent is ferric oxide). The reflected wave signal detected by the ultrasonic wave probe 9 is supplied to the signal receive means 36. In the reflected wave signal of FIG. 13, a zero reflected wave output area having a width W.sub.3 corresponds to the recess 76 which is the read reference recess. Other zero reflected wave output areas correspond to the recesses 75. As a method for detecting the digitized recesses 65 of the nuclear fuel identification number 74A, one of the following methods may be adopted: 1) two-dimensionally scanning one ultrasonic wave probe, 2) linearly scanning a plurality of parallelly arranged ultrasonic wave probes, and 3) two-dimensionally scanning the ultrasonic wave beam by electronically switching ultrasonic wave probes by using an array sensor having a plurality of ultrasonic wave probes arranged two-dimensionally to cover the entire area of the nuclear fuel identification number 74A. The present embodiment adopts the method 2). The signal receive means 36 converts the input reflected wave signal to "1" and "0" pulse signals. The zero reflected wave output is converted to "1" and non-zero reflected wave output is converted to "0". The output signal (pulse signal) of the signal receive means 36 and the position signal of the ultrasonic wave probe 9 detected by the encoder 20 are supplied to the ultrasonic wave signal processing means 40, which carries out a process comprising steps 81A-81E shown in FIG. 14. The pulse signal corresponding to the read reference recess 76 detected, and the position of the ultrasonic wave probe 9 where the pulse signal was generated are determined based on the input signals supplied in the step 81A (step 81B). The pulse signal corresponding to the recess 76 can be readily detected because it is narrower (in the area of "1") than the pulse signals corresponding to the recesses 75. The presence or absence of the recesses 75 is detected and the positions of the recesses 75 are determined relative to the recess 76 (step 81C). The pulse signal corresponding to the recess 75, that is, the pulse signal having the pulse width W.sub.4 is detected and the position of the ultrasonic wave probe 9 corresponding to the pulse signal of the pulse width W.sub.4 is determined. Based on the data of the position of the recess 75 determined in the step 81C, the presence or absence of the recess 75 at six predetermined positions is determined in five areas sectioned by the broken lines 76 of the nuclear fuel identification number 74A of FIG. 5, and signals "0" or "1" are assigned to the six predetermined positions, with each predetermined position being a unit (step 81D). Thus, the digital pattern signals for the units, which are "1" if the recesses 75 are present and "0" if the recesses 75 are not present, are produced. A unit number of digital pattern signals corresponding to the number of characters (n.sub.2) of the nuclear fuel identification number 74B are supplied to the fuel number recognition means 41 in sequence starting-from the recess 76 (step 81E). The fuel number recognition means 41 carries out a process comprising steps 82A-82C shown in FIG. 15. The memory 42 stores the correspondence between the standard digital patterns which represent the presence or absence of the recesses 75 shown in FIG. 7 and the characters (alphanumeric). The fuel number recognition means 41 reads the standard digital patterns corresponding to the digital patterns for the respective units received in the step 82A, from the memory 42, and recognizes the characters corresponding to the standard digital patterns as the characters for the digital pattern signals (step 82B). The fuel number recognition means 41 supplies the n.sub.2 characters (2FABC) recognized for the fuel identification number 74A to the fuel number processing means 44 (step 82C). Thus, the detection of the nuclear fuel identification number marked on the handle 72 of the fuel assembly 66 by the nuclear fuel identification code monitor 28 or 34, and the recognition of the detected nuclear fuel identification number as characters are terminated. The fuel number processing means 44 receives the characters of the nuclear fuel identification number recognized by the nuclear fuel identification code monitor 28 or 34, and the X-Y ID coordinates of the positions K.sub.i based on the values X and Y inputted to the nuclear fuel monitor control means 25. The fuel number processing means 44 stores the recognized characters of the nuclear fuel identification number and the X-Y ID coordinates of the positions K.sub.i in an associated manner, and displays them on the display 46 and prints them out by the printer 47. Since the recognized characters of the nuclear fuel identification number and the X-Y ID coordinates are associated, the nuclear fuel identification number of the fuel assembly 66 loaded at the position K.sub.i of the fuel rack 65 in the fuel storage pool 63 can be readily determined. In accordance with the nuclear fuel identification code reader of the present embodiment, the following advantages are offered. Since the nuclear fuel identification number 74B marked by the letters is recognized by the optical sensor and the nuclear fuel identification code monitor 28, the fuel assembly 66 in the fuel storage pool can be identified in a short time. Even if it is difficult to recognize the letters of the nuclear fuel identification number 74B based on the video signal from the optical sensor (due to the deposition of the clad to the handle 72 of the fuel assembly 66 under consideration), it is possible to readily recognize the letters of the nuclear fuel identification number by the ultrasonic wave sensor and the nuclear fuel identification monitor 34. By the combined use of the detection of the primary nuclear fuel identification number by the optical sensor and the detection of the supplementary nuclear fuel identification number by the ultrasonic wave sensor, the nuclear fuel identification numbers marked on all fuel assemblies in the fuel storage pool 63 can be detected in a very short time with an accuracy of essentially 100% (99.99%). By preferentially using the detection by the optical sensor to the fuel assembly 66 and supplementarily using the detection by the ultrasonic wave sensor in case the letters of the nuclear fuel identification number 74B cannot be recognized based on the information derived from the optical sensor, the above advantages, particularly the reduction of the detection time, are remarkable. In the present embodiment, for those of the fuel assemblies 66 stored in the fuel storage pool 63 whose nuclear fuel identification number 74B cannot be recognized by the optical sensor, the detection of the nuclear fuel identification number 74A by the ultrasonic wave sensor is effected. The automatic reading of the nuclear fuel identification number may also be effected. In the present embodiment, since the ultrasonic wave sensor detects the digitized recesses 75 formed on the top 73 of the handle 72 of the fuel assembly 66, the processing time for recognizing the letters can be significantly reduced compared to that required in detecting the letters themselves by the ultrasonic sensor. In the present embodiment, the structure of the ultrasonic wave probe scanner and the structure of the associated nuclear fuel identification code monitor (especially a processing program) can be simplified compared to a case where the letters themselves are detected by the ultrasonic wave sensor. The provision of the read reference recess 76 on the top 73 of the handle 72 facilitates the recognition of the letters of the nuclear fuel identification number 74A based on the reflected ultrasonic wave. Since both the digitized (coded) nuclear fuel identification number 74A and the nuclear fuel identification number 74B in letters are marked on the top 73 of the handle of the fuel assembly 66, the detection by the optical sensor and the detection by the ultrasonic wave sensor are facilitated. The provision of the nuclear fuel identification number 74B also permits visual recognition by a human. Since the sensor handling unit 1 is provided in the nuclear fuel handling unit 51, a portion of the nuclear fuel handling unit 51 can be utilized as the nuclear fuel identification code reader and the entire construction can be very compact. In other words, the fuel assembly may be moved by the nuclear fuel identification code reader. In FIG. 1, separate movable truck and laterally movable truck such as grapple 54 for handling the fuel may be provided, although the construction may be complex. The nuclear fuel handling unit control means 24 may also be assembled in a separate computer. The mounting of the ITV camera 5 and the ultrasonic wave probe scanner 10 on one grapple 4 significantly contributes to the simplification of the structure. Since the drive mechanism (the drive motor 13 and the rotary screw 14) for moving up and down the ultrasonic wave probe 9 is provided separately from the grapple 4, the positioning of the ultrasonic probe 9 above the fuel assembly is facilitated. Since the nuclear fuel identification number 74A including the recesses 65 is marked on the top 73 of the fuel assembly 66, the processing time required for the character recognition based on the reflected ultrasonic wave is essentially same as the processing time required for the character recognition based on the detection of the nuclear fuel identification number 74B by the ITV camera 5. However, the detection of the nuclear fuel identification number 74B by the ITV camera 5 can be continuously effected while the movable truck 52 and the laterally movable truck 53 are driven, but the detection of the nuclear fuel identification number 74A by the ultrasonic sensor should repeat the start and stop of the movement of the movable truck 52 and the laterally movable truck 5 and the start and stop of the scan of the ultrasonic wave probe 9 for each fuel assembly 66. Accordingly, the time required to recognize the nuclear fuel identification numbers of all fuel assemblies is shorter when both the character recognition for the fuel identification number 74B based on the video signal from the optical sensor and the character recognition based on the reflected wave by the ultrasonic wave sensor are used than when the characters of the nuclear fuel identification number 74A are recognized by the ultrasonic wave sensor. Another embodiment of the nuclear fuel identification code reader of the present invention is explained with reference to FIGS. 16 and 17. The like elements to those shown in the embodiment of FIG. 1 are designated by the like numeral. Most elements of the present embodiment are identical to those of the embodiment of FIG. 1. In the present embodiment, the nuclear fuel identification code processing unit 43 in the embodiment of FIG. 1 is replaced by a nuclear fuel identification code compare unit 83. Configuration and operation of the nuclear fuel identification code compare unit 83 are explained below. The nuclear fuel identification code compare unit 83 has a memory 45 and fuel number compare means 84. The fuel number compare means 84 receives the letters of the nuclear fuel identification number recognized by the nuclear fuel identification code monitor 28 or 34, and also receives an X-Y ID coordinate of a position K.sub.i based on the values X and Y inputted to the nuclear fuel monitor control means 25 (step 85A). The memory 45 stores the nuclear fuel identification number (in letters) of the fuel assemblies 66 at each position K.sub.i of the fuel rack in the fuel storage pool 63. Those are previously detected data. The memory 45 also stores data representing the load status of the fuel assembly 66 fetched from the memory 26 by the fuel number compare means 84. This data indicates the presence or absence of the fuel assembly 66 at each position K.sub.i of the fuel rack 65. The fuel number compare means 84 compares the X-Y ID coordinate of the position K.sub.i inputted currently and the letters of the nuclear fuel identification number for the position K.sub.i with the corresponding past data read from the memory 45 (step 85B), and determines the matching (step 85C). The comparison result is stored in the memory 45, displayed on the display 46 and printed out by the printer 47. If the result in the result in the step 85C is non-match, a buzzer is sound to alarm to the operator. In this manner, the present embodiment can attain the same advantages as those of the embodiment of FIG. 1, and it is particularly effective in the recognition of the fuel assembly 66 where the fuel assembly 66 is to be stored in the fuel storage pool 6 for an extended period. By the comparison of the letters of the current nuclear fuel identification number and the past data previously detected, the storage of the fuel assembly 66 having the identical nuclear identification number in the fuel storage pool 63 can be readily checked. Other embodiment of the nuclear fuel identification code reader of the present invention is explained below. As shown in FIG. 18, the present embodiment uses a nuclear fuel identification code monitor 28A in place of the nuclear fuel identification code monitor 28 of FIG. 1. The nuclear fuel identification code monitor 28A comprises, in addition to the elements of the nuclear fuel identification code monitor 28, ITV camera control means 86 which receives a start of detection signal S.sub.1 from the nuclear fuel monitor control means 25. The ITV camera control means 86 generates a start of image pickup trigger signal to the ITV camera 5, a turn-on signal to the illumination unit 8, a start of input signal for the video signal to the video signal digitizer 29, and a start of image processing signal to the image processing means 31, in response to the input start of detection signal S.sub.1. When the ITV camera 5, the illumination unit 8, the image signal digitizer 29 and the image processing means 31 receives those signals, they carry out the functions assigned thereto as they do in the embodiment of FIG. 1. The present embodiment also attains the same advantages as those of the embodiment of FIG. 1. A software implemented embodiment of the image processing means 31 and the fuel number identification/discrimination means 32 of the microprocessor 30 used in the nuclear fuel identification code monitor 28 shown in FIG. 1 is explained with reference to FIG. 19. A microprocessor 30A which corresponds to the microprocessor 30 has input means 87A, output means 87B, a CPU 87C, a RAM 87D and a ROM 87E. The input means 87A is connected to the video signal digitizer 29 and the input output means 23B. The output means 87B is connected to the input/output means 23B and the fuel number processing means 44. An internal bus 87F connects the input means 87A, the output means 87B, the CPU 87C, the RAM 87D and the ROM 87E in the microprocessor 30A. The function of the memory 33 of the microprocessor 30 is effected by the RAM 87D. The output of the video signal digitizer 29 is stored in the RAM 87D. The ROM 87E stores the processing steps shown in FIGS. 9 and 10 with the steps 78F and 79A being removed and the step 79B being executed after the step 78E. In the present embodiment, the memory 33 of FIGS. 9 and 10 is replaced by the RAM 87D. The CPU 87C recognizes the letters of the nuclear fuel identification number 74B based on the video signal from the ITV camera 5 in accordance with the process stored in the ROM 87E. A software implemented embodiment of the ultrasonic signal processing means 40 and the fuel number identification means 41 of the microprocessor 39 used in the nuclear fuel identification code monitor 34 of FIG. 1 is explained with reference to FIG. 20. A microprocessor 39A corresponding to the microprocessor 39 has input means 89A, output means 89B, a CPU 89C, a RAM 87D and a ROM 89E which are interconnected through an internal bus 89F. The input means 89A is connected to the encoder 20 and the probe scanner control means 38. The output means 89B is connected to the fuel number processing means 44. The function of the memory 42 of the microprocessor 39 is effected by the RAM 87D. The processing steps shown in FIGS. 14 and 15 with the steps 81E and 82A being removed and the step 82B being executed after the step 81D are stored in ROM 87E. The CPU 87C recognizes the letters of the nuclear fuel identification number 74A based on the reflected ultrasonic wave in accordance with the process stored in the ROM 89E. In the embodiment of FIG. 1, the same advantages are attained when the microprocessor 30 is replaced by the microprocessor 30A and the microprocessor 39 is replaced by the microprocessor 39A. The recesses 75 of the nuclear fuel identification number 74A marked on the top 73 of the handle 72 of the fuel assembly 66 shown in FIG. 5 may be of an upside-down conical shape at the bottom as shown in FIG. 21. Preferably, the bottom of the recess 75 does not have a flat area which is parallel to the top 73. With such a shape, the scatter of the ultrasonic wave radiated to the recess 75 is violent and the reflected wave from the recess 75 back to the ultrasonic wave probe 9 is very little. As a result, the detection of the recess by the ultrasonic wave is facilitated. The technical concept of the above embodiments may be utilized in recognizing the nuclear fuel identification number marked on a fuel assembly of a pressured water type nuclear reactor. Other embodiment of the nuclear fuel identification code reader of the present invention is explained with reference to FIG. 22. Unlike the above embodiments, the nuclear fuel identification code reader of the present embodiment can be applied to a fuel assembly having no nuclear fuel identification code 74A marked on the top 73 of the handle 72. It can also recognize the nuclear fuel identification code 74B marked on the top 73 of the handle 72 by any one of the output signals of the optical sensor and the ultrasonic wave sensor. The constructions of the nuclear fuel handling unit 51 and the sensor handling unit 1 of the present embodiment are identical to those of the embodiment of FIG. 1. In the present embodiment, the nuclear fuel handling control unit 22, the nuclear fuel identification code monitors 28 and 34, and the nuclear fuel identification code processing unit 43 in FIG. 1 are replaced by a nuclear fuel handling control unit 100, nuclear fuel identification code monitors 250 and 260 and a data processing unit 240, respectively. The nuclear fuel handling control unit 100 has input/output means 23A and 23B, a nuclear fuel handling unit control means 24 and nuclear fuel detection unit control means 101. The input/output means 23A and 23B input and output signals similar to those for the nuclear fuel handling control unit 22 between the nuclear fuel handling unit 51 and the sensor handling unit 1. The nuclear fuel handling unit control means 24 and the nuclear fuel detection unit control means 101 are included in a computer 48A. The nuclear fuel identification code monitor 250 comprises image processing means 140, a video signal digitizer 150, a video frame memory 160, fuel number identification/discrimination means 170 and illumination control means 180. The functions of the image processing means 140, the image frame memory 160 and the fuel number identification/discrimination means 170 are effected by a microprocessor 30A. The illumination control means 180 may also be constructed by the microprocessor. The video signal digitizer 150 has the same function as the video signal digitizer 29. The fuel number identification/discrimination means 170 is coupled to the input/output means. The nuclear fuel identification code monitor 250 reads the nuclear fuel identification code by the video signal produced by the ITV camera 5. The nuclear fuel identification code monitor 260 comprises a signal processing microprocessor 39A, ultrasonic wave scanner control means 190 and ultrasonic wave transmit/receive means 200. The microprocessor 39A has the functions of the ultrasonic wave signal processing means 210 and the fuel number identification means 200A. The ultrasonic wave scanner control means 190 may also be constructed by the microprocessor. The ultrasonic wave transmit/receive means 200 comprises pulse generation means 35 and signal receive means 36. The pulse generation means 35 is connected to the ultrasonic wave probe 9 and the ultrasonic wave scanner control means 190. The signal receive means 36 is connected to the ultrasonic wave probe 9 and the ultrasonic wave signal processing means 210. The ultrasonic wave signal processing means 210 is coupled to the encoder 20 and the fuel number identification means 200. The ultrasonic wave scanner control means 190 is connected to the drive motors 13 and 17, the encoder 20 and the limit switch 37, and also to the input/output means 23B and the fuel number identification means 200A. The fuel number identification means 200 is coupled to the input/outptu means 23B and the fuel number identification means 170. The nuclear fuel identification code monitor 260 thus constructed recognizes the nuclear fuel identification code based on the reflected ultrasonic wave from the ultrasonic wave probe 9. The data processing unit 100 comprises fuel number processing means 44, a memory 45 and overall control means 241. The fuel number control means 44 is connected to the display 46, the printer 47, the fuel number identification/discrimination means 170 and the fuel number identification means 200A. The overall control means 241 is connected to the input/output means 23A and 23B, the fuel number identification/discrimination means 170 and the fuel number identification means 200. The memory 45 is connected to the fuel number processing means 44 and the overall control means 241. The console panel 270 is connected to the overall control means 241. The display 46 and the printer 47 may be arranged on the console panel 270. The fuel assemblies 66A (BWR fuel assemblies) whose nuclear fuel identification numbers are to be read by the present embodiment are loaded in the fuel rack 65. The fuel assembly 66A is of the same structure as the fuel assembly 66. However, unlike the fuel assembly 60, the fuel assembly 66A has no nuclear fuel identification number 74A marked on the top 73 of the handle 72. The recess 76 and engraved nuclear fuel identification number 74B are marked on the top 73 of the fuel assembly 66A. The recess 76 has the same function as that in the embodiment of FIG. 1. The operation of the nuclear fuel identification code reader of the present embodiment is now explained. The operator designates the activation of one of the nuclear fuel handling operation and the nuclear fuel identification code detection unit through the console panel 270. The designated activation signal is supplied to the computer 48A. If the former activation signal is designated, the nuclear fuel handling unit control means 24 is activated, and if the latter activation signal is designated, the nuclear fuel detection unit control means 101 is activated. It is assumed that the designated operation is the nuclear fuel handling operation. The nuclear fuel handling activation signal designated by the operator through the console panel 270 is supplied to the nuclear fuel handling unit control means 24 through the overall control means 241 and the input/output means 23A. Then, the nuclear fuel handling unit 51 is controlled by the nuclear fuel handling unit control means 24 as it is done in the embodiment of FIG. 1. Like the memory 26, the memory 45 stores the data relating to the load status of the fuel assembly 66A at each position in the fuel rack 65. The data in the memory 45 is updated when the load status of the fuel assembly 66A in the fuel rack 65 is changed by the movement of the used fuel assembly 66A by the nuclear fuel handling unit 51. The data is updated by the overall control means 241, which receives the related information from the nuclear fuel handling unit control means 24. When the activation of the detection unit is designated by the operator through the console panel 270, the overall control means 241 produces the detection unit activation signal. This signal is supplied to the nuclear fuel detection unit control means 101 through the input/output means 23B. The overall control means 241 reads the positions of the fuel assemblies 66A (positions K.sub.i on the chain line 49 starting at point K.sub.1) whose nuclear fuel identification numbers are to be detected, from the memory 45 and sequentially supplies them to the input/output means 23B at a predetermined time interval. The nuclear fuel detection unit control means 101 receives those signals and controls the movement of the sensor handling unit 1 in accordance with a process shown in FIG. 24. The process shown in FIG. 24 is essentially identical to the process shown in FIG. 8. The process shown in FIG. 24 is different from the process shown in FIG. 8 in that a step 77N is executed after the step 77F, and a step 77P is executed after the step 77J. The step 77N outputs the position V.sub.i of the ITV camera 5 determined based on the position signal representing the displacements X and Y measured by the synchronous signal generators. The step 77P outputs a position W.sub.i of the ultrasonic wave probe 9 determined based on the position signal representing the measured displacements X and Y. The position signal W.sub.i is produced when the fuel number identification means 170 produces a "1" output signal J (which is produced when all letters of the fuel identification number 74B are not recognized). Signals representing the positions V.sub.i and W.sub.i are produced by the input/output means 23B and supplied to the fuel number identification means 170 and the fuel number identification means 200A. Like the nuclear fuel monitor control means 25, the nuclear fuel detection unit control means 101 drives the ITV camera 5 which is the optical sensor for the nuclear fuel identification number onto the fuel assembly 66A under consideration, and drives the ultrasonic wave probe 9 which is the ultrasonic wave sensor onto the fuel assembly 66A for the detection of the fuel identification number 74B when it is difficult to recognize the fuel identification number 74B detected by the ITV camera 5. Through the step 77F, the movable truck 52 and the laterally movable truck 53 are driven and the ITV camera 5 is first moved toward the position K.sub.1. The fuel number identification means 170 receives the positions V.sub.i (X-Y ID coordinate) of the ITV camera 5 which are supplied from time to time by the input/output means 23B through the step 77M. A portion of the process of the fuel number identification/discrimination means 170 is explained with reference to FIG. 27. The fuel number identification/deiscrimination means 170 inputs, in a step 79L, the predetermined position K.sub.i (initially K.sub.1) supplied by the overall control means 241. In a step 79M, the position V.sub.i is inputted. The position V.sub.i is compared with the position K.sub.i (step 79N). If the decision in the step 79N is YES, it means that the ITV camera 5 is on the fuel assembly 66A which is at the predetermined position K.sub.i. At this point, the fuel number identification/discrimination means 170 supplies the illumination unit turn-on signal to the illumination control means 180 although it is not shown in FIG. 27. The illumination control means 180 turns on the illumination unit 8 in response to this signal. The illumination unit turn-on signal is produced only when the initial position V.sub.1 matches to the initial predetermined position K.sub.1. Then, the illumination unit 8 is kept turned on until the reading of the nuclear fuel identification codes for a predetermined number of fuel assemblies 66A is completed. The fuel number identification/discrimination means 170 produces a start of A/D conversion signal through the step 79P substantially simultaneously with the output of the illumination unit turn-on signal. The video signal digitizer 150 starts the A/D conversion of the video signal for the top 73 of the handle 72 imaged by the ITV camera 5. The image signal converted to the digital signal by the video signal digitizer 29 is supplied to the image frame memory 160 in 1/30 second and stored in the image frame 160. The image processing means 140 executes the process in accordance with the steps shown in FIG. 25. The process shown in FIG. 25 includes steps 78G-78I in addition to the steps shown in FIG. 9. After the steps 78A-78C, the step 78D is carried out. In the step 78D, one of intensity levels 0-255 is assigned to each of a number of pixels corresponding to one character (MXN pixels) in accordance with an image signal level of the corresponding portion. The rank 0 is darkest and the rank 255 is brightest. In the step 78G, a frequency distribution of the rank (FIG. 26) is determined in accordance with the intensity rank of each pixel. The frequency distribution is a distribution of number of pixels having the same intensity rank. A difference between a minimum frequency distribution and a maximum frequency distribution is compared with a predetermined value (step 78H). If the difference is not greater than the predetermined value, it means that the status in the binarization is not good, and a change of intensity signal is produced (step 78I). The illumination control means 180 receives the change of intensity signal to increase the intensity of the illumination unit 8. The time required for processing the above can be reduced to approximately 0.1 second by using a high speed illumination method such as a stroboscope illumination. After the intensity has been changed, the nuclear fuel identification number 74B is picked up by the ITV camera 5. If the decision in the step 78H is YES, the image signal is binarized in the step 78E to prepare the character pattern of the character. The binarization of the image signal is effected by selecting "1" for the signal which is larger than a predetermined reference between the minimum intensity rank and the maximum intensity rank of FIG. 26, and selecting "0" for the signal which is smaller than the predetermined reference. Then, the step 78F is carried out. After the step 78F, the fuel number identification/discrimination means 170 sequentially carries out the steps 79A-79K shown in FIG. 10 as shown in FIG. 27. If the decision in the step 79F is NO, a "1" output signal J is produced in the step 79K as it is in the previous embodiment. The "1" output signal J means that the discrimination of the nuclear fuel identification number 74B by the ITV camera 5 is impossible due to the deposition of the soft clad. The "1" output signal J is also a signal to request redetection of the nuclear fuel identification number 74B by the ultrasonic wave probe 9. When the fuel number identification/discrimination means 170 produces the "1" output signal J, the nuclear fuel detection unit control means 101 carries out the step 77J. The fuel number identification means 200 of the nuclear fuel identification code monitor 260 carries out the steps 76L-79P shown in FIG. 27. The predetermined position K.sub.i is inputted (step 79L). Then, the position W.sub.i of the ultrasonic wave probe 9 which varies from time to time and is produced by the nuclear fuel detection unit control means 101 in the step 77N is inputted. If the position W.sub.i matches to the predetermined position K.sub.i, the start of detection signal S.sub.2 is produced. The ultrasonic wave scan unit control means 190 carries out the steps 80B-80G shown in FIG. 12 when it receives the start of detection signal S.sub.2. The ultrasonic wave probe scan unit 10 is controlled by the signal which is derived through the steps 80B, 80C and 80E-80G. The signal receive means 36 receives the reflected wave signal detected by the ultrasonic wave probe 9. The reflected wave is supplied to the ultrasonic wave signal processing means 210. The ultrasonic wave signal processing means 210 carries out the process shown in FIG. 28. In a step 81A, the reflected ultrasonic wave signal and the position signal of the ultrasonic wave probe 9 detected by the encoder 20 are inputted. The binarization of the reflected wave signal in a step 81F is effected based on a time difference between the reflected wave signals of the focused ultrasonic wave beam radiated from the ultrasonic wave probe 9. The focused ultrasonic wave beam from the ultrasonic wave probe 9 is radiated normally to the top 73 of the handle 72 and the bottom 91 of the nuclear fuel identification number 74B (FIG. 29). The time at which the reflected wave signal for the top 73 is represented by t.sub.1 (FIG. 30A), and the time at which the reflected wave signal for the bottom 91 is received is represented by t.sub.2 (FIG. 30B). A time to represent the time at which the focused ultrasonic wave beam is radiated. A time t.sub.s (=(t.sub.2 -t.sub.1)/2) is set as a threshold level. If the reflected ultrasonic wave signal is detected at a time t where t.sub.s >t, "0" is assigned to the position of the ultrasonic wave probe 9 at which the signal is detected. If the reflected ultrasonic wave signal is detected at a time t where t.sub.s .ltoreq.t, "1" is assigned to the position of the ultrasonic wave probe 9 at which the signal is detected. In this manner the reflected wave signal is binarized. In the present embodiment, the method 2) is used as it is in the previous embodiment. In the present embodiment, three or more ultrasonic wave probes 9 are arranged in parallel. In a step 81G, character patterns of the characters of the nuclear fuel identification number 74B are prepared in accordance with the position signal of the ultrasonic wave probe 9 and the binary signal produced in the step 81F. The prepared character patterns are supplied to the fuel number identification means 200 (step 81H). The fuel number identification means 200 carries out the steps shown in FIG. 31 which are essentially same as the steps shown in FIG. 10. If the decision in the step 79F is NO, the process is terminated. The fuel number processing means 44 stores the characters of the nuclear fuel identification code 74B identified by the nuclear fuel identification monitor 250 or 260 and the corresponding X-Y ID coordinates of the positions K.sub.i in the memory 45. It also displays them on the display 46 and prints them out by the printer 47 as may be required. The present embodiment also attains the same advantages as those of the embodiment of FIG. 1. However, since the nuclear fuel identification number 74B is detected by the ultrasonic wave probe 9 in the present embodiment, a longer recognition time is required than a case where the nuclear fuel identification number 74A is detected. Other embodiment of the nuclear fuel identification code reader of the present invention is explained with reference to FIGS. 32 and 33. In the present embodiment, a Chelencoff light camera 93 and a nuclear fuel monitor 280 are added to the embodiment shown in FIG. 22. The Chelencoff light camera 93 is mounted on the mount table 6 (FIG. 2) of the sensor handling unit 1. The nuclear fuel monitor 280 has a video signal digitizer 110 and a signal processing microprocessor 94 as shown in FIG. 33. The microprocessor 94 has an image frame memory 120, nuclear fuel data processing means 130 and image processing means 140A. The video signal digitizer 110 is coupled to the Chelencoff light camera 93. The nuclear fuel data processing means 130 is coupled to the input/output means 23B, the fuel number processing means and the overall control means 241. The present embodiment has means for determining if the used fuel assembly 66A stored in the fuel storage pool 63 is a real fuel assembly which contains the nuclear fuel. This means comprises the Chelencoff light camera 93 and the nuclear fuel monitor 280. The Chelencoff light camera 93 detects only a light in an ultraviolet range (Chelencoff light) emitted in water by a gamma ray emitted from a nuclear fission seed, amplifies it by a photo-multiplier and directs the amplified electrons to a phosphor plane to visualize them. The image picked up by the Chelencoff light camera 93 has a high intensity at an area corresponding to the water region surrounded by the fuel rods which contain the nuclear fuel. The nuclear fuel detection unit control means 101A of the nuclear fuel handling control unit 100 controls the movement of the sensor handling unit 1 in accordance with a process shown in FIG. 34. In the process shown in FIG. 34, steps 77Q and 77R are added between the steps 77N and 77H of the process shown in FIG. 24. After the movement of the ITV camera 5 in the step 77F, the Chelencoff light camera 93 is moved to the position K.sub.i in the step 77Q. The position U.sub.i of the Chelencoff light camera 93 thus changes from time to time, and the position U.sub.i is outputted (step 77R). The position U.sub.i outputted by the input/output means 23B is supplied to the nuclear fuel data processing means 130. The nuclear fuel data processing means 130 carries out the steps 79L-79P shown in FIG. 27 and supplies the start of A/D conversion signal to the video signal digitizer 110. The video signal digitizer 110 starts the A/D conversion of the video signal supplied from the Chelencoff light camera 93, in response to the start signal. The video signal converted to the digital signal is stored in the image frame memory 120 as the image signal. The image picked up by the Chelencoff light camera 93 does not always have a high S/N ratio. When it is to be determined whether the nuclear fuel is contained in the fuel assembly 66A, no special image processing is required for the video signal picked up by the Chelencoff light camera 93. However, in order to determine whether the nuclear fuel is contained or not in the fuel assembly to prepare a Chelencoff light pattern, the following processing is required. This image processing is done by the image processing means 140A. FIG. 35 shows the image processing means. An image signal is supplied from the image frame memory 120 (step 78A). A plurality of frame images taken time-serially are combined for each pixel (step 78G). A noise component of the image signal is reduced by the combination and the S/N ratio of the image signal is enhanced. The image signal produced in the step 78G is vignetted (step 78H). Through this step, an RF noise component is eliminated from the image signal. The image signal having the RF noise component eliminated is binarized with a proper binarization level (step 78I). The binary data is supplied to the nuclear fuel data processing means 130. The Chelencoff light pattern is created based on the binary data (step 79Q). FIG. 37 shows the Chelencoff light pattern created in the step 78J. In FIG. 37, numeral 70A denotes a fuel rod and numeral 72A denote a handle. The fuel rods which contain the nuclear fuel are distinguished from other elements such as water rods which do not contain the nuclear fuel, and they are patterned. Based on the Chelencoff light pattern thus created, whether the fuel assembly 66A under consideration is the true fuel assembly which contains the nuclear fuel or not is determined (step 79R). The decision and the Chelencoff light pattern are supplied to the fuel number processing means (step 79S). The fuel number processing means 44 carries out the same steps as those of the fuel number processing means 44 of the embodiment shown in FIG. 22, as well as the following steps. If the decision in the step 79R is "true fuel assembly", a reference Chelencoff light pattern corresponding to the nuclear fuel identification number 74B recognized by the nuclear fuel identification code monitor 260 or 280, and the Chelencoff light pattern created in the step 79Q are compared. Through the comparison, it is determined whether the recognized nuclear fuel identification number 74B is correct or not. The result of this determination and the decision in the step 79R are displayed on the display 46. The present embodiment can attain the same advantages as those of the embodiment of FIG. 22. In the present embodiment, it is determined whether the fuel assembly 66A whose nuclear fuel identification number 74B is to be detected is true fuel assembly which contains the nuclear fuel or not. In the present embodiment, the correctness of the nuclear fuel identification number 74B recognized based on the Chelencoff light pattern can be checked and the accuracy of recognition of the nuclear fuel identification number is improved. In the embodiment shown in FIG. 32, since the Chelencoff light camera 93 is driven directly above the handle 72 of the fuel assembly 66A under consideration, the image of the handle 72 is patterned as shown in FIG. 37. As a result, the pattern of the fuel rods located directly below the handle 72 is not created. This problem may be solved by inclining the Chelencoff light camera 93 around the handle 72 by an angle .theta. on each side and picking up the top of the fuel assembly 66A from two directions G.sub.1 and G.sub.2, as shown in FIG. 38. The Chelencoff light camera 93 may be rotated in a direction 95 by a motor (not shown) mounted on the mount table 6. The video signals picked up by the Chelencoff light camera 93 from the directions G.sub.1 and G.sub.2 are supplied to the nuclear fuel monitor 280. Those video signals are converted to digital signals by the video signal digitizer 110 and they are stored in the image frame memory 120. The image processing means 140A processes those image signals for the directions G.sub.1 and G.sub.2 to produce binary data. In a step 79Q of the nuclear fuel data processing means 130, the Chelencoff light patterns created based on the video signals picked up from the directions G.sub.1 and G.sub.2 are combined to create a new Chelencoff light pattern (FIG. 39A). The Chelencoff light pattern created based on the data of the direction G.sub.1 is shown in FIG. 39B, and the Chelencoff light pattern created based on the data of the direction G.sub.2 is shown in FIG. 39C. The Chelencoff light pattern of FIG. 39A is created by image combination of a triangular pattern at right bottom of FIG. 39B and a triangular pattern at left top of FIG. 39C. In the Chelencoff light pattern of FIG. 39A, the handle shown in FIG. 39B and 39C (in broken lines) disappears. Accordingly, the Chelencoff light pattern located directly below the handle is created. The above embodiments are designed to read the nuclear fuel identification number marked on the BWR fuel assembly 66. The PWR fuel assembly 66B has the nuclear fuel identification number marked on the side of the top tie plate. A structure of an optical sensor which can read the nuclear fuel identification numbers marked on both types of fuel assemblies is shown in FIGS. 40A and 40B. FIG. 40A shows a read status for nuclear fuel identification number 74B marked on the fuel assembly 66. FIG. 40B shows a read status for the fuel assembly 66B. When this optical sensor is applied to the fuel assembly 66, a reflection mirror 96 supported by the ITV camera 5 is placed in parallel to the axis of the ITV camera 5. When it is applied to the fuel assembly 66B, the frame 7 and the illumination unit 8 are removed and a reflection mirror tube 97 is mounted on the mount table 6 instead, as shown in FIG. 40B. The reflection mirror tube 97 has a pair of reflection mirrors 99 at the top and the bottom thereof, and has an illumination unit 98 mounted at the lower end. The reflection mirror 96 is rotated to be obliquely to the axis of the ITV camera 5. The lower end of the reflection mirror tube 97 is inserted between the stored fuel assemblies 66B. The image of the nuclear fuel identification number 74B marked on the side at the upper end of the fuel assembly 66B is directed to the ITV camera 5 through the pair of reflection mirrors 99 and the reflection mirror 96. The optical sensor shown in FIGS. 40A and 40B is applicable to the nuclear fuel identification code readers of the above embodiments.
description
This application is a continuation of U.S. patent application Ser. No. 10/060,399 filed on Feb. 1, 2002, now U.S. Pat. No. 6,987,836, which claims benefit under 35 U.S.C. § 119(e) from U.S. Provisional Patent Applications Ser. Nos. 60/265,353 and 60/265,354, both filed on Feb. 1, 2001, the entire contents of both being incorporated herein by reference. The invention was made with Government support under Grant Number 1 R43 CA76752-01, and under Grant Number 2 R44 CA76752-02, awarded by the National Institutes of Health, National Cancer Institute. The Government has certain rights in the invention. Related subject matter is disclosed in U.S. patent application Ser. No. 09/459,597, filed on Dec. 13, 1999, in U.S. patent application Ser. No. 09/734,761, filed Dec. 13, 2000, and in U.S. Pat. No. 5,949,850, the entire contents of all of these documents are expressly incorporated herein by reference. 1. Field of the Invention The present invention relates to a method and apparatus for making focused and unfocused grids and collimators that are stationary or movable to avoid grid shadows on an imager and which are adaptable for use in a wide range of electromagnetic radiation applications, such as x-ray and gamma-ray (γ-ray) imaging devices and the like. More particularly, the present invention relates to a method and apparatus for making focused and unfocused grids and collimators, such as air core grids and collimators, that can be constructed with a very high aspect ratio, defined as the ratio between the height of each absorbing grid or collimator wall and the thickness of the absorbing grid or collimator wall, and that are capable of permitting large primary radiation transmission there through. The present invention relates to a method and apparatus for making large area grids and collimators from a single piece or assembled from two or more pieces, For example, the grid and collimator can be assembled from two or more pieces in one layer, and there can be a plurality of layers, each of which includes thin metal walls defining the openings, and which can be stacked on top of each other to increase the overall thickness of the grid or collimator 2. Description of the Related Art Grids and collimators are used to let through the desirable electromagnetic radiation while eliminate the undesirable ones by absorption. Radiation can penetrate through thicker material as the radiation wavelength decreases or energy increases. The radiation decay length in the material decreases as the atomic number and the density of the materials increase, and according to other properties of the grid or collimator material. Grid and collimator walls, called the septa and/or lamellae, are usually made of metal because of their atomic number and density. Grids and collimators are used extensively in medical x-ray diagnostics, nuclear medicine, non-destructive testing, airport security, a variety of scientific and research applications, industrial instruments, x-ray astronomy and other devices to control, shape or otherwise manipulate beams of radiation. For the description below, the application related to medical diagnostics will be outlined, first for grids for x-ray and then collimators for γ-ray imaging. X-Ray Imaging: Conventional medical x-ray imaging systems consist of a point x-ray source and an image recording device (the imager). As x-rays pass through the object on the way to the imager, its intensity is reduced as the result of the internal structure of the object. Thus, x-rays are used in medical applications to differentiate healthy tissue, diseased tissue, bone, and organs from each other. As x-rays interact with tissue, the x-rays become attenuated as well as scattered by the tissue. X-rays propagating in a direct line from the x-ray source to the imager are desired. Contrast and the signal-to-noise ratio of image details are reduced by scatter. Anti-scatter grids are applied to most diagnostic x-ray imaging modality. For the description below, mammography is used as an example. Without intervention, both scattered and primary radiations from the subject are recorded in a radiographic image. For mammography, the typical scatter-to-primary ratios (S/P) at the imager range from 0.3 to 1.0. The presence of scatter can cause up to a 50% reduction in contrast, and up to a 55% reduction (for constant total light output from the screen) in signal-to-noise ratio as described in an article by R. Fahrig, J. Mainprize, N. Robert, A. Rogers and M. J. Yaffe entitled, “Performance of Glass Fiber Antiscatter Devices at Mammographic Energies”, Med. Phys. 21, 1277 (1994), the entire contents of both being incorporated herein by reference. The most common anti-scatter grids, called “one-dimensional” grid, or linear grid meaning that the projection of the lamellae walls on the imager are lines, are made by strips of lead lamella, sandwiched between more x-ray transparent spacer materials such as aluminum, carbon fiber or wood (see, e.g., the Fahrig et al article). This type of grid reduces scattered radiation by reducing scatter in one direction, the axis parallel to the strips. The typical grid ratio (height of grid wall divided by interspace length of the hole) is 4 to 5. The disadvantages associated with this type of one-dimensional grid are that it only reduces scattered x-rays parallel to the strips and that it requires an increase in x-ray dose because of absorption and scatter from the spacer materials. For scatter reduction applications, the grid walls preferably should be “two-dimensional,” meaning that the projection of the lamellae walls on the imager are not lines but two-dimensional patterns such as squares, rectangles, triangles or hexagonals, to eliminate scatter from all directions. For medical applications, the x-ray source is a point source close to the imager. In order to maximize the transmission of the primary radiation, all the grid openings have to point to the x-ray source. This kind of lamella geometry is called “focused.” Methods for fabricating and assembling focused and unfocused two-dimensional grids are described in U.S. Pat. No. 5,949,850, entitled “A Method and Apparatus for Making Large Area Two-dimensional Grids”, the entire content of which is incorporated herein by reference. When an anti-scatter grid is stationary during the acquisition of the image, the anti-scatter grid will cast a shadow on the imager. It is undesirable, since it can obstruct the image and make interpretation more difficult. The typical solution to eliminate the shadow of the grid is to move the grid during the period of exposure. The ideal anti-scatter grid with motion will produce uniform exposure on the imager, in the absence of an object being imaged. One-dimensional grids can be moved in a steady manner in one direction or in an oscillatory manner in the plane of the grid in the direction perpendicular to the parallel strips of highly absorbing lamellae. For two-dimensional grids, the motion can either be in one direction or oscillatory in the plane of the grid, but the grid shape needs to be chosen based on specific criteria. The following discussion pertains to a two-dimensional grid with regular square or rectangular patterns in the x-y plane, with the grid walls lined up in the x-direction and y-direction. If the grid is moving at a uniform speed in the x-direction, the film will show unexposed stripes along the x-direction, which repeat periodically in the y-direction. The width of the unexposed stripes is the same or essentially the same as the thickness of the grid walls. This grid pattern and associated motion are unacceptable. If the grid is moving at a uniform speed in the plane of the grid, but at a 45 degree angle from the x-axis, the image on the film or imager is significantly improved. However, strips of slightly overexposed images parallel to the direction of the motion at the intersection of the grid walls will still be present. As the grid moves in the x-direction at a uniform speed, the grid walls block the x-rays everywhere, except at the wall intersection, for the fraction of the time2d/D,where d is the thickness of the grid walls and D is the periodicity of the grid walls. At the wall intersection, the grid walls blocks the x-rays for the fraction of the time2d/D≦t≦d/D,depending on the location. Thus, stripes of slightly overexposed x-ray film are produced. Methods for attempting to eliminate the overexposed strips discussed above are disclosed in U.S. Pat. Nos. 5,606,589, 5,729,585 and 5,814,235 to Pellegrino et al., the entire contents of each patent being incorporated herein by reference. These methods attempt to eliminate the overexposed strips by rotating the grid by an angle A, where A=atan(n/m), and m and n are integers. However, these methods are unacceptable or not ideal for many applications. Not all x-ray imaging applications require focused grids. For example, the desirable x-rays for x-ray astronomy is from sources far away and they approach the detector as parallel rays. Anti-scatter grids are required to eliminate x-rays from different sources at different location in the sky. Thus, the walls of the grid should be parallel so that only x-ray from a very narrow angle can be detected. A grid with parallel walls is known as an unfocused grid. Also, there are variations of focused and unfocused grids, such as a) grids focused in one direction, but unfocused in the other direction; b) grids that are piecewise focused, and variations of these characteristic. Accordingly, the need exists for a method and apparatus to eliminate the overexposed strips associated with two-dimensional focused or unfocused grid intersections. γ-Ray Imaging: Nuclear medicine utilizes radiotracers to diagnose disease in terms of physiology and biochemistry, rather than primarily in terms of anatomy, emphasizing function and chemistry rather than structure. Radiotracer studies usually measure three types of physiological activities: regional blood flow and other aspects of transport of matter through the body, bioenergetics, the provision of energy to body cells, cancer, effect of drugs, and intracellular and intercellular communication, the process by which molecular reactions are regulated.The typical γ-ray emissions are in the 80-500 keV energy range. These γ-rays can originate inside the body and emerge at the surface to be recorded by external radiation detectors. Nuclear imaging is able to examine the interactions for picomolar and lower quantities of molecules involved in biochemical interactions with macromolecular structures, such as recognition sites, enzymes, and substrates within different parts of the living body. Gamma cameras (γ-cameras) are used with collimators to capture the γ-rays emitted by the radionuclides. Unlike x-ray applications, γ-rays are emitted in all directions by the radioactive atoms, and they are distributed throughout large are of the body. Collimators are needed between the patient and the γ-camera to filter the γ-rays emitted from desirable locations, by selectively absorbing all but a few of the incident radiation. Gamma-rays that pass through the collimator have radiation propagation directions restricted to a small solid angle. In the absence of scattering within the patient, the photons propagate in a straight line from the point of emission to the point of detection in the γ-camera. Consequently, the collimator imposes a strong correlation between the position in the image and the point of origin of the photon within the patient. Because the collimator restricts the direction of the γ-ray propagation to a very small solid angle, the vast majority of the photons are absorbed by the collimator. This means that even minor improvements in collimator performance can significantly affect the number of detected events and reduce the statistical noise in the images. Collimators are typically made of lead. The conventional fabrication methods are pressing of thin lead foils and casting. Foil collimators can be mad from foil as thin as 100 μm, but they are more susceptible to defects in foil misalignment, resulting in reduced resolution and uniformity of the image. Micro-cast collimators have more uniform septa thickness and good septa alignment, and are structurally stronger than foil collimators. However, micro-casting manufactures, such as Nuclear Fields, cannot make septa thinner than 150 μm. For small animal imaging, the main competitive technology is Tecomet's photochemically etched, stacked tungsten. This technology, however, is (a) limited in the septa thickness, (b) unable to fabricate focused cone beam collimators with smooth walls, and unable to fabricate collimators requiring large slant septa. Two-dimensional (2D) planar scintigraphy and three-dimensional (3D) single photon emission computed tomography (SPECT) imaging systems are used for visualization of in vivo biochemical processes, localization of disease, classification of disease, etc. SPECT provides information on three-dimensional in vivo distribution of radiotracers within the body, calculated from a set of 2D projectional images acquired from a number of γ-cameras surrounding the patient. An object of the present invention is to provide grids and collimators made from a variety of metals, where the walls focus to a point, where the walls focus to a line, the walls have varying focus, where the walls diverge from a point, where the walls diverge from a line, or where the walls are parallel (unfocused), that can be freestanding, released from substrate with hollow core or filled with scintillators, transparent, opaque, or other useful materials. Another objective of the present invention is to configure the grids to minimize shadow when the grid is moved during imaging. A further object of the present invention is to provide a method and apparatus for moving a focused or unfocused grid so that no perceptible shadow or area of variable density is cast by the grid onto the imager. Another objective of the present invention is to provide methods and apparatus for manufacturing grids and collimators. Another object of the present invention is to provide a method and apparatus for manufacturing focused and unfocused grids that are configured to minimize overexposure at wall intersections when a grid is moved during imaging. Grids and collimators can be made in one piece or by a plurality of pieces that can be combined to form an individual device. Tall grids and collimators can be made by stacking shorter pieces with precisely aligned walls. Large area grids and collimators can be made by assembling precisely matched pieces for each layer. These and other objects of the present invention are substantially achieved by providing a grid or collimator, adaptable for use with electromagnetic energy emitting devices. The grid or collimator comprises at least one solid metal layer. The solid metal layer comprises top and bottom surfaces, and a plurality of solid integrated intersecting walls, each of which extends from the top to the bottom surface, and having a plurality of side surfaces. The side surfaces of the walls are arranged to define a plurality of openings extending entirely through the layer, and at least some of the side surfaces have projections extending into the respective openings. The projections can be of various shapes and sizes, and are arranged so that a total amount of wall material intersected by a line propagating in a direction, for example, along an edge of the grid, for each period along the grid is substantially the same and is also substantially the same as another total amount of wall material intersected by another line for each period propagating in another direction substantially parallel to the edge of the grid at any distance from the edge. These and other objects are further substantially achieved by providing a method for minimizing scattering of radiation in a device to obtain an image of an object on an imager. The method includes placing a grid between radiation emitting source of the electromagnetic imaging device and the imager. The grid comprises at least one metal layer including top and bottom surfaces and a plurality of solid integrated, intersecting walls, each of which extending from the top to bottom surface and having a plurality of side surfaces, the side surfaces of the walls being arranged to define a plurality of openings extending entirely through the layer, and at least some of the side surface having projections extending into respective ones of the openings. The method further includes moving the grid in a grid moving pattern while the radiation source is emitting radiation toward the imager. In addition, the holes of one or more layers of a grid or collimator produced by the present invention can be filled with various materials that are transparent, opaque, or have other properties, such as scintillators. Examples of scintillator are phosphors, CsI, or the like. Since grids and collimators can be reproduced exactly, an air-core grid or collimator can be aligned precisely with the filled-core grid or collimator counterpart. The desired thickness of the filling can also be achieved precisely. This type of grid/scintillator or collimator/scintillator, therefore, can performs the functions of (1) eliminating detection of undesirable radiation, (2) conversion of x-rays or γ-rays to optical or UV signals or other forms of signals and (3) improving resolution of the image or (4) improve the structural strength or other properties of the device. Grid and collimator walls can be 5 μm or thicker. There is no inherent limitation on their height by stacking or their area by assembly. Methods to fabricate grids and collimators for a wide variety of materials and geometry are described in this patent. One method is to use ultra violet (UV) or x-ray lithography followed by electroplating/electroforming or micro casting methods. The UV or x-ray lithography/electroforming technology: Can produce metal septa as thin as 20 μm. Can make unfocused and focused grids and collimators that have parallel, converging fan-beam, cone-beam, diverging, or spatially varying focus walls, Allows septal thickness and opening geometry to vary with location in the horizontal plane, Allows grids and collimators to have non-uniform thickness in the vertical direction. The present invention provides designs, methods and apparatuses for making large area, two-dimensional, high aspect ratio, grids, collimators, grid/scintillators, collimator/scintillators, x-ray filters and other such devices, with focused walls, defocused walls, variable focus walls, parallel walls and other such orientations, as well as similar designs, methods and apparatuses for all electromagnetic radiation applications. Referring now to the drawings, FIG. 1 shows a schematic of a section of an example of a two-dimensional grid or collimator 30 produced in accordance with an embodiment of a method of the present invention. The method of grid manufacture described here is different from the embodiment of the invention, as described in more detail in U.S. Pat. Nos. 5,949,850 and 6,252,938 referenced above, the entire contents of both being incorporated herein by reference In FIG. 1, the x-ray propagates out of a point source 61 with a conical spread 60. The x-ray imager 62, which may be an electronic detector or x-ray film, for example, is placed adjacent and parallel or substantially parallel to the bottom surface of the x-ray grid 30 with the object to be imaged (not shown) positioned between the x-ray source 61 and the x-ray grid 30. Typically, the top surface of the x-ray grid 30 is perpendicular or substantially perpendicular to the line 63 that extends between the x-ray source and the x-ray grid 30. The grid openings 31 that are defined by walls 32 are square in this example. However, the grid openings can be any practical shape as would be appreciated by one skilled in the methods of grid construction. The walls 32 are uniformly thick or substantially uniformly thick around each opening in this figure, but can vary in thickness as desired. The walls 32 are slanted at the same angle as the angle of the x-rays emanating from the point source, in order for the direct radiation to propagate through the holes to the imager without significant loss. This angle increases for grid walls further away from the x-ray point source. In other words, an imaginary line extending from each grid wall 32 along the x-axis 40 could intersect the x-ray point source 61. A similar scenario exists for the grid walls 32 along the y-axis 50. To facilitate the description below, a coordinate system in which the grid 30 is omitted will now be defined. The z-axis is line 63, which is perpendicular or substantially perpendicular to the anti-scatter grid, and intersects the point x-ray source 61. The z=0 coordinate is defined as the top surface of the anti-scatter grid. As further shown, the central ray 63 propagates to the center of the grid 30, which is marked by a virtual “+” sign 64. The grid openings 31 that are defined by walls 32 are square in this example. However, the grid openings can be any practical shape as would be appreciated by one skilled in the art of grid design and fabrication. The walls 32 are uniformly thick or substantially uniformly thick around each opening in this figure, but can vary in thickness as desired. The walls 32 are slanted at the angle that allows the x-rays from the point source to propagate through the holes to the imager without significant loss. That is, the directions in which the walls extend converge or substantially converge at the point source 61 of the x-ray. The angle at which each wall is slanted in the z direction is different from its adjacent wall as taken along the directions x and y. The desirable dimensions of the x-ray grids depend on the application in which the grid is used. For typical medical imaging applications, the area of the top view is large and the height of the grid is no more than a few millimeters. The variation in area and thickness depends on the x-ray energy, resolution, image size and the angle of the typical scattered radiation. For mammographic imaging, for example, the x-ray energy is in the range of about 17 kVp to about 35 kVp, but can be any level as would be necessary to form a suitable image. The distance between the x-ray source and the grid plane is usually in the range of 60 cm for mammography but, of course, could be different for other applications as would be appreciated by one skilled in the art. Without the grid, scatter blurs the image, reducing contrast and makes it difficult to distinguish between healthy and diseased tissues. Only the x-rays propagating in the line from the x-ray source to the detector are desired to produce a sharp image. For mammographic imaging, the dimensions of the grid are determined in the following manner. The field size is determined by the object to be imaged. Two field sizes are used for mammographies: 18 cm by 24 cm and 24 cm by 30 cm, but any suitable field size can be used. The field size depends on the imaging system in use and the medical procedure. For example, some procedures require only images over small areas as small as few cm2. The wall height is usually defined in terms of the grid ratio (grid height divided by the interspace length of the hole). Grid ratio in the range of 3.5 to 5.5 are typical for mammography. For the interspace length of 525 μm and a grid ratio of 5, the wall height is 2,625 μm. The wall thickness is determined by the x-ray energy and the material used to form the wall. The linear attenuation coefficients μ of copper (atomic number Z=29) is μ=303 cm−1 at 20 keV, as described in a book by H. E. Johns and J. R. Cunningham, The Physics of Radiology, Charles C. Thomas Publisher, Springfield, Ill., 1983, the entire contents being incorporated herein by reference. This means that the intensity of the x-rays decay by a factor of e in a distance of δ=1/μ=33 μm, and that scattered x-rays strike the grid walls will be absorbed. The interspace dimensions are to be determined by considerations such as the percentage of open area and the method of x-ray detection. The ratio of the open area is determined by (open area)/(open area+wall area). The percentage of open area should be as large as possible, in order to achieve the minimal practical Bucky factor. For interspace distance of 525 μm, and wall thickness of 25 μm, the percentage of the open area is 91%. For mammographic applications, the percentage of the ratio of the open area should be as close to 100% as possible, in order to produce a suitable image with the lowest possible radiation dose. For other medical x-ray imaging applications, the imaging systems are different, such as chest, heart and brain x-rays, computed tomography (CT) scans, etc. Anti-scatter grids for medical applications thus cover a wide range of sizes. The grid thickness can range from as little as 5 μm to any desirable thickness. The lower limit of the interspace length of the hole is on the order of a few μm and the upper limit is the size of substrates. However, there is a necessary relationship between wall thickness and hole sizes, the grid height and the absorption properties of the gold material. When the grid is made of copper, the following dimensions can significantly reduce scatter and improve mammography imaging: 550 μm holes, 25 μm thick walls, a grid height of 2000-3000 μm. As the hole size or wall thickness decreases, the layer height will have to be reduced. As stated, wall thickness can be varied, depending on the application in which the grid is used, and the walls do not need to be of uniform thickness. Also, the shape of the hole can be varied as long as it does not result in walls having extended sections thinner than about 5 μm. The shape of the holes does not have to be regular. Some hole shapes that may be practical for anti-scatter applications are rectangular, hexagonal, circular and so on. The walls can be made of any suitable absorbent material that can be fabricated in the desired structure, such as electroplating/electroforming, casting, injection molding, or other fabricating techniques. Materials with high atomic number Z and high density are desirable. For instance, the walls can include nickel, nickel-iron, copper, silver, gold, lead, tungsten, uranium, or any other common electroplating/electroforming or casting materials. FIGS. 2a and 2b show schematics of two air-core x-ray anti-scatter grids, such as grid 30 shown in FIG. 1, that are stacked on top of each other in a manner described in more detail below to form a grid assembly. These layers of the grid walls can achieve high aspect ratio such that they are structurally rigid. The stacked grids 30 or a grid made in a single layer can be moved steadily along a straight line (e.g., the x-axis 40) during imaging. As shown in these figures, the grids 30 have been oriented so that their walls extend at an angle of 45° or about 45° with respect to the x-axis 40. The top surface of the top grid 30 is in the x-y plane. The central ray 63 from the x-ray source 61 is perpendicular or substantially perpendicular to the top surface of the top grid 30. For mammographic applications, the central ray 63 propagates to the top grid 30 next to the chest wall at the edge or close to the edge of the grid on the x-axis 40, which is marked as location 65 in FIG. 2a. For general radiology, the central ray 63 is usually at the center of the top grid 30, which is marked as location 64 in FIG. 2b. In this example, the line of motion 70 of the grid assembly is parallel or substantially parallel to the x-axis 40. In the x-y plane, one set of the walls 32 (i.e., the septa) is at 45° with respect to the line of motion 70, and the shape of the grid openings 31 is nearly square. The grid assembly can move in a linear motion in one direction along the x-axis or it can oscillate along the x-axis in the x-y plane. During motion, the speed at which the grid moves should be constant or substantially constant. Two categories of grid patterns can be used with linear grid motion to eliminate non-uniform shadow of the grid. The description below pertains to portions of the grid not at the edges of the grid, so the border is not shown. For illustration purposes only, the dimensions of the drawings are not to scale, nor have they been optimized for specific applications. A.1. Grid Design Art Type I for Linear Motion As discussed above, the present invention provides a two-dimensional grid design and a method for moving the grid so that the image taken will leave no substantial artificial images for either focused or unfocused grids for some applications. In particular, as will now be described, the present invention provides methods for constructing grid designs that do not have square patterns. The rules of construction for these grids are discussed below. Essentially, Type 1 methods for eliminating grid shadows produced by the intersection of the grid walls are based on the assumptions that: (1) there is image blurring during the conversion of x-rays to visible photons or to electrical charge; and/or (2) the resolution of the imaging device is not perfect. A general method of grid design provides a grid pattern that is periodic in both parallel and perpendicular (or substantially parallel and perpendicular) directions to the direction of motion. The construction rules for the different grid variations are discussed below. A.1.a. Grid Design Variation I.1: A Set of Parallel Grid Walls Perpendicular to the Line of Motion FIG. 3 shows a top view of an exemplary grid layout that can be employed in a grid 30 as discussed above. The grid layout consists of a set of grid walls, A, that are perpendicular or substantially perpendicular to the direction of motion, and a set of grid walls, B, intersecting A. The thicknesses of grid walls A and B are a and b, respectively. The thicknesses a and b are equal in this figure, but they are not required to be equal. The angle θ is defined as the angle of the grid wall B with respect to the x-axis. The grid moves in the x-direction as indicated by 70. Px and Py are the periodicities of the intercepting grid wall pattern in the x- and y-directions, respectively. Dx and Dy represent the pitch of grid cells in the x- and y-directions, respectively. The periodicity of the grid pattern in the x-direction is Px=MDx, where M is a positive integer greater than 1. The periodicity of the grid pattern in the y-direction is Py=M(Dy/N), where N is a positive integer greater than or equal to 1, M≠N and Py=|tan(θ)|Px. For linear motion, the grid pattern can be generated given Dx, (θ or Dy), (M or Px) and (N or Py). The parameter range for the angle θ is 0°<|θ|<90°. The best values for the angle θ are away from the two end limits, 0° and 90°. The grid intersections are spaced at intervals of Py/M in the y-direction. If Dx, θ, M and N are given, the parameters Px, Py, and Dy can be calculated FIG. 3 is a plot of a section of the grid for the following chosen parameters: θ=45°, M=3 and N=1. If the parameters Dx, Dy, M and N are chosen, the angle θ, Px and Py can be calculated: Px=MDx, Py=NDy and θ=±atan (Py/Px). FIG. 4 is a plot of a section of the grid for the parameters N=2, M=7 and θ=−atan (2Dy/7Dx). A.1.b. Grid Design Variation I.2: Grid Walls Not Perpendicular to the Line of Motion FIG. 5 is the top view of a section of the grid layout where neither grid walls A nor B are perpendicular to the direction of linear motion. The thicknesses of grid walls A and B are a and b, respectively. The thicknesses a and b are equal in this figure, but they are not required to be equal. The angles between the grid walls A and B relative to the x-axis are φ and θ, respectively. Choosing Dx, (M or Px), (N or Py), and angles (θ or Dy) and φ, then Py=|tan(θ)|Px, N=Py/Dy and (M=Px/Dx). The centers of grid intersections are separated by a distance Py/M in the y-direction. FIG. 5 shows an example where θ=−15°, φ=−80°, M=5 and N=1. FIG. 6 is the top view of a section of the grid layout where neither grid walls A or B are perpendicular to the direction of motion, but grid wall A is perpendicular to grid wall B, thus a special case of FIG. 5, where the grid openings are rectangular. The thicknesses of grid walls A and B are a and b, respectively. The thicknesses are equal in this figure, but again, they are not required to be equal. The angles between the grid walls A and B relative to the x-axis are φ and θ, respectively. By choosing Dx, (M or Px), (N or Dy), (θ or Py) and φ, then Py=|tan(θ)|Px, Py=NDy, and Px=MDx. The centers of grid intersections are separated by a distance Py/M in the y-direction. FIG. 6 shows an example where θ=10°, φ=−80°, M=10 and N=1. A.1.c. Comments on the Grid Motion Associated with Grid Design I For all grid layout methods, the range of parameters for the grid can vary depending on many factors, such as whether film or digital detector is used, the type of phosphor used in film, the sensitivity and spatial resolution of the imager, the type of application, the radiation dose, and whether there is direct x-ray conversion or indirect x-ray conversion, etc. The ultimate criterion is that the overexposed strips caused by grid intersections are contiguous. Some general conditions can be given for the range of parameters for Grid Design Type I and associated motion. It is better for grid openings to be greater than the grid wall thicknesses a and b. For film, Py/M should be smaller than the x-ray to optical radiation conversion blurring effect produced by the phosphor. For digital imagers with direct x-ray conversion, it is preferable that pixel pitch in the y-direction is an integer multiple of the spacing, Py/M. Otherwise, the grid shadows will be unevenly distributed on all the pixels. The distance of linear travel, L, of the grid during the exposure should be many times the distance Px, where kPx>L>(kPx−δL), Dx>δL>a sin(φ), Dx>δL>b/sin (θ), δL/Px<<1, k>>1, and k is an integer. The ratio of δL/L should be small to minimize the effect of shadows caused by the start and stop. The distance L can be traversed in a steady motion in one direction, if it is not too long to affect the transmission of primary radiation. Assuming that the x-ray beam is uniform over time, the speed with which the grid traverses the distance L should be constant, but the direction can change. In general, the speed at which the grid moves should be proportional to the power of the x-ray source. If the required distance L to be traveled in any one direction is too long, that can cause reduction of primary radiation, then the distance can be traversed by steady linear motion that reverses direction. A.2. Grid Design Type II for Linear Motion The present invention provides further two-dimensional grid designs and methods of moving the grid such that the x-ray image will have no overexposed strips at the intersection of the grid walls A and B. The principle is based on adding additional cross-sectional areas to the grid to adjust for the increase of the primary radiation caused by the overlapping of the grid walls. This grid design and construction provides uniform x-ray exposure. Two illustrations of the concept are given below, followed by the generalized construction rules. This grid design is feasible for the SLIGA fabrication method described in U.S. Pat. No. 5,949,850 referenced above, because x-ray lithography is accurate to a fraction of a micron, even for a thick photoresist. A.2.a. Grid Design Variation II.1: Square Grid Shape with an Additional Square Piece FIG. 7 shows a section of a square patterned grid with uniform grid wall thickness a and b rotated at a 45° angle with respect to the direction of motion. When square pieces in the shape of the septa intersection are added to the grid next to the intersection, with one per intersection as shown in FIG. 8, the grid walls leave no shadow for a grid moving with linear motion 70. In the FIG. 8, Dx=Dy=Px=Py and θ=45°. The additional grid area is shown alone in FIG. 9. A.2.b. Grid Design Variation II.2: Square Grid Shape with Two Additional Triangular Pieces FIG. 10 shows another grid pattern, which has the same or essentially the same effect as the grid pattern in FIG. 8, by placing two additional triangular pieces at opposite sides of intersecting grid walls. In this FIG. 10 example, Dx=Dy=Px=Py and θ=45°. The additional grid area is shown alone in FIG. 11. With these modified corners added to the grid, there will not be any artificial patterns as the grid is moved in a straight line as indicated by 70 for a distance L, where kDx>L≧(kDx−δL), Dx>>δL>s, δL<<L, k>>1 and k is an integer. Along the x-axis, the grid wall thickness is s and the periodicity of the grid is Px=Dx. The distance of linear travel L should be as large as possible, while maintaining the maximum transmission of primary radiation. The condition for linear grid motion in just one direction is easier for grid Design Type II to achieve than grid Design Type I or the designs in U.S. Patents by Pellegrino et al. referenced above, because Px>Dx for grid Design Type I. A.2.c. General Construction Methods for Quadrilateral Grid Design Type II for Linear Motion The exact technique for eliminating the effect of slight overexposure caused by the intersection of the grid walls with linear motion is to add additional grid area at each corner. Two special examples are shown in FIGS. 8 and 10 discussed above, and the general concept is described below and illustrated in FIGS. 12-16. The general rule is that the overlapping grid region C formed by grid walls A and B has to be “added back” to the grid intersecting region, so that the total amount of the wall material of the grid intersected by a line propagating along the x-direction remains constant at any point along the y axis. In other words, the total amount of wall material of the grid intersected by a line propagating in a direction parallel to the x-axis along the edge of a grid of the type shown, for example, in FIG. 8 or 10, is identical to the amount of wall material of the grid intersected by a line propagating in a direction parallel to the x-axis through any position, for example, the center of the grid. This concept can be applied to any grid layout that is constructed with intersecting grid walls A and B. The widths of the intersecting grid walls do not need to be the same, and the intersections do not have to be at 90°, but grid lines cannot be parallel to the x-axis. The width of the parallel walls B do not need to be identical to each other, nor do they need to be equidistant from one another, but they do need to be periodic along the x-axis with period Px. The widths of the parallel lines A do not need to be identical to each other, nor do they need to be equidistant from one another, but they do need to be periodic along the y-axis with period Py. The generalized construction rules are described using a single intersecting corner of walls A and B for illustration as shown in FIGS. 12-16. The top and bottom corners of parallelogram C are both designated as γ and the right and left corners of the parallelogram C as β1 and β2, respectively. Dashed lines, f, parallel to the x-axis, the direction of motion, are placed through points γ. The points where the dashed lines f intersect the edges of the grid lines are designated as α1, α2, α3 and α4. FIG. 12 shows the addition to the grid in the form of a parallelogram F formed by three predefined points: α1, α2, β1, and δ, where δ is the fourth corner. This is the construction method used for the grid pattern shown in FIG. 8. FIG. 13 shows the addition of the grid area in the shape of two triangles, E1 and E2, formed by connecting the points α1, α2, β1 and α3, α4, β2, respectively. This is the construction method used to make the grid pattern shown in FIG. 10. There are an unlimited variety of shapes that would produce uniform exposure for linear motion. Samples of three other alternatives are shown in FIGS. 14-16. They produce uniform exposure because they satisfy the criteria that the lengths through the grid in the x-direction for any value y are identical. There is no or essentially no difference in performance of the grids if motion is implemented correctly. Additional grid areas of different designs can be mixed on any one grid without visible effect when steady linear motion is implemented. FIG. 17, for example, illustrates and arrangement where different combinations of grid corners are implemented in one grid. However, the choice of grid corners depends on the ease of implementation and practicality. Also, since it is desirable for the transmission of primary radiation to be as large as possible, the grid walls occupy only a small percentage of the cross-sectional area. A.2.d. General Construction Methods for Grid Design Type II for Linear Grid Motion It should be first noted that this concept does not limit grid openings to quadrilaterals. Rather, the grid opening shapes could be a wide range of shapes, as long as they are periodic in both x and y directions. The grid wall intercepts do not have to be defined by four straight line segments. Non-uniform shadow will not be introduced as long as the length of the lines through the grid in the x-direction are identical through any y coordinate. In addition to adding the corner pieces, the width of some sections of the grid walls would need to be adjusted for generalized grid openings. However, not every grid shape that is combined with steady linear motion produces uniform exposure without artificial images. The desirable grid patterns that produce uniform exposure need to satisfy, at a minimum, the following criteria: The grid pattern needs to be periodic in the direction of motion with periodicity Px. No segment of the grid wall is primarily along the direction of the grid motion. The grid walls block the x-ray everywhere for the same fraction of the time per spatial period Px at any position perpendicular to the direction of motion. The grid walls do not need to have the same thickness. The grid patterns are not limited to quadrilaterals. These grid patterns need to be coupled with a steady linear motion such that the distance of the grid motion, L, satisfies the condition described in Sections Grid Design Type I and Type II for Linear Motion. If the walls are not continuous at the intersection or not identical in thickness through the intersection, the construction rule that must be maintained is that the length of the line through the grid in the x-direction is identical through any y-coordinate. Hexagons with modified corners are examples in this category. A.2.e. Implementation of the Grid Design Type II for Linear Grid Motion The additional grid area at the grid wall intersections can be implemented in a number of ways for focused or unfocused grids to obtain uniform exposure. The discussion will use FIGS. 8 and 10 as examples. 1. The grid patterns with the additional grid area, such as FIGS. 8, 10, 17, and so on, may have approximately the same cross-sectional pattern along the z-axis. 2. Since the additional pieces of the grid are for the adjustment of the primary radiation, these additional grid areas in FIGS. 8, 10, 17, and so on, only need to be high enough to block the primary radiation. This allows new alternatives in implementation. A portion of the grid layer needs to have the additional grid area, while the rest of the grid layer does not. For example, a layer of the grid is made with pattern shown in FIG. 8, while the other layers can have the pattern shown in FIG. 7. The portion of the grid with the shapes shown in FIGS. 8, 10, 17, and so on, can be released from the substrate for assembly or attached to a substrate composed of low atomic number material. The portion of the grid with the pattern shown in FIGS. 8, 10, 17, and so on, can be made from materials different from the rest of the grid. For example, these layers can be made of higher atomic number materials, while the rest of the grid can be made from the same or different material. The high atomic number material allows these parts to be thinner than if nickel were used. For gold, the height of the grid can be 20 to 50 μm for mammographic applications. The height of the additional grid areas depends on the x-ray energy, the grid material, the application and the tolerances for the transmission of primary radiation. The photoresist can be left in the grid openings to provide structure support, with little adverse impact on the transmission of primary radiation.3. The additional grid areas shown in FIGS. 9, 11, and so on, can be fabricated separately from the rest of the grid. These areas can be fabricated on a substrate composed of low atomic number material and remain attached to the substrate. These areas can be fabricated along with the assembly posts, which are exemplified in FIGS. 16a and 16b of U.S. Pat. No. 5,949,850, referenced above. Patterns shown in FIGS. 9, 11, and so on, can be made of a material different from the rest of the grid. For example, these layers can be made from materials with higher atomic weight, while the rest of the grid can be made of nickel. The high atomic weight material allows these parts to be thinner than if nickel were used. For gold, the height of the grid can be 20 to 100 μm for mammographic applications. The height of the additional grid areas depends on the x-ray energy, the grid material, the application and the tolerances for the transmission of primary radiation. The photoresist can be removed from the fabricated grid or collimator or left in on substrate composed of low atomic number material to provide structural support.A.2.f. Grid Parameters and Design Examples of the parameter range for mammography application and definitions are given below. Grid Pitch is Px. Aspect Ratio is the ratio between the height of the absorbing grid wall and the thickness of the absorbing grid wall. Grid Ratio is the ratio between the height of the absorbing wall including all layers and the distance between the absorbing walls. Best Case:for x-ray anti-scatter gridRangefor mammographyGrid TypeType I or IIType II/FIG. 10Grid Opening ShapeQuadrilateralSquareThickness of Absorbing Wall10 μm-200 μm≈20-30 μmon the top plane of the gridGrid Pitch for Type I1000 μm-5000 μmGrid Pitch for Type II100 μm-2000 μm≈300-1000 μmAspect Ratio for a Layer1-100>15Number of Layers1-1001-5Grid Ratio3-105-8However, it should be noted that different parameter ranges are used for different applications, and for different radiation wavelengths. Imaging radioactive sources distributed throughout a volume requires collimators to localize the source by eliminating the γ-rays from undesirable locations. Gamma-ray imaging is utilized in nuclear medicine, basic research, national defense applications, etc. FIG. 18 shows a focused collimator 832, a gamma camera 862, and γ-rays 860. The most commonly used radionuclides for planar scintigraphy and SPECT are iodine-123, 123I, (13 hr half time and photon energy of 160 keV), technetium-99m, 99mTc, (6.0 hour half time, photon energy 140 keV), and indium-111, 111In, (2.8 days half time, photon energy 173 keV (50%), 247 keV (50%)), as described in a book by R. E. Henkin, et al., Nuclear Medicine, Mosby, St. Louis, 1996, the entire contents of both being incorporated herein by reference. The desirable materials for collimators would be tungsten, gold, lead and materials with the highest possible atomic number and density. For some research and defense applications, the γ-ray energies can be higher than those cited above. Typically, the periodicity, the wall thickness and the height of collimators are larger than that of the grid. The collimator parameters can vary widely depending on the radioactive material and the needs of a particular application. Table 1 gives the physical properties of tungsten, gold and lead at 140 keV and Table II gives a set of collimator design parameters. TABLE IPhysical properties of tungsten, gold and lead at 140 keV.AttenuationAtomicDensityμ/ρCoefficientNumberρ (g/cm3)(cm2/g)μ (cm−1)Tungsten (W)7419.251.88236.23Gold (Au)7919.32.20942.63Lead (Pb)8211.362.3927.15 TABLE IIComparison of optimized collimator designs optimizedfor different materials for 140 keV.HoleHoleHolePeriodicityDiameterSideSeptaThicknessOptimized(μm)(μm)(μm)(μm)(cm)Tungsten (W)380338300800.92Gold (Au)380343304760.82Lead (Pb)380329291881.13The distance d that the 140 keV γ-ray travels in the material and its intensity decreases by a factor e is d=1/μ. C.1. Grid and Collimator Joint Designs: Designs of grid joints were described in U.S. Pat. Nos. 5,949,850 and 6,252,938 referenced. FIG. 19 shows a grid to be assembled from two sections, using the pattern of FIG. 7 as an example. The curved corner interlocks in the shape of 110 and 111 shown in FIG. 19 are found to be more desirable structurally than other joints. Straight line boundaries are also acceptable as long as they retain their relative alignments. The details of the corner can vary. C.2 Grid and Collimator Wall Orientations: The are many possibilities for grid and collimator walls: (a) The walls can be all perpendicular to the substrate, FIG. 20a. (b) Only one set of walls is perpendicular to the substrate while the other set of walls is parallel to each other but are not perpendicular to the substrate, FIG. 20b. (c) Both set of walls are parallel to each other but are not perpendicular to the substrate. (d) One set of walls is focused to a line, FIG. 20c, and the other set of walls is parallel. (e) One set of walls is defocused from a line, FIG. 20d, and the other set of walls is parallel. (f) Both sets of walls are focused to a point, FIGS. 1 & 2. (g) Both set of walls are defocused to a point. (h) Walls do not have identical point focus or identical line focus, FIG. 20e. C.3. Stacking: The manner in which tall grids are made in accordance with the present invention will now be discussed. For many applications, it is possible to make a grid or collimator in one piece. When it is not possible to make it in one piece at the desirable height, two ore more thinner pieces can be assembled in a stack. Stacking of 10 layers of 210 μm high grids has been demonstrated in accordance with the present invention, but as many as 100 layers or more can be stacked, if necessary, when the individual pieces are all fabricated with correct dimensions and assembled with adequate precision. An advantage of stacking is that the layers can be made of the same or similar material or of different materials. In the stacking arrangement, illustrated with parallel walls in FIG. 21a, layer 70, 80 and 90 can be made of same material, or of different materials. The materials within each layer do not have to be identical. For example, a grid that is fabricated by electroplating/electroforming can be composed of a layer of copper, followed by a layer of lead, and finished with a layer of copper, forming the structure shown in FIG. 21b. The advantages this structure is avoidance of planarizing lead surfaces, utilized the high absorption of x-rays and γ-rays, and stronger structure of copper than lead. C.4. Grid/Scintillators and Collimator/Scintillators: If desired, the holes of one or more layers of the grid or collimator can be filled with scintillators, solid, liquid, glue or any other material required for research or a specific application. Scintillators converts x-ray and γ-rays to optical or UF signal. Some examples of scintillators are phosphors, CsI, etc. In some applications, not all the holes need be filled. When the holes are filled with scintillator, the signal is confined to the hole avoiding blurring. The scintillator should only be in the lower portion of a layer or layers of the stack. FIG. 22a shows the side view of scintillator 33 filling the bottom of the holes for one layer of the grid or collimator. FIG. 22b shows the side view of two layers of anti-scatter grids with the scintillator 33 in all the holes of the bottom grid layer 32. The hole of the layer above 31 are not filled with scintillator. C.5. Attachment to Substrate: Grids and collimators can be free-standing pieces or attached to a substrate. The methods according to the present invention for manufacturing the grids and grid pieces discussed above (as shown, for example, in FIGS. 1, 2, 17, 18, and 19) will now be discussed. There are four general photoresist/substrate combinations for fabrication: (a) positive photoresist and silicon or similar substrate, (b) positive photoresist and graphite substrate, (c) negative photoresist and silicon or similar like substrate and (d) negative photoresist and graphite substrate. For positive photoresist, the part of the resist that is exposed to the x-rays or ultraviolet or other radiation is the part that is removed during development. The opposite is true for negative photoresist. All of the grids described above can be manufactured using the methodology that will now be described with reference to FIGS. 23a-23h and 24a-24f D.1. Fabrication Using Positive Photoresist and Not Graphite Substrates The first fabrication method, using positive photoresist and silicon substrates, is based on the techniques developed by Prof. Henry Guckel at University of Wisconsin at Madison called SLIGA. The details of fabrication are shown in FIGS. 23a-23h, with the lettered paragraphs corresponding to the lettered figures (e.g., paragraph (a) describes FIG. 23a). This method can make free standing nickel grids, but it cannot make free standing copper or lead grids and collimators, because the etch used to release the electroformed parts also dissolves the copper and lead parts. (a) A substrate 720, such as a silicon wafer, is prepared by sputtering the plating base and releasing metal (titanium/copper/titanium) 721 onto it. Copper (Cu) is used as the electroplating/electroforming electrode, while titanium (Ti) is used to adhere copper with the photoresist 710, and to connect copper with the substrate. (b) A thin layer of the photoresist 710 is spun on the substrate 720 followed by gluing on a thicker layer of the photoresist. The photoresist 710 of choice for the LIGA process is polymethyl-methacrylate (PMMA) because of the highly prismatic structures, with low run-outs, that can be fabricated from it. (c) The x-ray mask 730 is aligned onto the photoresist 710 attached to the substrate 720. This assembly is then exposed to an x-ray source 700, which transfers the pattern on the mask 730 to the photoresist 710. Synchrotron radiation is usually used, because of its very high collimation, high flux, and short wavelength. Within the irradiated sections of the resist layer, the polymer chains are destroyed, reducing the molecular weight. The unexposed regions of the resist were covered by the gold absorbers on the mask during irradiation. (d) The exposed photoresist is then developed; the exposed resist is selectively dissolved by a solvent, while the unexposed resist 710 remains unchanged. The top layer of the Ti plating 721 has to be removed by wet etch before electroplating/electroforming, because Ti is not a good electroplating/electroforming contact. (e) Metal 740 is electroplated into the pattern. (f) The electroplated metal 740 is lapped and polished to the desired metal height with an accuracy of ±1 μm. (g) The photoresist mold 710 is then removed by dissolving it chemically. (h) The device is released from the substrate 720 by etching away the copper on the substrate.D.2 Fabrication using Positive Photoresist with Graphite Substrate The fabrication method using positive photoresist and graphite substrate is shown in FIGS. 24a-24f, with the lettered paragraphs corresponding to the lettered figures (e.g., paragraph (a) describes FIG. 24a). (a) A thin layer of the photoresist 710 is spun on the graphite substrate 725 followed by gluing on a thicker layer of the photoresist. The sacrificial layer (Ti/Cu/Ti), needed for FIG. 23a, is no longer required. (b) The x-ray mask 730 is aligned onto the substrate with the photoresist 710. This setup is then exposed by an x-ray source 700, which transfers the pattern on the mask 730 to the photoresist 710. Within the irradiated sections of the resist layer the polymer chains are destroyed, reducing the molecular weight. The unexposed regions of the resist were covered by the gold absorbers on the x-ray mask during irradiation. (c) The exposed photoresist 710 is then developed, the exposed resist is selectively dissolved while the unexposed resist remain unchanged. (d) Metal 740 is electroplated into the patterned photoresist 710. (e) Graphite substrate 725 is removed by abrasion. The grid or collimator is polished on both sides. (f) The remaining photoresist can then be left in place or removed by wet etch leaving the metal 740.D.3. Fabrication Using Negative Photoresist and Not Graphite Substrate The fabrication method using negative photoresist and silicon substrate is similar to that shown in FIGS. 23a-23h, except that the mask has the reverse pattern from the positive photoresist. An example of negative photoresist is SU-8. SU-8 can be exposed by x-rays or by ultraviolet radiation in the 350-400 nm wavelength regime. A separate release layer is required on the substrate and the releasing material is evolving. An example of a releasing material is manufactured by MicroChem Corp. D.4. Fabrication Using Negative Photoresist and Graphite Substrate The fabrication method using negative photoresist and graphite substrate is similar to that shown in FIGS. 24a-24f, except that the mask has the reverse pattern from the positive photoresist. The method to remove the negative photoresist, the step from FIG. 24e to FIG. 24f, is dependent on the material. Using SU-8 as an example of negative photoresist, the grid with the SU-8 has to be baked at a temperature of 500° C. after polishing on both sides. The SU-8 shrinks and releases the grid or collimator. D.5 Additional Advantages of Graphite as Substrate Beside the fact that graphite can be used to fabricate freestanding grids and collimators using copper, lead, or any material that can be electroplated/electroformed or cast, it has three other advantages for use as a substrate. Graphite has a low atomic number, so that it is transparent to x-ray radiation. Graphite is conducting, so that no electroplating/electroforming layer of Ti/Cu/Ti is required, simplifying the fabrication process. In addition, the graphite surface is rougher than silicon, so that attachment of photoresist to the substrate is stronger than to the silicon substrate with the Ti/Cu/Ti layer. Focused grids and collimators of any pattern can be fabricated by the method described in U.S. Pat. No. 5,949,850, referenced above. For all grids or collimators that do not have parallel walls, methods for exposing the photoresist using a sheet of parallel x-ray beams and positive photoresist are described below. E.1. Exposure of Focused Grid Design Type I For Linear Motion or Focused Collimator in a Single Piece If the pattern of the focused grid or collimator in the x-y plane, consisting of quadrilateral shaped openings formed by two intersecting sets of parallel lines, can be made in one piece (not including the border and other assembly parts), the easiest method is to expose the photoresist twice with two masks. The pattern of FIG. 4 is used as an example to assist in the explanation below. 1. For illustration purposes, the case where the central ray is located at the center of the grid or collimator, as shown in FIG. 25, which is marked by a virtual “+” sign 100, will be considered. Two imaginary reference lines 201 and 101 are drawn running through the “+” sign, parallel to grid walls A and B, respectively.2. The grid or collimator pattern requires double exposure using two separate masks. The desired patterns for the two masks are shown in FIGS. 26a and 26b. 3. The photoresist exposure procedure by the sheet x-ray beam is shown in FIGS. 27a and 27b. For the first exposure, an x-ray mask 730, with pattern shown in FIG. 26a or 26b, is placed on top of the photoresist 710 and properly aligned, as follows. In FIG. 27a, the sheet x-ray beam 700 is oriented in the same plane as the paper, and the reference lines 101 in FIGS. 26a or 26b of the x-ray masks 730 are parallel to the sheet x-ray beam 700. In FIG. 27b, the sheet x-ray beam 700 is oriented perpendicular to the plane of the paper, as are the reference lines of x-ray mask 730. The x-ray mask 730, photoresist 710, and substrate 720 form an assembly 750. The assembly 750 is positioned in such a way that the line 740 connecting the virtual “+” sign 100 with the virtual point x-ray source 62 is perpendicular to the photoresist 710. The angle α is 0° when the reference line 101 is in the plane of the x-ray source 700. To obtain the focusing effect in the photoresist 710 by the sheet x-ray beam 700, the assembly 750 rotates around the virtual point x-ray source 62 in a circular arc 760. This method will produce focused grids with opening that are focused to a virtual point above the substrate.There are situations when one would like to produce a defocused grid or collimator, with walls focused to a virtual point below the substrate as shown in FIG. 27c. In FIG. 27c, the sheet x-ray beam 700 is oriented perpendicular to the plane of the paper, as are the reference lines of x-ray mask 730. The assembly 750 is positioned in such a way that the line 740 connecting the virtual “+” sign 100 with the virtual point x-ray source 62 is perpendicular to the photoresist 710. The angle α is 0° when the reference line 101 is in the plane of the x-ray source 700. To obtain the defocusing effect in the photoresist 710 by the sheet x-ray beam 700, the assembly 750 rotates around the virtual point x-ray source 62 in a circular arc 770.4. For the second exposure, the second x-ray mask is properly aligned with the photoresist 710 and the substrate 720. The exposure method is the same as in FIGS. 27a and 27b or 27c. 5. To facilitate assembly and handling of a grid, a border is desirable. The border can be part of FIGS. 20a or 20b; or it can use a third mask. The grid border mask should be aligned with the photoresist 710 and its exposure consists of moving the assembly 750 such that the sheet x-ray beam 700 always remains perpendicular to the photoresist 710, as shown in FIG. 30. The assembly 750 moves along a direction 780.6. The rest of the fabrication steps are the same as in described in U.S. Pat. No. 5,949,850, referenced above.E.2. Exposure of Positive Photoresist Using Sheet X-Ray Beam Unfocused grids and collimators, with two sets of parallel walls and at lease one set of parallel walls is perpendicular to the substrate of any design and orientation, can be easily fabricated with one mask using a sheet x-ray beam. Photoresist/substrate is to be oriented at the appropriate angle α as the x-ray beam sweeps across the mask as shown in FIGS. 27a and 27d. Unfocused grids and collimators with both sets of parallel walls not perpendicular to the substrate will require double exposure with two masks consisting of lines, exposing as shown in FIG. 27d with one mask and repeat the step shown in FIG. 27d with the second mask. When grid size is too large to be made in one piece, sections of grid parts can be made and assembled from a collection of grid pieces. E.3. Exposure of Focused Grid Design Type I For Linear Motion or Focused Collimator and Each Layer of the Grid or Collimator is Assembled from Two or More Pieces If two or more pieces of the grid or collimator are required to make a large device, the exposure is more complicated. In this case, at least three masks are required to obtain precise alignment of the pieces. The desired exposure of the photoresist is shown in FIG. 29, using pattern 115 shown on the right-hand-side of FIG. 19 as an example. The effect of the exposure on the photoresist outside the dashed lines 202 is not shown. The desirable exposure patterns are the black lines 120 for one surface of the photoresist, and are the dotted lines 130 for the other surface. The location of the central x-ray is marked by the virtual “+” sign at 200. The shape of the left border is preserved and all locations of the grid or collimator wall are exposed. Although the procedures discussed above with regard to FIGS. 29a and 29b are generally sufficient to obtain the correct exposure near the grid or collimator joint using two masks, one for wall A and one for wall B, incorrect exposure may occur from time to time. This problem is illustrated in FIG. 30. The masks are made so as to obtain correct photoresist exposure at the surface of the photoresist next to the mask. The dotted lines 130 denote the pattern of the exposure on the other surface of the photoresist. Some portions of the photoresist will not be exposed 140, but other portions that are exposed 141 should not be. The effect of the exposure on the photoresist outside the dashed lines 202 is not shown. At least three x-ray masks are required to alleviate this problem and obtain the correct exposure. Each edge joint boundary requires a separate mask. These are shown in FIGS. 31a-31c. FIG. 31a shows a portion of the grid lines B as lines 150, which do not extend all the way to the grid or collimator joint boundary on the left. FIG. 31b shows a portion of the grid lines A as items 160, which do not extend all the way to the grid joint boundary on the left. FIG. 31c shows the mask for the grid joint boundary on the left. The virtual “+” 200 shows the location of the central ray 63 in FIGS. 31a-31c. The distances from the joint border to be covered by each mask depend on the grid dimensions, the intended grid height, and the angle. The exposures of the photoresist 710 by all three masks shown in FIGS. 31a-31c follow the method described above with regard to FIGS. 29a and 29b or FIGS. 29a and 29c. The three masks have to be exposed sequentially after aligning each mask with the photoresist. If this pattern is next to the border of the grid or collimator as shown in FIG. 32, then the grid boundary 180 can be part of the mask of the grid joint boundary on the left, as shown in FIG. 33. At a minimum, the grid border 180 consists of a wide grid border for structural support, may also include patterned outside edge for packaging, interlocks and peg holes for assembly and stacking. The procedure would be to expose the photoresist 710 by masks shown in FIGS. 31a and 31b following the method described in FIGS. 29a and 29b or FIGS. 29a and 29c. The exposure of the joint boundary section 170 in FIG. 33 follows the method described in FIGS. 29a and 29b or FIGS. 29a and 29c while the exposure of the grid border section 180 in FIG. 33 follows the method described in FIG. 30. The location of the joint of the two pieces can have many variation other than that is shown in FIG. 19. The masks, boarders and exposure methods have to be adjusted accordingly, but the concept remains the same. E.4. Exposure of Focused Grid Design Type II For Linear Motion The exposure of the photoresist for a “tall” type II grid pattern design for linear grid motion, such as those grid patterns illustrated in FIGS. 8, 10, 17, and so on, can be implemented based on the methods described in U.S. Pat. No. 5,949,850, referenced above. The grid is considered “tall” whenH sin(Φmax)>>s,where H is the height of a single layer of the grid, Φmax is the maximum angle for a grid as shown in FIGS. 2 and 3, and s is related to the thickness of the grid wall as shown in FIGS. 7, 8, 10 and 17. “High” grids are not easy to expose using long sheet x-ray beams when the same grid pattern is implement from top to bottom on the grid. As described in an earlier section, the grid shape shown in FIGS. 8, 10, 17, and so on, need only be just high enough to block the primary radiation without causing undesirable exposure. Using the grid pattern shown in FIG. 10 as an example, three x-ray masks, FIGS. 34a, 34b and 34c can be used for the exposure. Additional x-ray masks might be required for edge joints and borders. The exposure of the photoresist for the joints and borders would be the same as for that describing FIG. 33. The virtual “+” 210 shows the location of the central ray 63 in FIGS. 34a, 34b and 34c. The dashed lines 211 denote the reference line used in the exposure of the photoresist by sheet x-ray beam as described in FIGS. 29a and 29b or FIGS. 29a and 29c. The three masks have to be exposed sequentially after aligning each mask with the photoresist.E.5. Exposure of the Focused or Unfocused Grids and Collimators Using a Point Source The method to expose photoresist to obtain a focused or unfocused grid or collimator can be achieved using point, parallel UV or x-ray source. To obtain the correct exposure at each location on the photoresist, the photoresist/substrate has to be properly oriented with respect to the source by moving the photoresist/substrate. A description to obtain focused grid or collimator using point, parallel UV or x-ray source 703 is shown in FIGS. 35a and 35b. An optical mask can be used for UV exposure. An x-ray mask is needed for x-ray exposure. The layout of the mask can be the pattern needed for the grid or collimator, and the assembly of mask 731 and the photoresist/substrate have to be moved appropriately during the exposure. For unfocused grids and collimators, the orientation of the UV or x-ray source respect to the photoresist/substrate remains the same as the source sweeps across its surface. For focused grids and collimators, the assembly of mask and photoresist/substrate are moved in an arc to simulate the cone shape of the source located at a fixed imaginary point 64. E.6. Exposure of the Focused Grids and Collimators using a Cone Beam Source The UV photoresist exposure method to obtain a focused grid or collimator with a cone beam UV source or a point parallel UV source that sweeps across the optical/resist simulating a cone beam is shown in FIG. 36. The assembly of the mask and the photoresist/substrate do not need to be moved during the exposure. F.1. Other Methods of Fabrication of Mold on Graphite for Electroplating/Electroforming for General Applications, as Well as for Grids and Collimators. For some grid and collimator applications the mold structure shown in FIG. 24c can be achieved by means other than lithography. The trenches, shown in FIG. 24c can sometimes be produced by mechanical machining, laser ablation, reactive ion etching, or other means. All the fabrication steps are the same as FIGS. 24a-24f, except step 24b. The mold material can be a photoresist or any other material that can be attached to the graphite. When the trenches are cut all the way through to the graphite looking like FIG. 24c, then the grid, collimator, or any other device can be fabricated by electroplating/electroforming following the same procedures as FIGS. 24d-24f. This is made possible by the conducting property of graphite substrate. F.2. Fabrication of Molds on Graphite for Casting With the appropriate choice of the mold material on graphite substrate and any appropriate methods to fabricate the trenches, the mold can be used to cast structures for general applications as well as for grids and collimator. The graphite substrate can be removed abrasively to release the grid or collimator. This would be possible for low melting temperature metals such as lead. A freestanding copper grid appropriate for mammography x-ray energies with parallel wall was made using deep x-ray lithography and copper electroplating/electroforming on graphite substrate. The exposure is performed using x-rays from the bending magnet beamline 2BM at the Advanced Photon Source of Argonne National Laboratory. A scanning electron microgram (SEM) of the copper grid is shown in FIG. 37. The parameters of the grid are: 25 μm lamellae, 550 μm period, 1 mm high and 60×60 mm2 area including a 2.5 mm boarder. The results are described in the paper: O. V. Makarova, C.-M. Tang, D. C. Mancini, N. Moldovan, R. Divan, D. G. Ryding, and R. H. Lee, “Micorfabrication of Freestanding Metal Structures Released from Graphite Substrates,” Technical Digest of The Fifteenth IEEE International Conference on Micro Electro Mechanical Systems, Las Vegas, Nev., USA, Jan. 20-24, 2002, IEEE Catalog Number 02CH37266, ISBN: 0-7803-7185-2, pp. 400-402, and the entire contents is incorporated herein by reference. Although only a few exemplary embodiments of this invention have been described in detail above, those skilled in the art will readily appreciate that many modifications are possible in the exemplary embodiments without materially departing from the novel teachings and advantages of this invention. Accordingly, all such modifications are intended to be included within the scope of this invention as defined in the following claims.
claims
1. An X-ray waveguide, comprising:a core for guiding an X-ray; anda cladding for confining the X-ray in the core,wherein:the cladding has a one-dimensional periodic structure containing materials having different real parts of refractive index;the materials include one of an organic material, a gas, and a vacuum, and an inorganic material; andthe core and the cladding are formed so that a critical angle for total reflection at an interface between the core and the cladding is smaller than a Bragg angle depending on a periodicity of the one-dimensional periodic structure. 2. The X-ray waveguide according to claim 1, wherein:the one-dimensional periodic structure contains a mesoporous material having a one-dimensional periodicity in a direction perpendicular to the interface between the cladding and the core; andthe mesoporous material is perforated with pores that elongate in a guiding direction of X-ray. 3. The X-ray waveguide according to claim 1, wherein the inorganic material comprises an oxide. 4. The X-ray waveguide according to claim 1, wherein the one-dimensional periodic structure includes a mesostructured film of lamellar structure. 5. The X-ray waveguide according to claim 1, wherein the number of periods of the one-dimensional periodic structure is 20 or more.
claims
1. A procedure for the repair of a defective section of a pipe in the primary circuit of a nuclear reactor cooled by pressurized water connecting a first and a second component of the primary circuit, the procedure comprising the steps:assembling a self contained unitary movable robotic work device having three main parts, a programmed and remote controlled working anthropomorphic arm movable along six axes, a crawler providing motion for the device, and a support for stabilizing the device in a pipe;introducing in its entirety the robotic work device, inclusive of its three main parts, into the pipe from one of the first and second components of the primary circuit;remotely controlling the positioning of the work device within the pipe;an end part of the arm being remotely operated under computer control to selectively pick up and replace on-board automatic tools to perform tasks respectively including—a) inspecting a pipe interior surfaceb) machining selected inspected interior portions of the pipe surface; andc) welding selected machined portions of the pipe interior surface.
summary
abstract
Provided is an installation structure for installing control rod drive mechanisms and cable sealing units in a nuclear reactor pressure vessel. The installation structure includes: a sealing flange having a ring shape and being hermetically coupled to an upper pressure vessel and a lower pressure vessel of the nuclear reactor pressure vessel; a cylindrical tube extending downward vertically from an internal edge of the sealing flange; and a support plate provided horizontally to block a lower end portion of the cylindrical tube. A plurality of mounting holes that penetrate through the sealing flange horizontally are arranged in the sealing flange with a predetermined interval therebetween along a circumferential direction, the cable sealing units are inserted and mounted in the plurality of mounting holes, and the control rod drive mechanisms are installed on the support plate to be supported.
050193210
abstract
A fusion power generating device is disclosed having a relatively small and inexpensive core region which may be contained within an energy absorbing blanket region. The fusion power core region contains apparatus of the toroidal type for confining a high density plasma. The fusion power core is removable from the blanket region and may be disposed and/or recycled for subsequent use within the same blanket region. The high density plasma produces a large radiation and particle flux on the first wall of the plasma core region thereby necessitating replacement of the core from the blanket region from time to time. A series of disposable and replaceable central core regions are disclosed for a large-scale economical electrical power generating plant.
044141769
claims
1. In a plasma device, a metallic member exhibiting reduced erosion when exposed to plasma at an elevated temperature, the member comprising: a metallic substrate composed of a first metal and a protective thin surface layer on the substrate, the surface layer comprising at least about 90 atomic percent of a second metal selected from the group consisting of alkali and alkaline earth metals, the first metal being more electronegative than the second metal, the surface layer being characterized by atoms of the second metal having ionic bonds to atoms of the first metal in the substrate and forming a higher ratio of secondary ions to neutrals than atoms of the second metal in bulk form when exposed to said plasma, and the binding energy between atoms of the first and second metal being below the binding energy between like atoms of the first metal and above the binding energy between like atoms of the second metal. 2. In a plasma device, the member of claim 1 wherein surface layer is a monolayer. 3. In a plasma device, the member of claim 1 wherein the second metal is an alkali metal. 4. In a plasma device, the member of claim 3 wherein the second metal is lithium. 5. In a plasma device, the member of claim 3 wherein the second metal is potassium. 6. In a plasma device, the member of claim 3 wherein the second metal is cesium. 7. In a plasma device, the member of claim 1 wherein the second metal is an alkaline earth metal. 8. In a plasma device, the member of claim 7 wherein the second metal is barium. 9. In a plasma device, the member of claim 1 wherein the first metal is aluminum. 10. In a plasma device, the member of claim 3 wherein the first metal is gold. 11. In a plasma device, the member of claim 1 wherein the substrate is composed of the first metal in a major amount and the second metal in a minor amount, the second metal migrating to the surface during exposure of the surface to said plasma to replenish second metal lost by erosion. 12. In a plasma device, the member of claim 11 wherein a portion of the second metal is adjacent the surface layer. 13. In a plasma device, the member of claim 11 wherein the minor amount ranges from a small yet effective amount to about 20 at.%. 14. In a plasma device, a metallic member exhibiting reduced erosion when exposed to plasma at an elevated temperature, the member comprising a bulk portion composed of first and second metals, and a surface layer composed of a second metal, a portion of the second metal on the surface being lost during exposure to said plasma, and the bulk portion providing second metal at said surface to reform said surface layer. 15. In a plasma device, the metallic member of claim 14 wherein said second metal is selected from the group consisting of an alkali metal and barium and is capable of producing a high secondary ion yield when exposed to particle radiation. 16. In a plasma device, the metallic member of claim 14 wherein said second metal has a low atomic number and is selected from the group consisting of lithium, sodium, and potassium. 17. A method of providing a self-sustaining surface of a metallic member in a plasma device, comprising the steps of: providing a structural member composed of an alloy of first and second metals, the first metal being more electronegative than the second metal with the second metal being selected from the group consisting of alkali and alkaline earth metals, the first and second metals having a binding energy between different atoms above the energy for like atoms of the second metal and below the energy for like atoms of the first metal, and applying energy to the structural member sufficiently to cause atoms of the second metal to migrate to form a surface layer predominantly of the second metal and an underlying substrate. 18. The method of claim 17 wherein the step of applying energy is carried by applying heat to heat the substrate to an elevated temperature. 19. The method of claim 18 wherein the first and second metals satisfy the equation EQU H.sub.1,2 =.OMEGA.+1/2(H.sub.1,1 +H.sub.2,2) 20. The method of claim 19 which includes the steps of exposing the first layer to plasma during which atoms of the second metal escape from the first layer, and continuing to apply energy to the substrate to cause atoms of the second metal in the substrate to migrate to the first layer. 21. The method of claim 20 which includes the step of providing an electromagnetic field to return a portion of the escaping atoms of the second metal to the surface layer. 22. A method of providing a self-sustaining surface of a metallic member in a plasma device, comprising the steps of: providing a structural member composed of an intermetallic compound of a first metal and a second metal, the first metal being more electronegative than the second metal with the second metal being present in an amount not greater than about 70 at.%, and exposing the surface to plasma to selectively sputter atoms of the first metal to escape the surface thereby leaving a layer of the second metal and an underlying substrate collectively forming the metallic member.
abstract
A feed-through element for harsh environments is provided that includes a support body with at least one access opening, in which at least one functional element is arranged in an electrically insulating fixing material. The electrically insulating fixing material contains a glass or a glass ceramic with a volume resistivity of greater than 1.0×1010 Ωcm at the temperature of 350° C. The glass or a glass ceramic has a defined composition range in the system SiO2—B2O3-MO.
summary
summary
060752505
description
DETAILED DESCRIPTION OF THE INVENTION Typical examples of the radiation image storage panels of the invention are explained below by referring to the attached drawings. FIG. 2 shows an example of the radiation image storage panel of the invention. The panel comprises a transparent support 21 and a phosphor layer 22 provided thereon containing stimulable phosphor particles, and protective films 23, 24 having a high scratch resistance and a large contact angle are provided on both of the top surface of the phosphor layer 22 and the back (bottom) surface of the support 21. The scratch resistance and the contact angle of the protective films are higher and larger than those on the surface of the support. FIG. 3 shows another example of the radiation image storage panel of the invention. The panel comprises a transparent support 31 and a phosphor layer 32 provided thereon containing stimulable phosphor particles, and a protective film 33 having a high scratch resistance and a large contact angle (which are superior to those of the surface of the support 31) is formed on the back (bottom) surface of the support 31. On the other hand, on the phosphor layer 32 there are provided a transparent resin film 34a and a protective film 34b having a scratch resistance and a contact angle which are higher and larger than those of the surface of the support 31. The radiation image storage panel of the invention has a basic structure which comprises a transparent support and a phosphor layer provided thereon, and on both surfaces (i.e., the top surface and the bottom surface) of which protective film having the specific properties is provided. The radiation image storage panel of the invention can be prepared in the following manner. As the transparent support of the panel, a transparent plastic film (or sheet) is usually used. The transparent support film can be optionally selected from the known materials employed for the conventional radiation image storage panel. Examples of the known materials include films of plastic materials such as polyethylene terephthalate, polyethylene naphthalate, polyamide and polyimidoamide. Other materials also can be employed, provided that the materials have enough strength and high transparency. The thickness of the transparent support film generally in the range of 10 to 1,000 .mu.m. The stimulable phosphor gives a stimulated emission (i.e., light emission) when it is irradiated with stimulating rays after it is exposed to radiation. In the preferred radiation image storage panel, a stimulable phosphor gives a stimulated emission of a wavelength in the range of 300 to 500 nm when it is irradiated with stimulating rays of a wavelength in the range of 400 to 900 nm. Examples of the preferred stimulable phosphors include divalent europium activated alkaline earth metal halide phosphors and a cerium activated alkaline earth metal halide phosphors. Both stimulable phosphors favorably give the stimulated emission of high luminance. However, the stimulable phosphors employable in the radiation image storage panel of the invention are not limited to the above-mentioned preferred stimulable phosphors. A usual stimulable phosphor layer comprises a binder and stimulable phosphor particles dispersed therein, and the binder can be optionally selected from the known materials employed for the conventional radiation image storage panel. A stimulable phosphor layer can be formed on the support in the known manner as follows. The stimulable phosphor and a binder are added to an appropriate solvent, and they are well mixed to prepare a coating dispersion for the formation of a phosphor layer in which the stimulable phosphor particles are homogeneously dispersed in a binder solution. A ratio between the binder and the phosphor in the coating dispersion may be determined according to the characteristics of the desired radiation image storage panel and the nature of the employed phosphor. Generally, the ratio is within the range of from 1:1 to 1:100 (binder:phosphor, by weight), preferably from 1:8 to 1:40. The dispersion thus prepared is coated evenly over the surface of a support to form a coated layer of the dispersion. The coating procedure can be carried out by a conventional method such as a method of using a doctor blade, a roll coater, or a knife coater. Then the coated layer of the dispersion is dried to form a stimulable phosphor layer on the support. The thickness of the phosphor layer varies depending upon the characteristics of the desired radiation image storage panel, the nature of the phosphor, the ratio between the binder and the phosphor, etc. Generally, the thickness of the phosphor layer is in the range of 20 .mu.m to 1 mm, preferably in the range of 50 to 500 .mu.m. The phosphor layer can be provided on the support a method other than that given in the above. For example, the phosphor layer is initially prepared on a sheet (i.e., temporary support) such as a glass plate, metal plate or plastic sheet using the aforementioned coating dispersion and thus prepared phosphor layer is then placed on the genuine support by pressing or using an adhesive agent. For the stimulable phosphor layer of the radiation image storage panel of the invention, not only a phosphor layer comprising a binder and a stimulable phosphor dispersed therein but also a phosphor layer composed of only an agglomerate of a stimulable phosphor containing no binder can be also employable. Also employable is a phosphor layer in which voids of stimulable phosphor agglomerate are impregnated with a polymer material. In the above-described manner, a composite body comprising the phosphor layer and the transparent support can be prepared. The radiation image storage panel of the invention is characterized by providing the specific protective film on both surfaces (i.e., the top surface and the bottom surface) of the composite body, and the protective film has a higher scratch resistance and a larger contact angle, as compared with those of the surface of the support. Since the protective film has a high scratch resistance and a high anti-staining property, the storage panel of the invention is hardly stained and the stains on the panel are easily removed out with an organic solvent. The protective film of the invention is preferably made of a fluororesin alone or a resin composition mainly containing a fluororesin. The protective film may comprise a transparent film and a protective layer provided thereon which is made of a fluororesin alone or a resin composition mainly containing fluororesin. A preferred protective film of the invention can be produced in the following manner: a coating liquid (in the form of dispersion or solution) for the formation of the protective film is prepared by adding a film-forming resin composition (such as a fluororesin alone, a fluororesin and other film-forming resins, or a fluororesin and oligomer having a polysiloxane structure and/or having a perfluoroalkyl group) to an appropriate solvent, and the liquid mixture thus prepared is coated evenly on the back surface of a support and the surface of the phosphor layer by means of coating means such as a doctor blade, and then the coated layer of the liquid is dried to form the protective film. The protective film may be made in advance of forming the composite body comprising the support and the phosphor layer. For instance, the radiation image storage panel of the invention can be also produced in the following manner: a protective film is beforehand formed by coating the above-described coating liquid on one surface of the support, and independently another protective film is beforehand formed in the same manner on the surface of the phosphor sheet, and then the support and the phosphor sheet are combined to give the radiation image storage panel. The film-forming resins employable for forming the protective film in conjunction with the fluororesin are selected from known materials such as polyurethane resin, polyacryl resin, cellulose derivatives, polymethyl methacrylate, polyester resin and epoxy resin. The fluororesin can be a homopolymer of a fluorine atom-containing olefin or a copolymer of fluorine atomcontaining olefin and one or more other monomers. Examples of the fluororesins include polytetrafluoroethylene, polychlorotrifluoroethylene, polyfluorinated vinyl, polyfluorinated vinylidene, tetrafluoroethylene-hexafluoropropylene copolymer, and fluoroolefin-vinyl ether copolymer. Most of the fluororesins are insoluble in organic solvents. However, copolymers of the fluoroolefin and other polymerizable monomer can be made soluble in a certain organic solvent if an appropriate monomer is combined. Therefore, such soluble fluororesins can be dissolved in an appropriate organic solvent to prepare a coating solution, and thus prepared coating solution can be applied on the support or on the phosphor layer and dried to form a protective film containing the fluororesin. Examples of such soluble fluororesin copolymer include fluoroolefin-vinyl ether copolymer. Besides fluoroolefin-vinyl ether copolymer, tetrafluoroethylene and its modified polymer are also employable because they are soluble in fluorine atom-containing organic solvents such as a perfluoro solvent. The film-forming composition may contain a cross-linking agent, a hardening agent and an anti-yellowing agent. If a fluororesin is employed for forming the protective film, the fluororesin is preferably crosslinked to increase strength and durability of the film. Examples of the preferred crosslinking agents include a compound having a plural number of isocyanate groups (e.g., polyisocyanate) and melamine derivatives. The oligomer having polysiloxane structure employable for forming the protective film with the fluororesin is, for example, an oligomer having dimethylpolysiloxane structure. The oligomer preferably has at least one functional group (e.g., hydroxyl group), and the molecular weight preferably is in the range of 500 to 100,000 (weight average), more preferably 1,000 to 100,000 and particularly preferably 3,000 to 100,000. The oligomer having a perfluoroalkyl group (e.g., tetrafluoroethylene group) preferably has at least one functional group (such as hydroxyl group), and the molecular weight is preferably in a range of 500 to 100,000 (weight average), more preferably 1,000 to 100,000 and particularly preferably 3,000 to 100,000. The oligomer having functional group is preferably employed for forming the protective film. Such oligomer is incorporated into the molecular structure of the film-forming resin comprising a fluororesin during the crosslinking reaction between the oligomer and the resin for the formation of the protective film, and therefore the oligomer is hardly removed by cleaning the film surface with an organic solvent or by repeated use of the radiation image storage panel for a long period. Accordingly, the effect of the incorporation of the oligomer continues for long time. The film-forming composition comprising a fluororesin contains the above oligomer preferably in an amount of 0.01-10 wt. %, more preferably 0.1-2 wt. %. The film-forming composition may contain a perfluoroolefin resin powder or a silicone resin powder. The mean particle size of the powder is preferably in a range of 0.1 to 10 .mu.m, more preferably 0.3 to 5 .mu.m. The amount of the powder is preferably in a range of 0.5 to 30 wt. %, more preferably 2 to 20 wt. %, and further preferably 5 to 15 wt. %. The protective film, particularly the protective film on the transparent support side, preferably comprise a fluororesin and light-scattering particles. The protective film preferably further contains a dispersing agent such as a coupling agent of a titanate type or an aluminate type. The light-scattering particles preferably contains in an amount of 1 to 30 weight % (more preferably 5 to 20 weight %, most preferably 10 to 20 weight %) in the fluororesin-containing protective layer. The light-scattering particles preferably have a mean particle size smaller than the thickness of the protective layer into which the particles are incorporated. For instance, the light-scattering particles preferably have a mean particle size of 0.05 to 5 .mu.m, particularly 0.1 to 1.0 .mu.m. The light-scattering particles preferably a refractive index higher than that of the fluororesin or a mixture of a fluroresin and other polymers in the protective layer, so that effective light-scattering proprerty is introduced into the protective layer. In view of the advantageous high light-scattering property provided by the incorporated light-scattering property and disadvantageous features such as decrease of strength of the coated film and decrease of uniformity of the protective film, the light-scattering particles are preferably incorporated into the fluororesin-containing protective layer in an amount of 1 to 30 weight %, more particularly 5 to 20 weight %, most preferably 10 to 20 weight %. There are no specific limitations with respect to the light-scattering particles incorporated into the protective layer, so long as the particles have a refractive index higher than that of the fluororesin or a mixture of the fluororesin and other polymers employed for the preparation of the protective layer. Organic fine particles and inorganic fine particles are both employable. Examples of the preferred light-scattering particles include benzoquanamine resin particles having a mean particle size of 0.1 to 0.5 .mu.m, melamine-formaldehyde condensation resin particles having a mean particle size of 0.1 to 0.5 .mu.m, and titanium dioxide particles having a mean particle size of 0.1 to 0.5 .mu.m. It is preferred that the light-scattering particles are uniformly dispersed in the fluororesin-containing protective layer. Therefore, the light-scattering particles are preferably treated on their surfaces so as to improved dispersibility. Otherwise, a dispersing agent is incorporated into a coating solution containing the fluororesin or a mixture of the fluororesin and other polymers and the light-scattering particles. Examples of the employable dispersing agents include cationic dispersants, anionic dispersants, nonionic dispersants, and amphoteric dispersants (e.g., betaine-type surfactants). Also employable are coupling agent-type dispersants such as silane-coupling agents, titanate-coupling agents, and aluminum-coupling agents. The titanate-coupling agents and the aluminum-coupling agents are most preferred. The coupling agent is preferably incorporated into the protective layer in an amount of 0.5 to 5.0 weight %, based on the amount of the light-scattering particles. As is described above, the protective film may comprise a transparent film and a protective layer provided thereon. The transparent film can be optionally selected from those known as a protective film of the conventional radiation image storage panel, for instance, films of polyethylene terephthalate, polyethylene naphthalate, polyamide, polycarbonate, polyvinylidene chloride, polyimide and aramide. Other plastic materials also can be employed, provided that the plastic materials have enough strength and high transparency. The thickness of the transparent film of plastic material generally ranges from 1 to 10 .mu.m. EXAMPLE 1 The radiation image storage panel of the invention was prepared in the following manner. (1) 200 g of a stirnulable phosphor (BaFBr.sub.0.9 I.sub.0.1 :0.001Eu.sup.2+), 8 g of a polyurethane elastomer (Pandex T-5265H, product of Dai-Nippon Ink Chemical Industries Co., Ltd.) and 2 g of an epoxy resin (Epikote 1001, product of Yuka Shell Epoxy Co., Ltd.) were placed in methyl ethyl ketone and dispersed by means of a propeller mixer to give a coating dispersion of a viscosity of 25-30 PS (at 25.degree. C.). The coating dispersion was coated on a polyethylene terephthalate temporary support having silicone release coating. After the coated layer was dried at 100.degree. C. for 15 minutes, the dried layer was peeled from the temporary support to prepare a stimulable phosphor sheet having a thickness of 300 .mu.m. (2) 70 g of a fluororesin (fluoroolefin-monovinyl ether copolymer: Lumiflon LF504X, product of Asahi Glass Co., Ltd.), 12 g of an isocyanate crosslinking agent (Olestar NP38-70S, product of Mitsui Toatsu Chemicals, Inc.), 0.55 g of a lubricant (silicone resin: X-22-2809, product of The Shin-Etsu Chemical Co., Ltd.) and 0.0004 g of a catalyst (dibutyltin laurate, KS-1260, product of Kyodo Yakuhin Co., Ltd.) were dissolved in a mixture of methyl ethyl ketone and cyclohexane to prepare a coating solution containing the resin in an amount of 14 wt. %, and then the viscosity of coating solution was adjusted to 2-3 PS. The prepared solution was then coated and dried on a polyethylene terephthalate film (thickness: 300 .mu.m) to give a dry coated layer of 7 .mu.m thick. Thus, a transparent support having a protective film on one side was produced. The scratch resistance and the contact angle of the surface of the protective film provided on the support (polyethylene terephthalate film) and those of the unprotected surface of the same support were measured in the following manner; contact angle: methylene iodide was dropped onto the sample surface, and after 60 seconds the value of the contact angle was measured; PA1 scratch resistance: the sample surface was scratched with a pencil and the scratch value was determined in accordance with JIS (Japanese Industrial Standard). PA1 surface of the protective film: PA1 surface of the protective film: PA1 surface of the protective film: PA1 surface of the protective film: The results are as follows: contact angle: 75.degree., scratch resistance: 3B unprotected surface of the same support: PA2 contact angle: 78.degree., scratch resistance: 3B unprotected surface of the same support: PA2 contact angle: 32.degree., scratch resistance: 4B. PA2 contact angle: 67.degree., scratch resistance: 3B unprotected surface of the same support: PA2 contact angle: 32.degree., scratch resistance: 4B. PA2 contact angle: 70.degree., scratch resistance: 3B unprotected surface of the same support: contact angle: 32.degree., scratch resistance: 4B. PA3 contact angle: 32.degree., scratch resistance: 4B. (3) The phosphor sheet prepared in the above procedure (1) was overlaid on the other surface (on which the protective film was not provided) of the support of (2) via an adhesive agent, and then pressed and heated at 60-70.degree. C. by means of a heating roll. Thus, a phosphor layer (thickness: 200 .mu.m) was provided, via a subbing layer, on the support having protective film was obtained. (4) A transparent polyethylene terephthalate film (thickness: 6 .mu.m, having an adhesive layer of a polyester adhesive agent on one surface) was overlaid on the above phosphor layer under the condition that the adhesive layer was brought into contact with the phosphor sheet, and then pressed and heated at 90-100.degree. C. by means of a heating roll. Independently, 50 g of 50 wt. % xylene solution of a fluororesin (fluoroolefin-vinyl ether copolymer: Lumiflon LF100, product of Asahi Glass Co., Ltd.), 5 g of an isocyanate crosslinking agent (Colonate HX, solid content 100 wt. %, product of Nippon Polyurethane Co., Ltd.) and 0.5 g of an alcohol-modified silicone oligomer (X-22-2809, solid content 66 wt. %, product of Shin-Etsu Chemical Co., Ltd., which had dimethylpolysiloxane structure and had hydroxyl groups (carbinol groups) in both terminals), were dissolved in methyl ethyl ketone to prepare a coating solution (viscosity: 0.1-0.3 PS). The prepared coating solution was coated on the above polyethylene terephthalate film provided on the phosphor layer by means of a doctor blade, and then heated and dried to cure at 120.degree. C. for 20 minutes to prepare a protective layer (thickness: about 2 .mu.m) on the transparent film. Thus, a radiation image storage panel of the invention was prepared. EXAMPLE 2 The procedure of Example 1 was repeated, except for preparing the protective film on the support in the following manner, to produce a radiation image storage panel of the invention. 70 g of a fluororesin (fluoroolefin-monovinyl ether copolymer: Lumiflon LF504X, product of Asahi Glass Co., Ltd.), 7 g of a melamine crosslinking agent (Cymel 303, product of Mitsui Cytec Co., Ltd.), 0.55 g of a lubricant (silicone resin: X-22-2809, product of The Shin-Etsu Chemical Co., Ltd.) and 0.5 g of a catalyst (Catalyst 4040, product of Mitsui Cytec Co., Ltd.) were dissolved in a mixture of methyl ethyl ketone and cyclohexane to prepare a coating solution containing the resin in an amount of 18 wt. %, and then a viscosity of the coating solution was adjusted to 2-3 PS. The prepared solution was then coated and dried on a polyethylene terephthalate film (thickness: 300 .mu.m) to give a dried layer of 10 .mu.m thick. Thus, a transparent support having a protective film on one surface was provided. The scratch resistance and the contact angle of the surface of the protective film provided on the support (polyethylene terephthalate film) and those of the unprotected surface of the same support were measured in the manner as in Example 1. The results are as follows: EXAMPLE 3 The procedure of Example 1 was repeated, except for preparing the protective film on the support in the following manner, to produce a radiation image storage panel of the invention. 70 g of a fluororesin (fluoroolefin-monovinyl ether copolymer: Lumiflon LF504X, product of Asahi Glass Co., Ltd.), 5.2 g of an isocyanate cross-linking agent (Sumijule N3500, product of Sumitomo Bayer Urethane Co., Ltd.), 6.7 g of a lubricant (silicone resin: X-22-2809, product of The Shin-Etsu Chemical Co., Ltd.), 0.3 g of a catalyst (dibutyltin laurate, KS-1260, product of Kyodo Yakuhin Co., Ltd.), melamine-formaldehyde condensation resin particles (mean diameter: 0.6 .mu.m, refractive index: 1.57, Eposter S-6, product of Nihon Catalyst Co., Ltd.), and 0.12 g of a titanate-coupling agent (Prenact AL-M, product of Azinomoto Co., Ltd.) were dissolved or dispersed in a mixture of methyl ethyl ketone and cyclohexane to prepare a coating solution containing the resin in an amount of 12 wt. %. The prepared solution was then coated and dried on a polyethylene terephthalate film (thickness: 300 .mu.m) to give a dried layer of 7 .mu.m thick. Thus, a transparent support having a protective film on one surface was provided. The resin composition comprising the fluororesin and other binder compositions had a refractive index of 1.45. The scratch resistance and the contact angle of the surface of the protective film provided on the support (polyethylene terephthalate film) and those of the unprotected surface of the same support were measured in the manner as in Example 1. The results are as follows: EXAMPLE 4 The procedure of Example 1 was repeated, except for preparing the protective film on the support in the following manner, to produce a radiation image storage panel of the invention. 70 g of a fluororesin (fluoroolefin-monovinyl ether copolymer: Lumiflon LF504X, product of Asahi Glass Co., Ltd.), 7 g of a melamine crosslinking agent (Cymel 303, product of Mitsui Cytec Co., Ltd.), 6.7 g of a lubricant (silicone resin: X-22-2809, product of The Shin-Etsu Chemical Co., Ltd.), 6.62 g of melamine-formaldehyde condensation resin particles (mean diameter: 0.6 .mu.m, refractive index: 1.57, Eposter S-6, product of Nihon Catalyst Co., Ltd.), 0.12 g of a titanate-coupling agent (Prenact AL-M, pro-duct of Azinomoto Co., Ltd.), and 0.5 g of a catalyst (Catalyst 4040, product of Mitsui Cytec Co., Ltd.) were dissolved or dispersed in a mixture of methyl ethyl ketone and cyclohexane to prepare a coating solution containing the resin in an amount of 12 wt. %. The prepared solution was then coated and dried on a polyethylene terephthalate film (thickness: 300 .mu.m) to give a dried layer of 7 .mu.m thick. Thus, a transparent support having a protective film on one surface was provided. The resin composition comprising the fluororesin and other binder compositions had a refractive index of 1.45. The scratch resistance and the contact angle of the surface of the protective film provided on the support (polyethylene terephthalate film) and those of the unprotected surface of the same support were measured in the manner as in Example 1. The results are as follows: COMPARISION EXAMPLE 1 The procedure of Example 1 was repeated except for not providing the protective film on the support to produce a radiation image storage panel for comparison. Evaluation of Radiation Image Storage Panel The scratch resistance and the anti-staining property of the panels of Examples 1 and 2 and Comparison Example 1 were evaluated by the following tests. (1) Durability test for conveying The radiation image storage panel was cut to prepare a rectangular sample piece (100 mm.times.250 mm), and then the sample piece was subjected to a durability test for conveying in a model conveying system (a miniature of conveying system of a commercially available radiation image recording and reproducing apparatus). The durability test was carried out by repeating a series of procedures consisting of: conveying the sample piece between a guide plate and nip rolls, bending by force once upward and then downward around a rubber roll (diameter: 40 mm) by a conveying belt, and then conveying back between the guide plate and nip rolls to the initial position. After those procedures were repeated 3,000 times, cracks occurring on the protective film of the sample were observed. If there was no crack on the film, the same procedures were further repeated another 7,000 times and then cracks were observed. The results are shown in Table 1. (2) Deterioration Test of Sensitivity X-rays were imagewise applied on the radiation image storage panel having been subjected to the above durability test (the procedures had been repeated 3,000 times), and the storage panel was scanned with He--Ne laser beam to stimulate the phosphor. Light emitted from the phosphor was detected from both the upper and the lower surface sides to obtain image data. According to the obtained data, sensitivity (an amount of stimulated emission) of the area having been in contact with parts of the conveying system (belt, rolls, etc.,) was calculated to evaluate deterioration by conveying procedures. The results are shown in Table 1. TABLE 1 ______________________________________ conveying durability (cracks on the film) sensitivity decrease ______________________________________ Ex. 1 not observed 0% (after 4,000 times procedures) Ex. 2 not observed 0% (after 4,000 times procedures) Ex. 3 not observed 0% (after 4,000 times procedures) Ex. 4 not observed 0% (after 4,000 times procedures) Comp. Ex. 1 observed 3% (after 2,000 times procedures) ______________________________________
claims
1. A reflective mirror comprising:a base; anda multilayer film configured to reflect at least a portion of incident light, the multilayer film including a first layer and a second layer that are laminated alternately on the base, the multilayer film having a periodic length defined as a sum of the thickness of the first layer and the thickness of the second layer,wherein the base includes a first surface and a second surface, the first surface and the second surface formed with first and second height levels, respectively, relative to a surface of the multilayer film, wherein the first and second height levels are different from each other, andwherein the multilayer film includes a first portion formed on the first surface and a second portion formed on the second surface, and further wherein the periodic length at the first portion is different from the periodic length at the second portion, and the periodic length at the first portion and the periodic length at the second portion are set such that a difference in reflectance between the first portion and the second portion is reduced, while the multilayer film is configured to reflect a portion of the incident light that is incident at a first angle on the first portion of the multilayer film, and reflect a portion of the incident light that is incident at a second angle on the second portion of the multilayer film, the second angle being different from the first angle. 2. The reflective mirror according to claim 1, wherein the first portion of the multilayer film is provided at a position rotationally symmetric to a position of the second portion of the multilayer film about an optical axis of the reflective mirror. 3. The reflective mirror according to claim 1, wherein at least one of the first and second height levels is determined based on an aberration caused by the thickness distribution of the multilayer film. 4. The reflective mirror according to claim 1, wherein the multilayer film has a thickness distribution, the thickness distribution changing in an azimuthal direction of an optical axis of the reflective mirror. 5. The reflective mirror according to claim 1, wherein the multilayer film has a thickness distribution, the thickness distribution not being rotationally symmetric about an optical axis of the reflective mirror. 6. The reflective mirror according to claim 1, wherein the multilayer film is line symmetric with respect to a line passing through an optical axis of the reflective mirror. 7. A projection optical system comprising a plurality of optical elements, the projection optical system projecting an image of a first face onto a second face, and at least one of the optical elements being the reflective mirror of claim 1. 8. The projection optical system according to claim 7, wherein light from the first face is irradiated onto the second face via the plurality of optical elements, and at least an optical element where an incident angle range of the light is largest out of the plurality of optical elements is the reflective mirror. 9. An exposure apparatus for exposing a substrate to exposure light comprising the reflective mirror of claim 1. 10. A device manufacturing method comprising steps of:exposing a substrate using the exposure apparatus of claim 9; anddeveloping the exposed substrate. 11. The reflective mirror according to claim 1, wherein the light is extreme ultraviolet light. 12. The reflective mirror according to claim 1, wherein the multilayer film has a thickness distribution, which is non-rotationally symmetric.
050251589
claims
1. A stepping apparatus for advancing a hydroball detector string having a plurality of spaced apart balls on a cable and being movable in a direction of a counter through a tube under fluid pressure, comprising: first and second gate means operably coupled to the tube upstream of the counter, for blocking movement of the spring without blocking fluid flow through the tube; and actuator means for moving the first and second gate means in steps into and out of blocking positions in the tube to thereby advance the string one ball at a time to the counter. (a) blocking a first ball of the detector string by abutment with a first gate movable into a blocking position in the tube; (b) moving a second gate between an adjacent ball and the first ball into a blocking position within the tube; (c) withdrawing the first gate from a blocking position, whereby fluid pressure advances the detector string towards the counter until the adjacent ball abuts the second gate, thereby completing a first step; (d) moving the first gate between the first ball and the adjacent ball; and (e) withdrawing the second gate from a blocking position, whereby fluid pressure advances the detector string towards the counter until the adjacent ball abuts the first gate, thereby completing a second step. 2. A stepping apparatus as recited in claim 1, wherein each of the first and second gate means comprises a gate element movable transversely into the tube. 3. A stepping apparatus as recited in claim 1, further comprising a body member having an axial bore, the opposite ends of which are sealingly coupled to the counter tube, and through which the detector string travels, the first and second gate means being mounted in the body member. 4. A stepping apparatus as recited in claim 3, wherein the body member has first and second transverse bores intersecting the axial bore and slidably receiving the first and second gate members, respectively. 5. A stepping apparatus as recited in claim 4, wherein the actuator means comprises first and second solenoid actuators coupled to the first and second gate members, respectively. 6. A stepping apparatus as recited in claim 5, wherein each of the first and second gate members has a first passage through which balls of the detector string freely pass, and a second passage through which only the cable of the detector string freely passes while providing an abutment against which the balls are blocked. 7. A stepping apparatus as recited in claim 6, further comprising means for stopping the first and second gate members when extended to an open position in which the balls of the detector string freely pass through the first passage of the respective gate member. 8. A stepping apparatus as recited in claim 1, wherein the actuator means comprises first and second solenoid actuators coupled to the first and second gate means, respectively. 9. A stepping apparatus as recited in claim 1, wherein the first and second gate means are spaced apart by a distance corresponding to a distance between two adjacent balls of the detector string. 10. A method of advancing a hydroball detector string having a plurality of spaced apart balls on a cable and being movable in a direction of a counter through a tube under fluid pressure, the method comprising: 11. A method as recited in claim 10, further comprising sequentially repeating steps (b)-(e) to further advance next adjacent balls of the detector string towards the counter. 12. A method as recited in claim 10, wherein the moving steps (b) and (d) and the withdrawing steps (c) and (e) comprise selectively energizing and de-energizing first and second solenoid actuators coupled respectively to the first and second gates.
summary
051494922
claims
1. A reactor containment vessel composed of a wetwell and a drywell in which a cooling system is provided comprising: a reactor pressure vessel included in the drywell with a main steam line extending outward therefrom, the drywell having an inner space divided by vertical upper and lower areas and charged with a non-condensable gas; an emergency condenser connected to the main steam line and provided at a level substantially corresponding to the upper area of the drywell, said emergency condenser including a heat exchanger means for condensing steam introduced therein; a suppression chamber provided in the wetwell and defined by an air chamber and a pool in which pool water is charged; a suppression vent line provided in the suppression chamber, having one open end opened to the drywell and another open end soaked into the pool, said one open end being positioned at a level substantially corresponding to the upper area in the drywell where the main steam line is disposed so as to communicate between the drywell and suppression chamber; and a communication line vertically extending in the drywell for guiding the non-condensable gas to the lower area of the drywell. 2. A reactor containment vessel according to claim 1, wherein said communication line comprises a first communication pipe means communicated with the suppression chamber and provided with a first valve means and a second communication pipe means communicated with the heat exchanger means and provided with a second valve means. 3. A reactor containment vessel according to claim 2, wherein said first communication pipe means has one end opened to the air chamber of the suppression chamber and another end opened at the lower area of the drywell and said first valve means is selectively opened or closed in accordance with a pressure difference between the drywell and the air chamber of the suppression chamber. 4. A reactor containment vessel according to claim 3, wherein said first valve means is a vacuum breaker which is actuated to be opened when the drywell is lower in pressure than the suppression chamber. 5. A reactor containment vessel according to claim 2, wherein said second communication pipe means has one end opened to the heat exchanger means and another end opened at the lower area of the drywell and said second communication pipe means includes a control means for controlling a degree of opening of said second valve means in accordance with a loss of coolant accident. 6. A reactor containment vessel according to claim 5, wherein said second valve means comprises an exhaust valve. 7. A reactor containment vessel according to claim 5, wherein said second communication pipe means is provided with a branch pipe having a branched one end and another end extending in the pool of the suppression chamber and being opened there. 8. A reactor containment vessel according to claim 7, wherein said branch pipe is provided with a third valve means comprising an exhaust valve. 9. A reactor containment vessel according to claim 7, wherein said second valve means is provided for the second communication pipe means at an upstream side of the branched one end of the branch pipe. 10. A reactor containment vessel according to claim 7, wherein said another end of the branch pipe is positioned at a level upper than a level of said another open end the suppression vent line.
summary
claims
1. A method for producing a radioisotope, comprising:irradiating a given volume of radioisotope precursor fluid contained in a hermetic cell of a target, using a beam of particles of given beam current intensity (I) which is produced by a particle accelerator;cooling said target using a given cooling power; andmeasuring the internal pressure (P) inside said hermetic cell, wherein:during irradiation, the internal pressure (P) inside said hermetic cell is allowed to freely evolve within a first pressure tolerance range, wherein said first pressure tolerance range is determined as a function of different parameters having an influence on the evolution during irradiation of the internal pressure inside said hermetic cell, said parameters comprising, for a given target, a given beam of particles and a given radioisotope precursor fluid, the given volume of the radioisotope precursor fluid contained in said hermetic cell, the given cooling power used for cooling said target and the given beam current intensity (I); andirradiation is interrupted or its intensity reduced when the internal pressure (P) in said hermetic cell moves out of said first internal pressure tolerance range,wherein a curve P=f(I) is defined giving the internal pressure (P) of said hermetic cell at different beam current intensities (I), for a given volume of radioisotope precursor fluid and a given cooling power used for cooling said target;said first internal pressure tolerance range has a lower pressure and an upper pressure limit defined for said given beam current intensity (I) based on said curve P=f(I);said lower limit of internal pressure is defined so that it is lower than the pressure value inferred from said curve P=f(I) for said given beam current intensity (I); andsaid upper limit of internal pressure is a pressure between the pressure value inferred from said curve P=f(I) for said given beam current intensity and a nominal pressure value (Pmax) of said hermetic cell, said nominal pressure value (Pmax) being the maximum operating pressure for which said hermetic cell has been designed. 2. The method according to claim 1 wherein said upper limit of internal pressure in said first internal pressure tolerance range is lower by at least 20% than said nominal pressure value (Pmax) of said hermetic cell. 3. The method according to claim 1 wherein said upper limit of internal pressure in said first internal pressure tolerance range is between 5 and 10 bars higher than the pressure value inferred from said curve P=f(I) for said given beam current intensity (I) and its ceiling is a pressure value (P2) that is lower than said nominal pressure value (Pmax) of said hermetic cell. 4. The method according to claim 1 wherein a control device triggers an alarm when the internal pressure (P) in said hermetic cell moves out of a second internal pressure tolerance range defined as a function of different parameters having an influence on changes in internal pressure in said hermetic cell during irradiation, said second tolerance range being included within said first tolerance range. 5. The method according to claim 4 wherein:said second internal pressure tolerance range has a lower pressure limit and a higher pressure limit defined on the basis of said curve P=f(I);said lower pressure limit of said second tolerance range is defined so that it is lower than the pressure value inferred from said curve P=f(I) for the given beam current intensity (I) whilst remaining higher than said lower pressure limit of said first internal pressure tolerance range; andsaid upper pressure limit of said second internal pressure tolerance range is defined so that it is higher than the pressure value inferred from said curve P=f(I) for the given beam current intensity (I) whilst remaining lower than said upper pressure limit of said first internal tolerance range. 6. The method according to claim 1 wherein, when the internal pressure (P) in said hermetic cell exceeds an upper limit of internal pressure fixed inside said first internal pressure tolerance range, the beam current is decreased. 7. The method according to claim 1 wherein the given volume of the radioisotope precursor fluid contained in said hermetic cell is optimised experimentally for a range of envisaged beam currents. 8. The method according to claim 1 wherein said radioisotope precursor is a precursor of 11C, 13N, 15O or 18F. 9. An installation for implementing the method according to claim 1, comprising:a target with a hermetic cell capable of containing a given volume of precursor fluid, said hermetic cell being designed to withstand a nominal pressure (Pmax);a particle accelerator capable of producing and directing a beam of accelerated particles of a given beam current intensity (I) onto said target and of irradiating a given volume of the radioisotope precursor fluid contained in the hermetic cell of the target;a system to monitor the internal pressure (P) inside said hermetic cell;a cooling device configured to cool said target using a given cooling power;a control device programmed to interrupt or reduce said beam of particles when the internal pressure (P) inside said hermetic cell moves out of a first internal pressure tolerance range determined as a function of different parameters having an influence on changes in internal pressure in said hermetic cell during irradiation, said parameters comprising, for a given target, a given beam of particles and a given radioisotope precursor fluid, the given volume of the radioisotope precursor fluid contained in said hermetic cell, the given cooling power used for cooling said target and the given beam current intensity (I),wherein the control device is programmed with a curve P=f(I) giving the internal pressure (P) of said hermetic cell at different beam current intensities (I), for a given volume of radioisotope precursor fluid and a given cooling power used for cooling said target, and said curve P=f(I) is used by said control device to define said first internal pressure tolerance range as a function of beam current intensity (I),said first internal pressure tolerance range has a lower pressure and an upper pressure limit defined for said given beam current intensity (I) based on said curve P=f(I),said lower limit of internal pressure is defined so that it is lower than the pressure value inferred from said curve P=f(I) for said given beam current intensity (I), andsaid upper limit of internal pressure is a pressure between the pressure value inferred from said curve P=f(I) for said given beam current intensity and a nominal pressure value (Pmax) of said hermetic cell, said nominal pressure value (Pmax) being the maximum operating pressure for which said hermetic cell has been designed. 10. The installation according to claim 9 wherein said control device is programmed to trigger an alarm when the internal pressure in said hermetic cell lies outside a second range included within said first internal pressure tolerance range. 11. The installation according to claim 9 wherein said control device is programmed to cause a reduction in the intensity of the beam current when the internal pressure (P) in said hermetic cell exceeds an upper limit of internal pressure included in said first internal pressure tolerance range. 12. The method according to claim 1, wherein said lower limit of internal pressure is defined so that it is 5-20% lower than the pressure value inferred from said curve P=f(I) for said given beam current intensity (I). 13. The method according to claim 5, wherein said lower pressure limit of said second tolerance range is defined so that it is at least 2% lower than the pressure value inferred from said curve P=f(I) for the given beam current intensity (I) whilst remaining higher than said lower pressure limit of said first internal pressure tolerance range. 14. A method for producing a radioisotope, comprising:irradiating a volume of radioisotope precursor fluid contained in a hermetic cell of a target, using a beam of particles of given current intensity which is produced by a particle accelerator;cooling said target; andmeasuring the internal pressure inside said hermetic cell;wherein:a curve P=f(I) is determined giving the internal pressure (P) of the hermetic cell at different beam current intensities (I), for a given volume of radioisotope precursor fluid and a given power used for cooling said target;a first internal pressure tolerance range has a lower pressure limit and upper pressure limit defined for said given beam current intensity (I) on the basis of said curve P=f(I);a second internal pressure tolerance range has a lower pressure limit and a higher pressure limit defined for said given beam current intensity (I) on the basis of said curve P=f(I);said lower pressure limit of said second internal pressure tolerance range is defined so that it is lower than the pressure value inferred from said curve P=f(I) for the given beam current intensity (I) whilst remaining higher than said lower pressure limit of said first tolerance range;said upper pressure limit of said second tolerance range is defined so that it is higher than the pressure value inferred from said curve P=f(I) for the given beam current intensity (I) whilst remaining lower than said upper pressure limit of said first internal tolerance range;said irradiation is interrupted or its intensity reduced when the internal pressure (P) in said hermetic cell moves out of said first internal pressure tolerance range; anda control device triggers an alarm when the internal pressure (P) in said hermetic cell moves out of said second internal pressure tolerance range.
055286593
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention is a device to modify isotropic gamma radiation fluxes so that doses of radiation received by an irradiated product are uniform. More specifically, the present invention is a device placed between a radiation source and a product being irradiated for reducing (but not eliminating) the number of photons that are not traveling at or near desired angles (e.g. right angles) to the product's face plane, without significantly reducing those approaching the target's face plane at desired angles (e.g. right angles). When products are irradiated by gamma radiation to produce a beneficial chemical, physical or biological effect, a certain amount of undesirable nonuniformity results. These nonuniformities result from four fundamental factors: 1. the geometry of the radiation sources and of the product, and their geometric relationship to one another; 2. the isotropic nature of the radiation emitted by the radioactive isotope sources; 3. the mass attenuation factors of the materials being irradiated; and 4. the average bulk densities of the materials being irradiated (specific mass involved). The problem can perhaps best be understood by describing it as "surface burn". The surface of the product being irradiated is overdosed compared to the interior of the product, much the same way that a roast turning on a rotisserie can be burned on the surface while the interior is still raw. When a product is being irradiated to achieve a specific purpose, it is necessary to make sure that all parts of the product receive at least the amount of radiation required to accomplish the effect desired. This amount of radiation is designated the MINIMUM DOSE (Dmin). In some cases, however, too much radiation received by the product can produce an undesirable result (product damage) or the dose may exceed a mandatory regulatory agency limit and become "legally tainted". This amount of radiation is called the MAXIMUM RADIATION DOSE (Dmax). Obviously irradiators are designed to deliver a dose of radiation to all parts of a product that is within these limits (&gt;Dmin, but &lt;Dmax). Unfortunately, to achieve this goal, it has heretofore been necessary to sacrifice the efficiency of the irradiator or of the operations, or both. The two traditional methods to reduce nonuniformity (reduce Dmax/Dmin) is to irradiate "thinner" layers of the product, or increase the distance between the radiation source and the product. The first method sacrifices operational efficiency (increases material product handling), while the second reduces Radiation Utilization Efficiency (the percentage of the radiation usefully absorbed in the product compared to the total amount emitted by the radiation source). The analogy of the roast on the rotisserie still holds; either the roast must be cut into thinner pieces and cooked separately, or moved farther from the flame and thus take longer to cook. The specific reason for "surface burn" is due to the isotropic nature of the radiation emitted by radioactive isotopes and the "inverse square" phenomenon that results. All radiation (photons) in the electromagnetic spectrum behave in this manner, including visible light. SUMMARY OF THE INVENTION Gamma photons cannot be refracted, reflected or focused as effectively as light photons. As a practical matter, only about one percent of gamma photons can be reflected off a surface, and there is no "lens" available to focus a beam of gamma radiation. Some types of radiation such as beta particles from radioactive isotopes or beams of electrons can be shaped and focused by magnets, but gamma rays are not at all affected by magnetic fields. Extremely intense gravitational fields can "bend" gamma rays (and light waves), such as those produced by massive stars and "black holes" in space, but no practical technology exists to take advantage of these phenomena. However, gamma radiation can be absorbed, and is, more or less, by all substances. Generally speaking, the higher the atomic number (Z) of an element, the more radiation it will attenuate. In other words, the higher the density of the material, the more effectively it will attenuate, or absorb, gamma radiation. Hence, lead, depleted uranium and iron are commonly used as nuclear shielding materials. If the thickness of a shield is not restrictive, lower density materials can be employed with greater thicknesses, such as concrete or water which are less expensive even though more material is required. The present invention provides a polarizing or distribution means, and method for producing and utilizing such means, that produces a modification in the radiation flux, which provides a bias toward the photons approaching the target's face at more or less desired angles (e.g. right angles). Accordingly, it is an object of this invention to provide a radiation flux polarizing or distribution means to reduce the number of photons that are not traveling at or near a desired angle (e.g. a right angle) to the face of a "target" being irradiated, without significantly reducing photons approaching, or reaching the minimum base point in the target. In a sense, the purpose of this invention is to convert a normal isotropic radiation source to one that is anisotropic. Further objects and advantages of the invention will become apparent from a consideration of the drawings and ensuing description.
description
This application is a divisional of U.S. patent application Ser. No. 15/402,739 filed Jan. 10, 2017, which is a continuation-in-part of U.S. patent application Ser. No. 15/348,625 filed Nov. 10, 2016, which is a continuation-in-part of U.S. patent application Ser. No. 15/167,617 filed May 27, 2016, which is a continuation-in-part of U.S. patent application Ser. No. 15/152,479 filed May 11, 2016, which is a continuation-in-part of U.S. patent application Ser. No. 14/216,788 filed Mar. 17, 2014, which is a continuation-in-part of U.S. patent application Ser. No. 13/087,096 filed Apr. 14, 2011, which claims benefit of U.S. provisional patent application No. 61/324,776 filed Apr. 16, 2010, all of which are incorporated herein in their entirety by this reference thereto. The invention relates generally to imaging and treating a tumor. Cancer Treatment Proton therapy works by aiming energetic ionizing particles, such as protons accelerated with a particle accelerator, onto a target tumor. These particles damage the DNA of cells, ultimately causing their death. Cancerous cells, because of their high rate of division and their reduced ability to repair damaged DNA, are particularly vulnerable to attack on their DNA. Patents related to the current invention are summarized here. Proton Beam Therapy System F. Cole, et. al. of Loma Linda University Medical Center “Multi-Station Proton Beam Therapy System”, U.S. Pat. No. 4,870,287 (Sep. 26, 1989) describe a proton beam therapy system for selectively generating and transporting proton beams from a single proton source and accelerator to a selected treatment room of a plurality of patient treatment rooms. Imaging Lomax, A., “Method for Evaluating Radiation Model Data in Particle Beam Radiation Applications”, U.S. Pat. No. 8,461,559 B2 (Jun. 11, 2013) describes comparing a radiation target to a volume with a single pencil beam shot to the targeted volume. P. Adamee, et. al. “Charged Particle Beam Apparatus and Method for Operating the Same”, U.S. Pat. No. 7,274,018 (Sep. 25, 2007) and P. Adamee, et. al. “Charged Particle Beam Apparatus and Method for Operating the Same”, U.S. Pat. No. 7,045,781 (May 16, 2006) describe a charged particle beam apparatus configured for serial and/or parallel imaging of an object. K. Hiramoto, et. al. “Ion Beam Therapy System and its Couch Positioning System”, U.S. Pat. No. 7,193,227 (Mar. 20, 2007) describe an ion beam therapy system having an X-ray imaging system moving in conjunction with a rotating gantry. C. Maurer, et. al. “Apparatus and Method for Registration of Images to Physical Space Using a Weighted Combination of Points and Surfaces”, U.S. Pat. No. 6,560,354 (May 6, 2003) described a process of X-ray computed tomography registered to physical measurements taken on the patient's body, where different body parts are given different weights. Weights are used in an iterative registration process to determine a rigid body transformation process, where the transformation function is used to assist surgical or stereotactic procedures. M. Blair, et. al. “Proton Beam Digital Imaging System”, U.S. Pat. No. 5,825,845 (Oct. 20, 1998) describe a proton beam digital imaging system having an X-ray source that is movable into a treatment beam line that can produce an X-ray beam through a region of the body. By comparison of the relative positions of the center of the beam in the patient orientation image and the isocentre in the master prescription image with respect to selected monuments, the amount and direction of movement of the patient to make the best beam center correspond to the target isocentre is determined. S. Nishihara, et. al. “Therapeutic Apparatus”, U.S. Pat. No. 5,039,867 (Aug. 13, 1991) describe a method and apparatus for positioning a therapeutic beam in which a first distance is determined on the basis of a first image, a second distance is determined on the basis of a second image, and the patient is moved to a therapy beam irradiation position on the basis of the first and second distances. There exists in the art of charged particle cancer therapy a need for accurate, precise, and rapid imaging of a patient and/or treatment of a tumor using charged particles in a complex room setting. The invention comprises a fiducial marker enhanced cancer therapy apparatus and method of use thereof. Elements and steps in the figures are illustrated for simplicity and clarity and have not necessarily been rendered according to any particular sequence. For example, steps that are performed concurrently or in different order are illustrated in the figures to help improve understanding of embodiments of the present invention. The invention comprises a fiducial marker—fiducial detector based treatment room position determination/positioning system. In one embodiment, a method and apparatus is described for determining a position of a tumor in a patient for treatment of the tumor using positively charged particles in a treatment room. More particularly, the method and apparatus use a set of fiducial markers and fiducial detectors to mark/determine relative position of static and/or moveable objects in a treatment room using photons passing from the markers to the detectors. Further, position and orientation of at least one of the objects is calibrated to a reference line, such as a zero-offset beam treatment line passing through an exit nozzle, which yields a relative position of each fiducially marked object in the treatment room. Treatment calculations are subsequently determined using the reference line and/or points thereon. The inventor notes that the treatment calculations are optionally and preferably performed without use of an isocenter point, such as a central point about which a treatment room gantry rotates, which eliminates mechanical errors associated with the isocenter point being an isocenter volume in practice. For example, a set of fiducial marker detectors detect photons emitted from and/or reflected off of a set of fiducial markers positioned on one or more objects in a treatment room and resultant determined distances and/or calculated angles are used to determine relative positions of multiple objects or elements in the treatment room. Generally, in an iterative process, at a first time objects, such as a treatment beamline output nozzle, a specific potion of a patient relative to a tumor, a scintillation detection material, an X-ray system element, and/or a detection element, are mapped and relative positions and/or angles therebetween are determined. At a second time, the position of the mapped objects is used in: (1) imaging, such as X-ray, positron emission tomography, and/or proton beam imaging and/or (2) beam targeting and treatment, such as positively charged particle based cancer treatment. As relative positions of objects in the treatment room are dynamically determined using the fiducial marking system, engineering and/or mathematical constraints of a treatment beamline isocenter is removed. In another embodiment, a method and apparatus for imaging a tumor of a patient using positively charged particles, comprising the steps of: (1) sequentially delivering from an output nozzle, connected to a first beam transport line, to the patient: a first set of the positively charged particles comprising a first mean energy and a second set of the positively charged particles comprising a second mean energy, the second mean energy at least two mega electron Volts different from the first mean energy; (2) after transmission through the patient, sequentially detecting: a first residual energy of the first set of the positively charged particles and a second residual energy of the second set of the positively charged particles; and (3) determining a water equivalent thickness of a probed path of the patient using the first residual energy and the second residual energy. The detection step optionally uses a scintillation material and/or an X-ray detector material to detect the residual energy positively charged particles. Use of a half-maximum of a Gaussian fit to output of the detection material as a function of energy, preferably using three of more detected residual energies, yields a water equivalent thickness of the sampled beam path. In another embodiment, an apparatus and method of use thereof are used for directing positively charged particle beams into a patient from several directions. In one example, a charged particle delivery system, comprising: a controller, an accelerator, a beam path switching magnet, a primary beam line from the accelerator to the path switching magnet, and a plurality of physically separated beam transport lines from the beam path switching magnet to a single patient treatment position is used, where the controller and beam switching magnet are used to direct sets of the positively charged particles through alternatingly selected beam transport lines to the patient, tumor, and/or an imaging detector. Optionally, during a single session and at separate times, a single repositionable treatment nozzle is repositioned to interface with each beam transport line, such as to a terminus of each beam transport line, which allows the charged particle delivery system to use one and/or fewer beam output nozzles that are moved with nozzle gantries. A single nozzle with first and second axis scanning capability along with beam transport lines leading to various sides of a patient allow the charged particle delivery system to operate without movement and/or rotation of a beam transport gantry and an associated beam transport gantry. Beam transport line gantries are optional as one or more of the beam transport lines are preferably statically positioned. In another embodiment, a beam adjustment system is used to perform energy adjustments on circulating charged particles in a synchrotron previously accelerated to a starting energy with a traditional accelerator of the synchrotron or related devices, such as a cyclotron. The beam adjustment system uses a radio-frequency modulated potential difference applied along a longitudinal path of the circulating charged particles to accelerate or decelerate the circulating charged particles. Optionally, the beam adjustment system phase shifts the applied radio-frequency field to accelerate or decelerate the circulating charged particle while spatially longitudinally tightening a grouped bunch of the circulating charged particles. The beam adjustment system facilitates treating multiple layers or depths of the tumor between the slow step of reloading the synchrotron. Optionally, the potential differences across a gap described herein are used to accelerate or decelerate the charged particle after extraction from the synchrotron without use of the radio-frequency modulation. In another embodiment, an imaging system, such as a positron emission tracking system, optionally used to control the beam adjustment system, is used to: dynamically determine a treatment beam position, track a history of treatment beam positions, guide the treatment beam, and/or image a tumor before, during, and/or after treatment with the charged particle beam. In another embodiment, an imaging system translating on a linear path past a patient operates alternatingly with and/or during a gantry rotating a treatment beam around the patient. More particularly, a method for both imaging a tumor and treating the tumor of a patient using positively charged particles includes the steps of: (1) rotating a gantry support and/or gantry, connected to at least a portion of a beam transport system configured to pass a charged particle treatment beam, circumferentially about the patient and a gantry rotation axis; (2) translating a translatable imaging system past the patient on a path parallel to an axis perpendicular to the gantry rotation axis; (3) imaging the tumor using the translatable imaging system; and (4) treating the tumor using the treatment beam. In another embodiment a method for imaging and treating a tumor of a patient with positively charged particles, comprises the steps of: (1) using a rotatable gantry support to support and rotate a section of a positively charged particle beam transport line about a rotation axis and a tumor of a patient; (2) using a rotatable and optionally extendable secondary support to support, circumferentially position, and laterally position a primary and optional secondary imaging system about the tumor; (3) image the tumor using the primary and optional secondary imaging system as a function of rotation and/or translation of the secondary support; and (4) treat, optionally concurrently, the tumor using the positively charged particles as a function of circumferential position of the section of the charged particle beam about the tumor. In another embodiment a method and apparatus for imaging a tumor of a patient using positively charged particles and X-rays, comprises the steps of: (1) transporting the positively charged particles from an accelerator to a patient position using a beam transport line, where the beam transport line comprises a positively charged particle beam path and an X-ray beam path; (2) detecting scintillation induced by the positively charged particles using a scintillation detector system; (3) detecting X-rays using an X-ray detector system; (4) positioning a mounting rail through linear extension/retraction to: at a first time and at a first extension position of the mounting rail, position the scintillation detector system opposite the patient position from the exit nozzle and at a second time and at a second extension position of the mounting rail, position the X-ray detector system opposite the patient position from the exit nozzle; (5) generating an image of the tumor using output of the scintillation detector system and the X-ray detector system; and (6) alternating between the step of detecting scintillation and treating the tumor via irradiation of the tumor using the positively charged particles. In another embodiment, a method or apparatus for tomographically imaging a sample, such as a tumor of a patient, using positively charged particles is described. Position, energy, and/or vectors of the positively charged particles are determined using a plurality of scintillators, such as layers of chemically distinct scintillators where each chemically distinct scintillator emits photons of differing wavelengths upon energy transfer from the positively charged particles. Knowledge of position of a given scintillator type and a color of the emitted photon from the scintillator type allows a determination of residual energy of the charged particle energy in a scintillator detector. Optionally, a two-dimensional detector array additionally yields x/y-plane information, coupled with the z-axis energy information, about state of the positively charged particles. State of the positively charged particles as a function of relative sample/particle beam rotation is used in tomographic reconstruction of an image of the sample or the tumor. In another example, a method or apparatus for tomographic imaging of a tumor of a patient using positively charged particles respectively positions a plurality of two-dimensional detector arrays on multiple surfaces of a scintillation material or scintillator. For instance, a first two-dimensional detector array is optically coupled to a first side or surface of a scintillation material, a second two-dimensional detector array is optically coupled to a second side of the scintillation material, and a third two-dimensional detector array is optically coupled to a third side of the scintillation material. Secondary photons emitted from the scintillation material, resultant from energy transfer from the positively charged particles, are detected by the plurality of two-dimensional detector arrays, where each detector array images the scintillation material. Combining signals from the plurality of two-dimensional detector arrays, the path, position, energy, and/or state of the positively charged particle beam as a function of time and/or rotation of the patient relative to the positively charged particle beam is determined and used in tomographic reconstruction of an image of the tumor in the patient or a sample. Particularly, a probabilistic pathway of the positively charged particles through the sample, which is altered by sample constituents, is constrained, which yields a higher resolution, a more accurate and/or a more precise image. In another example, a scintillation material is longitudinally packaged in a circumferentially surrounding sheath, where the sheath has a lower index of refraction than the scintillation material. The scintillation material yields emitted secondary photons upon passage of a charged particle beam, such as a positively charged residual particle beam having transmitted through a sample. The internally generated secondary photons within the sheath are guided to a detector element by the difference in index of refraction between the sheath and the scintillation material, similar to a light pipe or fiber optic. The coated scintillation material or fiber is referred to herein as a scintillation optic. Multiple scintillation optics are assembled to form a two-dimensional scintillation array. The scintillation array is optionally and preferably coupled to a detector or two-dimensional detector array, such as via a coupling optic, an array of focusing optics, and/or a color filter array. In another embodiment, an ion source is coupled to the apparatus. The ion source extraction system facilitates on demand extraction of charged particles at relatively low voltage levels and from a stable ion source. For example, a triode extraction system allows extraction of charged particles, such as protons, from a maintained temperature plasma source, which reduces emittance of the extracted particles and allows use of lower, more maintainable downstream potentials to control an ion beam path of the extracted ions. The reduced emittance facilitates ion beam precision in applications, such as in imaging, tumor imaging, tomographic imaging, and/or cancer treatment. In another embodiment, a state of a charged particle beam is monitored and/or checked, such as against a previously established radiation plan, in a position just prior to the beam entering the patient. In one example, the charged particle beam state is measured after a final manipulation of intensity, energy, shape, and/or position, such as via use of an insert, a range filter, a collimator, an aperture, and/or a compensator. In one case, one or more beam crossing elements, sheets, coatings, or layers, configured to emit photons upon passage therethrough by the charged particle beam, are positioned between the final manipulation apparatus, such as the insert, and prior to entry into the patient. In another embodiment, a patient specific tray insert is inserted into a tray frame to form a beam control tray assembly, the beam control tray assembly is inserted into a slot of a tray receiver assembly, and the tray assembly is positioned relative to a gantry nozzle. Optionally, multiple tray inserts, each used to control a beam state parameter, are inserted into slots of the tray receiver assembly. The beam control tray assembling includes an identifier, such as an electromechanical identifier, of the particular insert type, which is communicated to a main controller, such as via the tray receiver assembly. Optionally and preferably, a hand control pendant is used in loading and/or positioning the tray receiver assembly. In another embodiment, a gantry positions both: (1) a section of a beam transport system, such as a terminal section, used to transport and direct positively charged particles to a tumor and (2) at least one imaging system. In one case, the imaging system is orientated on a same axis as the positively charged particle, such as at a different time through rotation of the gantry. In another case, the imaging system uses at least two crossing beamlines, each beamline coupled to a respective detector, to yield multiple views of the patient. In another case, one or more imaging subsystem yields a two-dimensional image of the patient, such as for position confirmation and/or as part of a set of images used to develop a three-dimensional image of the patient. In still another embodiment, multiple linked control stations are used to control position of elements of a beam transport system, nozzle, and/or patient specific beam shaping element relative to a dynamically controlled patient position and/or an imaging surface, element, or system. In yet another embodiment, a tomography system is optionally used in combination with a charged particle cancer therapy system. The tomography system uses tomography or tomographic imaging, which refers to imaging by sections or sectioning through the use of a penetrating wave, such as a positively charge particle from an injector and/or accelerator. Optionally and preferably, a common injector, accelerator, and beam transport system is used for both charged particle based tomographic imaging and charged particle cancer therapy. In one case, an output nozzle of the beam transport system is positioned with a gantry system while the gantry system and/or a patient support maintains a scintillation plate of the tomography system on the opposite side of the patient from the output nozzle. In another example, a charged particle state determination system, of a cancer therapy system or tomographic imaging system, uses one or more coated layers in conjunction with a scintillation material, scintillation detector and/or a tomographic imaging system at time of tumor and surrounding tissue sample mapping and/or at time of tumor treatment, such as to determine an input vector of the charged particle beam into a patient and/or an output vector of the charged particle beam from the patient. In another example, the charged particle tomography apparatus is used in combination with a charged particle cancer therapy system. For example, tomographic imaging of a cancerous tumor is performed using charged particles generated with an injector, accelerator, and guided with a delivery system. The cancer therapy system uses the same injector, accelerator, and guided delivery system in delivering charged particles to the cancerous tumor. For example, the tomography apparatus and cancer therapy system use a common raster beam method and apparatus for treatment of solid cancers. More particularly, the invention comprises a multi-axis and/or multi-field raster beam charged particle accelerator used in: (1) tomography and (2) cancer therapy. Optionally, the system independently controls patient translation position, patient rotation position, two-dimensional beam trajectory, delivered radiation beam energy, delivered radiation beam intensity, beam velocity, timing of charged particle delivery, and/or distribution of radiation striking healthy tissue. The system operates in conjunction with a negative ion beam source, synchrotron, patient positioning, imaging, and/or targeting method and apparatus to deliver an effective and uniform dose of radiation to a tumor while distributing radiation striking healthy tissue. In another embodiment, a treatment delivery control system (TDCS) or main controller is used to control multiple aspects of the cancer therapy system, including one or more of: an imaging system, such as a CT or PET; a positioner, such as a couch or patient interface module; an injector or injection system; a radio-frequency quadrupole system; a ring accelerator or synchrotron; an extraction system; an irradiation plan; and a display system. The TDCS is preferably a control system for automated cancer therapy once the patient is positioned. The TDCS integrates output of one or more of the below described cancer therapy system elements with inputs of one or more of the below described cancer therapy system elements. More generally, the TDCS controls or manages input and/or output of imaging, an irradiation plan, and charged particle delivery. In yet another embodiment, one or more trays are inserted into the positively charged particle beam path, such as at or near the exit port of a gantry nozzle in close proximity to the patient. Each tray holds an insert, such as a patient specific insert for controlling the energy, focus depth, and/or shape of the charged particle beam. Examples of inserts include a range shifter, a compensator, an aperture, a ridge filter, and a blank. Optionally and preferably, each tray communicates a held and positioned insert to a main controller of the charged particle cancer therapy system. The trays optionally hold one or more of the imaging sheets configured to emit light upon transmission of the charged particle beam through a corresponding localized position of the one or more imaging sheets. For clarity of presentation and without loss of generality, throughout this document, treatment systems and imaging systems are described relative to a tumor of a patient. However, more generally any sample is imaged with any of the imaging systems described herein and/or any element of the sample is treated with the positively charged particle beam(s) described herein. Charged Particle Beam Therapy Throughout this document, a charged particle beam therapy system, such as a proton beam, hydrogen ion beam, or carbon ion beam, is described. Herein, the charged particle beam therapy system is described using a proton beam. However, the aspects taught and described in terms of a proton beam are not intended to be limiting to that of a proton beam and are illustrative of a charged particle beam system, a positively charged beam system, and/or a multiply charged particle beam system, such as C4+ or C6+. Any of the techniques described herein are equally applicable to any charged particle beam system. Referring now to FIG. 1A, a charged particle beam system 100 is illustrated. The charged particle beam preferably comprises a number of subsystems including any of: a main controller 110; an injection system 120; a synchrotron 130 that typically includes: (1) an accelerator system 131 and (2) an internal or connected extraction system 134; a beam transport system 135; a scanning/targeting/delivery system 140; a nozzle system 146; a patient interface module 150; a display system 160; and/or an imaging system 170. An exemplary method of use of the charged particle beam system 100 is provided. The main controller 110 controls one or more of the subsystems to accurately and precisely deliver protons to a tumor of a patient. For example, the main controller 110 obtains an image, such as a portion of a body and/or of a tumor, from the imaging system 170. The main controller 110 also obtains position and/or timing information from the patient interface module 150. The main controller 110 optionally controls the injection system 120 to inject a proton into a synchrotron 130. The synchrotron typically contains at least an accelerator system 131 and an extraction system 134. The main controller 110 preferably controls the proton beam within the accelerator system, such as by controlling speed, trajectory, and timing of the proton beam. The main controller then controls extraction of a proton beam from the accelerator through the extraction system 134. For example, the controller controls timing, energy, and/or intensity of the extracted beam. The controller 110 also preferably controls targeting of the proton beam through the scanning/targeting/delivery system 140 to the patient interface module 150. One or more components of the patient interface module 150, such as translational and rotational position of the patient, are preferably controlled by the main controller 110. Further, display elements of the display system 160 are preferably controlled via the main controller 110. Displays, such as display screens, are typically provided to one or more operators and/or to one or more patients. In one embodiment, the main controller 110 times the delivery of the proton beam from all systems, such that protons are delivered in an optimal therapeutic manner to the tumor of the patient. Herein, the main controller 110 refers to a single system controlling the charged particle beam system 100, to a single controller controlling a plurality of subsystems controlling the charged particle beam system 100, or to a plurality of individual controllers controlling one or more sub-systems of the charged particle beam system 100. Referring now to FIG. 36A, an example of the isocenterless system 3505 is provided in a dual proton imaging/X-ray imaging system 3600. In this example, the exit nozzle 760, the zero line 3501, and the zero point 3502 are defined, as described supra. As the exit nozzle is mechanically affixed to the first fiducial detector 3321 and the second fiducial detector 3321, the relative positions of the two fiducial detectors 3321, 3322 to the exit nozzle 760 are known, as described supra. Further, the first fiducial marker 3311 and the second fiducial marker 3312, attached to the scintillation material 710, in combination with the first and second fiducial detectors 3321, 3322 and their relationship to the exit nozzle 760 are used determine the position of the scintillation material 710 relative to the patient, where the patient position is identified using further fiducial markers as described supra. Hence, the treatment beamline 269, which is the zero line 3501 when the first and second axis controls 143, 144 are turned off, is precisely known relative to the patient 730 and scintillation material 710. Thus, using the residual charged particle beam 267, images generated from the scintillation material 710 are aligned to the patient 730 without knowledge of or even existence of an isocenter point 263. Referring now to FIG. 1B, an example of a charged particle cancer therapy system 100 is provided. A main controller receives input from one, two, three, or four of a respiration monitoring and/or controlling controller 180, a beam controller 185, a rotation controller 147, and/or a timing to a time period in a respiration cycle controller 148. The beam controller 185 preferably includes one or more or a beam energy controller 182, the beam intensity controller 340, a beam velocity controller 186, and/or a horizontal/vertical beam positioning controller 188. The main controller 110 controls any element of the injection system 120; the synchrotron 130; the scanning/targeting/delivery system 140; the patient interface module 150; the display system 160; and/or the imaging system 170. For example, the respiration monitoring/controlling controller 180 controls any element or method associated with the respiration of the patient; the beam controller 185 controls any of the elements controlling acceleration and/or extraction of the charged particle beam; the rotation controller 147 controls any element associated with rotation of the patient 830 or gantry; and the timing to a period in respiration cycle controller 148 controls any aspects affecting delivery time of the charged particle beam to the patient. As a further example, the beam controller 185 optionally controls any magnetic and/or electric field about any magnet in the charged particle cancer therapy system 100. One or more beam state sensors 190 sense position, direction, intensity, and/or energy of the charged particles at one or more positions in the charged particle beam path. A tomography system 700, described infra, is optionally used to monitor intensity and/or position of the charged particle beam. Referring now to FIG. 1C, an illustrative exemplary embodiment of one version of the charged particle beam system 100 is provided. The number, position, and described type of components is illustrative and non-limiting in nature. In the illustrated embodiment, the injection system 120 or ion source or charged particle beam source generates protons. The injection system 120 optionally includes one or more of: a negative ion beam source, an ion beam focusing lens, and a tandem accelerator. The protons are delivered into a vacuum tube that runs into, through, and out of the synchrotron. The generated protons are delivered along an initial path 262. Optionally, focusing magnets 127, such as quadrupole magnets or injection quadrupole magnets, are used to focus the proton beam path. A quadrupole magnet is a focusing magnet. An injector bending magnet 128 bends the proton beam toward a plane of the synchrotron 130. The focused protons having an initial energy are introduced into an injector magnet 129, which is preferably an injection Lambertson magnet. Typically, the initial beam path 262 is along an axis off of, such as above, a circulating plane of the synchrotron 130. The injector bending magnet 128 and injector magnet 129 combine to move the protons into the synchrotron 130. Main bending magnets, dipole magnets, turning magnets, or circulating magnets 132 are used to turn the protons along a circulating beam path 264. A dipole magnet is a bending magnet. The main bending magnets 132 bend the initial beam path 262 into a circulating beam path 264. In this example, the main bending magnets 132 or circulating magnets are represented as four sets of four magnets to maintain the circulating beam path 264 into a stable circulating beam path. However, any number of magnets or sets of magnets are optionally used to move the protons around a single orbit in the circulation process. The protons pass through an accelerator 133. The accelerator accelerates the protons in the circulating beam path 264. As the protons are accelerated, the fields applied by the magnets are increased. Particularly, the speed of the protons achieved by the accelerator 133 are synchronized with magnetic fields of the main bending magnets 132 or circulating magnets to maintain stable circulation of the protons about a central point or region 136 of the synchrotron. At separate points in time the accelerator 133/main bending magnet 132 combination is used to accelerate and/or decelerate the circulating protons while maintaining the protons in the circulating path or orbit. An extraction element of an inflector/deflector system is used in combination with a Lambertson extraction magnet 137 to remove protons from their circulating beam path 264 within the synchrotron 130. One example of a deflector component is a Lambertson magnet. Typically the deflector moves the protons from the circulating plane to an axis off of the circulating plane, such as above the circulating plane. Extracted protons are preferably directed and/or focused using an extraction bending magnet 142 and optional extraction focusing magnets 141, such as quadrupole magnets, and optional bending magnets along a positively charged particle beam transport path 268 in a beam transport system 135, such as a beam path or proton beam path, into the scanning/targeting/delivery system 140. Two components of a scanning system 140 or targeting system typically include a first axis control 143, such as a vertical control, and a second axis control 144, such as a horizontal control. In one embodiment, the first axis control 143 allows for about 100 mm of vertical or y-axis scanning of the proton beam 268 and the second axis control 144 allows for about 700 mm of horizontal or x-axis scanning of the proton beam 268. A nozzle system 146 is used for directing the proton beam, for imaging the proton beam, for defining shape of the proton beam, and/or as a vacuum barrier between the low pressure beam path of the synchrotron and the atmosphere. Protons are delivered with control to the patient interface module 150 and to a tumor of a patient. All of the above listed elements are optional and may be used in various permutations and combinations. Ion Extraction from Ion Source A method and apparatus are described for extraction of ions from an ion source. For clarity of presentation and without loss of generality, examples focus on extraction of protons from the ion source. However, more generally cations of any charge are optionally extracted from a corresponding ion source with the techniques described herein. For instance, C4+ or C6+ are optionally extracted using the ion extraction methods and apparatus described herein. Further, by reversing polarity of the system, anions are optionally extracted from an anion source, where the anion is of any charge. Herein, for clarity of presentation and without loss of generality, ion extraction is coupled with tumor treatment and/or tumor imaging. However, the ion extraction is optional used in any method or apparatus using a stream or time discrete bunches of ions. Diode Extraction Referring now to FIG. 2A and FIG. 2B, a first ion extraction system is illustrated. The first ion extraction system uses a diode extraction system 200, where a first element of the diode extraction system is an ion source 122 or first electrode at a first potential and a second element 202 of the diode extraction system is at a second potential. Generally, the first potential is raised or lowered relative to the second potential to extract ions from the ion source 122 along the z-axis or the second potential is raised or lowered relative to the first potential to extract ions from the ion source 122 along the z-axis, where polarity of the potential difference determines if anions or cations are extracted from the ion source 122. Still referring to FIG. 2A and FIG. 2B, an example of ion extraction from the ion source 122 is described. As illustrated in FIG. 2A, in a non-extraction time period, a non-extraction diode potential, A1, of the ion source 122 is held at a potential equal to a potential, B1, of the second element 202. Referring now to FIG. 2B, during an extraction time period, a diode extraction potential, A2, of the ion source 122 is raised, causing a positively charged cation, such as the proton, to be drawn out of the ion chamber toward the lower potential of the second element 202. Similarly, if the diode extraction potential, A2, of the ion source is lowered relative a potential, B1, then an anion is extracted from the ion source 122 toward a higher potential of the second element 202. In the diode extraction system 200, the voltage of a large mass and corresponding large capacitance of the ion source 122 is raised or lowered, which takes time, has an RC time constant, and results in a range of temperatures of the plasma during the extraction time period, which is typically pulsed on and off with time. Particularly, as the potential of the ion source 122 is cycled with time, the ion source 122 temperature cycles, which results in a range of emittance values, resultant from conservation of momentum, and a corresponding less precise extraction beam. Alternatively, potential of the second element 202 is varied, altered, pulsed, or cycled, which reduces a range of emittance values during the extraction process. Triode Extraction Referring now to FIG. 2C and FIG. 2D, a second ion extraction system is illustrated. The second ion extraction system uses a triode extraction system 210. The triode extraction system 210 uses: (1) an ion source 122, (2) a gating electrode 204 also referred to as a suppression electrode, and (3) an extraction electrode 206. Optionally, a first electrode of the triode extraction system 210 is positioned proximate the ion source 122 and is maintained at a potential as described, infra, using the ion source as the first electrode of the triode extraction system. Generally, potential of the gating electrode 204 is raised and lowered to, as illustrated, stop and start extraction of a positive ion. Varying the potential of the gating electrode 204 has the advantages of altering the potential of a small mass with a correspondingly small capacitance and small RC time constant, which via conservation of momentum, reduces emittance of the extracted ions. Optionally, a first electrode maintained at the first potential of the ion source is used as the first element of the triode extraction system in place of the ion source 122 while also optionally further accelerating and/or focusing the extracted ions or set of ions using the extraction electrode 206. Several example further describe the triode extraction system 210. Referring still to FIG. 36A and referring now to FIG. 36B, an example of use of fiducial indicators on movable objects relative to the zero line 3501 and the zero point 3502 is provided. As illustrated in FIG. 36A, the scintillation material 710 blocks particles, emitted as waves from the first imaging source 1312, such as a first X-ray source, and the second imaging source 1314, such as a second X-ray source, from reaching the first detector array 1322 at a first time, t1. At a second time, t1, after retracting or sliding the scintillation material 710 out of the path of X-rays, a position of the first detector array 1322 relative to the patient 730, the exit nozzle 760, the first imaging source 1312, and the second imaging source 1314 is determined using fiducial indicators, as described supra. Hence, two 2-D X-ray images of the patient 730 and tumor thereof 720 are collected using: (1) the first imaging source 1312 and a first cone beam 1392, (2) a second imaging source 1314 and a second cone beam, and (3) the first detector array 1322 allowing determination of a current position of the tumor 720 relative to the zero line 3501 of the treatment beam 269, even when the exit nozzle 760 is moved or is moving, without knowledge of or even existence of an isocenter point 263. Particularly, the described isocenterless system 3505 optionally tracks a position of the patient 730 and tumor 720 thereof relative to the treatment beam 269 using the zero line 3501. Still yet another embodiment includes any combination and/or permutation of any of the elements described herein. The main controller, a localized communication apparatus, and/or a system for communication of information optionally comprises one or more subsystems stored on a client. The client is a computing platform configured to act as a client device or other computing device, such as a computer, personal computer, a digital media device, and/or a personal digital assistant. The client comprises a processor that is optionally coupled to one or more internal or external input device, such as a mouse, a keyboard, a display device, a voice recognition system, a motion recognition system, or the like. The processor is also communicatively coupled to an output device, such as a display screen or data link to display or send data and/or processed information, respectively. In one embodiment, the communication apparatus is the processor. In another embodiment, the communication apparatus is a set of instructions stored in memory that is carried out by the processor. The client includes a computer-readable storage medium, such as memory. The memory includes, but is not limited to, an electronic, optical, magnetic, or another storage or transmission data storage medium capable of coupling to a processor, such as a processor in communication with a touch-sensitive input device linked to computer-readable instructions. Other examples of suitable media include, for example, a flash drive, a CD-ROM, read only memory (ROM), random access memory (RAM), an application-specific integrated circuit (ASIC), a DVD, magnetic disk, an optical disk, and/or a memory chip. The processor executes a set of computer-executable program code instructions stored in the memory. The instructions may comprise code from any computer-programming language, including, for example, C originally of Bell Laboratories, C++, C#, Visual Basic® (Microsoft, Redmond, Wash.), Matlab® (MathWorks, Natick, Mass.), Java® (Oracle Corporation, Redwood City, Calif.), and JavaScript® (Oracle Corporation, Redwood City, Calif.). Herein, any number, such as 1, 2, 3, 4, 5, is optionally more than the number, less than the number, or within 1, 2, 5, 10, 20, or 50 percent of the number. Herein, an element and/or object is optionally manually and/or mechanically moved, such as along a guiding element, with a motor, and/or under control of the main controller. The particular implementations shown and described are illustrative of the invention and its best mode and are not intended to otherwise limit the scope of the present invention in any way. Indeed, for the sake of brevity, conventional manufacturing, connection, preparation, and other functional aspects of the system may not be described in detail. Furthermore, the connecting lines shown in the various figures are intended to represent exemplary functional relationships and/or physical couplings between the various elements. Many alternative or additional functional relationships or physical connections may be present in a practical system. In the foregoing description, the invention has been described with reference to specific exemplary embodiments; however, it will be appreciated that various modifications and changes may be made without departing from the scope of the present invention as set forth herein. The description and figures are to be regarded in an illustrative manner, rather than a restrictive one and all such modifications are intended to be included within the scope of the present invention. Accordingly, the scope of the invention should be determined by the generic embodiments described herein and their legal equivalents rather than by merely the specific examples described above. For example, the steps recited in any method or process embodiment may be executed in any order and are not limited to the explicit order presented in the specific examples. Additionally, the components and/or elements recited in any apparatus embodiment may be assembled or otherwise operationally configured in a variety of permutations to produce substantially the same result as the present invention and are accordingly not limited to the specific configuration recited in the specific examples. Benefits, other advantages and solutions to problems have been described above with regard to particular embodiments; however, any benefit, advantage, solution to problems or any element that may cause any particular benefit, advantage or solution to occur or to become more pronounced are not to be construed as critical, required or essential features or components. As used herein, the terms “comprises”, “comprising”, or any variation thereof, are intended to reference a non-exclusive inclusion, such that a process, method, article, composition or apparatus that comprises a list of elements does not include only those elements recited, but may also include other elements not expressly listed or inherent to such process, method, article, composition or apparatus. Other combinations and/or modifications of the above-described structures, arrangements, applications, proportions, elements, materials or components used in the practice of the present invention, in addition to those not specifically recited, may be varied or otherwise particularly adapted to specific environments, manufacturing specifications, design parameters or other operating requirements without departing from the general principles of the same. Although the invention has been described herein with reference to certain preferred embodiments, one skilled in the art will readily appreciate that other applications may be substituted for those set forth herein without departing from the spirit and scope of the present invention. Accordingly, the invention should only be limited by the Claims included below. Referring now to FIG. 35A, a fiducial marker aided treatment room system 3500 is described. Without loss of generality and for clarity of presentation, a zero vector 3501 is a vector or line emerging from the nozzle system 146 when the first axis control 143, such as a vertical control, and the second axis control 144, such as a horizontal control, of the scanning system 140 is turned off. Without loss of generality and for clarity of presentation, a zero point 3502 is a point on the zero vector 3501 at a plane of an exit face the nozzle system 146. Generally, a defined point and/or a defined line are used as a reference position and/or a reference direction and fiducial markers are defined in space relative to the point and/or line. Six additional cases of fiducial marker—fiducial detector combinations are illustrated to further describe the fiducial marker aided treatment room system 3500. In a first case, the patient 730 position is determined. Herein, a first fiducial marker 3311 marks a position of a patient positioning device 3520 and a second fiducial marker 3312 marks a position of a portion of skin of the patient 730, such as a limb, joint, and/or a specific position relative to the tumor 720. In a second case, multiple fiducial markers of the set of fiducial markers 3310 and multiple fiducial detectors of said set of fiducial detectors 3320 are used to determine a position/relative position of a single object, where the process is optionally and preferably repeated for each object in the treatment room 1222. As illustrated, the patient 730 is marked with the second fiducial marker 3312 and a third fiducial marker 3313, which are monitored using a first fiducial detector 3321 and a second fiducial detector 3322. In a third case, a fourth fiducial marker 3314 marks the scintillation material 710 and a sixth fiducial path 3336 illustrates another example of a blocked fiducial path. In a fourth case, a fifth fiducial marker 3315 marks an object not always present in the treatment room, such as a wheelchair 3540, walker, or cart. In a sixth case, a sixth fiducial marker 3316 is used to mark an operator 3550, who is mobile and must be protected from an unwanted irradiation from the nozzle system 146. Referring again to FIG. 35A, clear field treatment vectors and obstructed field treatment vectors are described. A clear field treatment vector comprises a path of the treatment beam 269 that does not intersect a non-standard object, where a standard object includes all elements in a path of the treatment beam 269 used to measure a property of the treatment beam 269, such as the first sheet 760, the second sheet 770, the third sheet 780, and the fourth sheet 790. Examples of non-standard objects or interfering objects include an arm of the patient couch, a back of the patient couch, and/or a supporting bar, such a robot arm. Use of fiducial indicators, such as a fiducial marker, on any potential interfering object allows the main controller 110 to only treat the tumor 720 of the patient 730 in the case of a clear field treatment vector. For example, fiducial markers are optionally placed along the edges or corners of the patient couch or patient positioning system or indeed anywhere on the patient couch. Combined with a-priori knowledge of geometry of the non-standard object, the main controller can deduce/calculate presence of the non-standard object in a current or future clear field treatment vector, forming a obstructed field treatment vector, and perform any of: increasing energy of the treatment beam 269 to compensate, moving the interfering non-standard object, and/or moving the patient 730 and/or the nozzle system 146 to a new position to yield a clear field treatment vector. Similarly, for a given determined clear filed treatment vector, a total treatable area, using scanning of the proton beam, for a given nozzle-patient couch position is optionally and preferably determined. Further, the clear field vectors are optionally and preferably predetermined and used in development of a radiation treatment plan. Referring again to FIG. 32A, FIG. 33, FIG. 34, and FIG. 35A, generally, one or more fiducial markers and/or one or more fiducial detectors are attached to any movable and/or statically positioned object/element in the treatment room 1222, which allows determination of relative positions and orientation between any set of objects in the treatment room 1222. Sound emitters and detectors, radar systems, and/or any range and/or directional finding system is optionally used in place of the source-photon-detector systems described herein. Isocenterless System The inventor notes that a fiducial marker aided imaging system, the fiducial marker aided tomography system 3300, and/or the fiducial marker aided treatment system 3400 are applicable in a treatment room 1222 not having a treatment beam isocenter, not having a tumor isocenter, and/or is not reliant upon calculations using and/or reliant upon an isocenter. Further, the inventor notes that all positively charged particle beam treatment centers in the public view are based upon mathematical systems using an isocenter for calculations of beam position and/or treatment position and that the fiducial marker aided imaging and treatment systems described herein do not need an isocenter and are not necessarily based upon mathematics using an isocenter, as is further described infra. In stark contrast, a defined point and/or a defined line are used as a reference position and/or a reference direction and fiducial markers are defined in space relative to the point and/or line. Traditionally, the isocenter 263 of a gantry based charged particle cancer therapy system is a point in space about which an output nozzle rotates. In theory, the isocenter 263 is an infinitely small point in space. However, traditional gantry and nozzle systems are large and extremely heavy devices with mechanical errors associated with each element. In real life, the gantry and nozzle rotate around a central volume, not a point, and at any given position of the gantry-nozzle system, a mean or unaltered path of the treatment beam 269 passes through a portion of the central volume, but not necessarily the single point of the isocenter 263. Thus, to distinguish theory and real-life, the central volume, referring now to FIG. 35B, is referred to herein as a mechanically defined isocenter volume 3512, where under best engineering practice the isocenter has a geometric center, the isocenter 263. Further, in theory, as the gantry-nozzle system rotates around the patient, the mean or unaltered lines of the treatment beam 269 at a first and second time, preferably all times, intersect at a point, the point being the isocenter 263, which is an unknown position. However, in practice the lines pass through the mechanically identified isocenter volume 3512. The inventor notes that in all gantry supported movable nozzle systems, calculations of applied beam state, such as energy, intensity, and direction of the charged particle beam, are calculated using a mathematical assumption of the point of the isocenter 263. The inventor further notes, that as in practice the treatment beam 269 passes through the mechanically defined isocenter volume 3512 but misses the isocenter 263, an error exists between the actual treatment volume and the calculated treatment volume of the tumor 720 of the patient 730 at each point in time. The inventor still further notes that the error results in the treatment beam 269: (1) not striking a given volume of the tumor 720 with the prescribed energy and/or (2) striking tissue outside of the tumor. Mechanically, this error cannot be eliminated, only reduced. However, use of the fiducial markers and fiducial detectors, as described supra, removes the constraint of using an unknown position of the isocenter 263 to determine where the treatment beam 269 is striking to fulfill a doctor provided treatment prescription as the actual position of the patient positioning system, tumor 720, and/or patient 730 is determined using the fiducial markers and output of the fiducial detectors with no use of the isocenter 263, no assumption of an isocenter 263, and/or no spatial treatment calculation based on the isocenter 263. Rather, a physically defined point and/or line, such as the zero point 3502 and/or the zero vector 3501, in conjunction with the fiducials are used to: (1) determine position and/or orientation of objects relative to the point and/or line and/or (2) perform calculations, such as a radiation treatment plan. Referring again to FIG. 32A and referring again to FIG. 35A, optionally and preferably, the task of determining the relative object positions 3240 uses a fiducial element, such as an optical tracker, mounted in the treatment room 1222, such as on the gantry or nozzle system, and calibrated to a “zero” vector 3501 of the treatment beam 269, which is defined as the path of the treatment beam when electromagnetic and/or electrostatic steering of one or more final magnets in the beam transport system 135 and/or an output nozzle system 146 attached to a terminus thereof is/are turned off. Referring again to FIG. 35B, the zero vector 3501 is a path of the treatment beam 269 when the first axis control 143, such as a vertical control, and the second axis control 144, such as a horizontal control, of the scanning system 140 is turned off. A zero point 3502 is any point, such as a point on the zero vector 3501. Herein, without loss of generality and for clarity of presentation, the zero point 3502 is a point on the zero vector 3501 crossing a plane defined by a terminus of the nozzle of the nozzle system 146. Ultimately, the use of a zero vector 3501 and/or the zero point 3502 is a method of directly and optionally actively relating the coordinates of objects, such as moving objects and/or the patient 730 and tumor 720 thereof, in the treatment room 1222 to one another; not passively relating them to an imaginary point in space such as a theoretical isocenter than cannot mechanically be implemented in practice as a point in space, but rather always as an a isocenter volume, such as an isocenter volume including the isocenter point in a well-engineered system. Examples further distinguish the isocenter based and fiducial marker based targeting system. A further example of the residual energy imaging system 3000 using the residual energy measurement system 3030 is provided. Proton therapy benefits from an accurate prediction of applied ranges of energetic protons in human tissue, where the prediction converts X-ray CT Hounsfield Units (HUs) to proton relative stopping powers (RSPs), such as via an empirically derived look-up table specific to a given CT scanner. The conversion benefits from the patient tissue being well matched to a phantom in terms of chemical composition and density to the materials used in deriving the look-up table. The errors in matching the tissue, such as changes in patient geometry, weight change, tumor growth, and misalignment, are removed if the tissue itself is used as the phantom. Generally, the residual energy measurement system 3030 allows for a verification of integral stopping power of the patient as seen by a proton pencil immediately prior to treatment. The technique is referred to as Proton Transit Verification (PTV) Check. The integral relative stopping power along the entirety of a beam path is hereafter referred to as the water equivalent thickness (WET). The PTV Check provides the clinical team with information as to the accuracy of delivery of the treatment plan. Measurement of the water equivalent thickness is optionally and preferably achieved using a delivery of proton pencil beams with large enough energies to completely traverse the patient and deposit a Bragg peak in a downstream radiation sensitive device. Herein, a dual-purpose flat panel imaging system is optionally used as the radiation detector, where the flat panel imaging system also forms part of the X-ray imaging/guidance system. The dual purpose flat panel imaging system is optionally mounted to a treatment couch, such as a patient positioning system, via the rotating ring nozzle system, described supra, or a rotating gantry. Optionally, the verification comprises delivery of a grid of pencil beams, such as using a predefined spacing and/or at the same angle, within the confines of the corresponding proton treatment field. As described in the first example, the water equivalent thickness is optionally determined at a given grid location via the process of sequential delivery of several low intensity pencil beams of increasing energy. A Gaussian distribution is fitted to a plot of detector signal as a function of pencil beam energy, as described supra. The energy corresponding to the half-height of the Gaussian distribution is obtained. The Continuous Slowing Down Approximation (CSDA) range of this energy provides the measured water equivalent thickness at this grid location. As described supra, a measured water equivalent thickness is compared to a predicted water equivalent thickness. The latter is calculated from the patient CT data, treatment plan parameters, and an energy specific system water equivalent thickness. The difference in measured and a predicted water equivalent thickness is optionally presented to the clinician via color coded dots overlaid on a patient image. Exemplary procedures follow. Procedure 1: Creating a PTV Check Field 1. determine an extent of spot positions in the treatment field and place verification locations, such as at a predefined grid spacing, within the extent of the treatment field; 2. obtain an estimate of the water equivalent thickness along a ray tracing the central axis of the spots within the range probe field; 3. determine the proton kinetic energy, such as with a continuous slowing down approximation range corresponding to an estimated water equivalent thickness; 4. obtain a refined water equivalent thickness including the Gaussian profile of the pencil beam and multiple Coulomb scattering (MCS) effects; 5. recalculate the energy of the pencil beam based on the refined water equivalent thickness; 6. include additional pencil beams with CSDA ranges, such as those corresponding to −4, −2, 2, 4 mm water equivalent thickness around the nominal water equivalent thickness; and/or 7. set spot weights equal to the desired number of protons Procedure 2: Processing and Displaying Results of PTV Check Field After delivery of all spots in a pencil beam verification field, the treatment console calls an analysis process. The analysis process optionally comprises the following steps: 1. load the ion treatment plan; 2. process the current beam; 3. load flat panel output files for each spot; 4. integrated, for each spot, charges collected within a region of interest centered on the spot location in the panel; 5. integrated charge and pencil beam energy are passed to a Gaussian fitting function; 6. energy corresponding to the 50% drop of the Gaussian is determined from the fitted parameters; 7. the continuous slowing down approximation range of the energy obtained in Step 6 is used as the measured water equivalent thickness for this grid location; and/or 8. the measured water equivalent thickness is compared to the predicted water equivalent thickness. Fiducial Marker Fiducial markers and fiducial detectors are optionally used to locate, target, track, avoid, and/or adjust for objects in a treatment room that move relative to the nozzle or nozzle system 146 of the charged particle beam system 100 and/or relative to each other. Herein, for clarity of presentation and without loss of generality, fiducial markers and fiducial detectors are illustrated in terms of a movable or statically positioned treatment nozzle and a movable or static patient position. However, generally, the fiducial markers and fiducial detectors are used to mark and identify position, or relative position, of any object in a treatment room, such as a cancer therapy treatment room 1222. Herein, a fiducial indicator refers to either a fiducial marker or a fiducial detector. Herein, photons travel from a fiducial marker to a fiducial detector. Herein, fiducial refers to a fixed basis of comparison, such as a point or a line. A fiducial marker or fiducial is an object placed in the field of view of an imaging system, which optionally appears in a generated image or digital representation of a scene, area, or volume produced for use as a point of reference or as a measure. Herein, a fiducial marker is an object placed on, but not into, a treatment room object or patient. Particularly, herein, a fiducial marker is not an implanted device in a patient. In physics, fiducials are reference points: fixed points or lines within a scene to which other objects can be related or against which objects can be measured. Fiducial markers are observed using a sighting device for determining directions or measuring angles, such as an alidade or in the modern era a digital detection system. Two examples of modern position determination systems are the Passive Polaris Spectra System and the Polaris Vicra System (NDI, Ontario, Canada). Referring now to FIG. 32A, use of a fiducial marker system 3200 is described. Generally, a fiducial marker is placed 3210 on an object, light from the fiducial marker is detected 3230, relative object positions are determined 3240, and a subsequent task is performed, such as treating a tumor 3270. For clarity of presentation and without loss of generality, non-limiting examples of uses of fiducial markers in combination with X-ray and/or positively charged particle tomographic imaging and/or treatment using positively charged particles are provided, infra. Still referring to FIG. 2C and FIG. 2D, a fifth example of using the triode extraction system 210 with varying types of ion sources is provided. The triode extraction system 210 is optionally used with an electron cyclotron resonance (ECR) ion source, a dual plasmatron ion source, an indirectly heated cathode ion source, a Freeman type ion source, or a Bernas type ion source. Generally, components of the tomography system, described supra, are combined in any combination and/or permutation. For instance, still referring to FIG. 15, a sixth example is provided using: (1) the first scintillation material 711 with the first pathlength, b1; (2) the second scintillation material 712 with the second pathlength, b2; (3) the third scintillation material 713 with the third pathlength, b3; (4) the color filter array 1414; (5) the micro-optics array 1412; and (6) the detector array 1410, all in two-dimensional configurations as part of an imaging system imaging the scintillation materials and secondary photons emitted therefrom, resultant from passage, transit, energy transfer from, interaction with, or termination of the residual charged particles in the residual charged particle beam 267. Calculation of position and direction of the residual charged particle beam 267, with or without use of an imaging sheet, allows a more accurate determination of an exit point of the treatment beam 269 or start of the residual energy beam 269 from the patient 730 and a corresponding path of the charged particle beam from the prior side of the patient 730, through the patient 730, and to the posterior exit point of the patient 730. Scintillation Array Referring now to FIG. 16A, the scintillation material 710 is optionally configured as an array of scintillation materials and/or as an array of scintillation sections 1610 in a multiplexed scintillation detector 1600, where elements of the array of scintillation sections 1610 are optionally physically separated. For clarity of presentation and without loss of generality examples follow that described and/or illustrate the array of scintillation sections 1610 as an element of the tomography system. Still referring to FIG. 2C and FIG. 2D, optionally and preferably geometries of the gating electrode 204 and/or the extraction electrode 206 are used to focus the extracted ions along the initial ion beam path 262. Still referring to FIG. 2C and FIG. 2D, the lower emittance of the electron cyclotron resonance triode extraction system is optionally and preferably coupled with a downbeam or downstream radio-frequency quadrupole, used to focus the beam, and/or a synchrotron, used to accelerate the beam. Still referring to FIG. 2C and FIG. 2D, the lower emittance of the electron cyclotron resonance triode extraction system is maintained through the synchrotron 130 and to the tumor of the patient resulting in a more accurate, precise, smaller, and/or tighter treatment voxel of the charged particle beam or charged particle pulse striking the tumor. Still referring to FIG. 2C and FIG. 2D, the lower emittance of the electron cyclotron resonance triode extraction system reduces total beam spread through the synchrotron 130 and the tumor to one or more imaging elements, such as an optical imaging sheet or scintillation material emitting photons upon passage of the charged particle beam or striking of the charged particle beam, respectively. The lower emittance of the charged particle beam, optionally and preferably maintained through the accelerator system 134 and beam transport system yields a tighter, more accurate, more precise, and/or smaller particle beam or particle burst diameter at the imaging surfaces and/or imaging elements, which facilitates more accurate and precise tumor imaging, such as for subsequent tumor treatment or to adjust, while the patient waits in a treatment position, the charged particle treatment beam position. Any feature or features of any of the above provided examples are optionally and preferably combined with any feature described in other examples provided, supra, or herein. Ion Extraction from Accelerator Referring now to FIG. 3, both: (1) an exemplary proton beam extraction system 300 from the synchrotron 130 and (2) a charged particle beam intensity control system 305 are illustrated. For clarity, FIG. 3 removes elements represented in FIG. 1C, such as the turning magnets, which allows for greater clarity of presentation of the proton beam path as a function of time. Generally, protons are extracted from the synchrotron 130 by slowing the protons. As described, supra, the protons were initially accelerated in a circulating path, which is maintained with a plurality of main bending magnets 132. The circulating path is referred to herein as an original central beamline 264. The protons repeatedly cycle around a central point in the synchrotron 136. The proton path traverses through a radio frequency (RF) cavity system 310. To initiate extraction, an RF field is applied across a first blade 312 and a second blade 314, in the RF cavity system 310. The first blade 312 and second blade 314 are referred to herein as a first pair of blades. In the proton extraction process, an RF voltage is applied across the first pair of blades, where the first blade 312 of the first pair of blades is on one side of the circulating proton beam path 264 and the second blade 314 of the first pair of blades is on an opposite side of the circulating proton beam path 264. The applied RF field applies energy to the circulating charged-particle beam. The applied RF field alters the orbiting or circulating beam path slightly of the protons from the original central beamline 264 to an altered circulating beam path 265. Upon a second pass of the protons through the RF cavity system, the RF field further moves the protons off of the original proton beamline 264. For example, if the original beamline is considered as a circular path, then the altered beamline is slightly elliptical. The frequency of the applied RF field is timed to apply outward or inward movement to a given band of protons circulating in the synchrotron accelerator. Orbits of the protons are slightly more off axis compared to the original circulating beam path 264. Successive passes of the protons through the RF cavity system are forced further and further from the original central beamline 264 by altering the direction and/or intensity of the RF field with each successive pass of the proton beam through the RF field. Timing of application of the RF field and/or frequency of the RF field is related to the circulating charged particles circulation pathlength in the synchrotron 130 and the velocity of the charged particles so that the applied RF field has a period, with a peak-to-peak time period, equal to a period of time of beam circulation in the synchrotron 130 about the center 136 or an integer multiple of the time period of beam circulation about the center 136 of the synchrotron 130. Alternatively, the time period of beam circulation about the center 136 of the synchrotron 130 is an integer multiple of the RF period time. The RF period is optionally used to calculated the velocity of the charged particles, which relates directly to the energy of the circulating charged particles. The RF voltage is frequency modulated at a frequency about equal to the period of one proton cycling around the synchrotron for one revolution or at a frequency than is an integral multiplier of the period of one proton cycling about the synchrotron. The applied RF frequency modulated voltage excites a betatron oscillation. For example, the oscillation is a sine wave motion of the protons. The process of timing the RF field to a given proton beam within the RF cavity system is repeated thousands of times with each successive pass of the protons being moved approximately one micrometer further off of the original central beamline 264. For clarity, the approximately 1000 changing beam paths with each successive path of a given band of protons through the RF field are illustrated as the altered beam path 265. The RF time period is process is known, thus energy of the charged particles at time of hitting the extraction material or material 330, described infra, is known. With a sufficient sine wave betatron amplitude, the altered circulating beam path 265 touches and/or traverses a material 330, such as a foil or a sheet of foil. The foil is preferably a lightweight material, such as beryllium, a lithium hydride, a carbon sheet, or a material having low nuclear charge components. Herein, a material of low nuclear charge is a material composed of atoms consisting essentially of atoms having six or fewer protons. The foil is preferably about 10 to 150 microns thick, is more preferably about 30 to 100 microns thick, and is still more preferably about 40 to 60 microns thick. In one example, the foil is beryllium with a thickness of about 50 microns. When the protons traverse through the foil, energy of the protons is lost and the speed of the protons is reduced. Typically, a current is also generated, described infra. Protons moving at the slower speed travel in the synchrotron with a reduced radius of curvature 266 compared to either the original central beamline 264 or the altered circulating path 265. The reduced radius of curvature 266 path is also referred to herein as a path having a smaller diameter of trajectory or a path having protons with reduced energy. The reduced radius of curvature 266 is typically about two millimeters less than a radius of curvature of the last pass of the protons along the altered proton beam path 265. The thickness of the material 330 is optionally adjusted to create a change in the radius of curvature, such as about ½, 1, 2, 3, or 4 mm less than the last pass of the protons 265 or original radius of curvature 264. The reduction in velocity of the charged particles transmitting through the material 330 is calculable, such as by using the pathlength of the betatron oscillating charged particle beam through the material 330 and/or using the density of the material 330. Protons moving with the smaller radius of curvature travel between a second pair of blades. In one case, the second pair of blades is physically distinct and/or is separated from the first pair of blades. In a second case, one of the first pair of blades is also a member of the second pair of blades. For example, the second pair of blades is the second blade 314 and a third blade 316 in the RF cavity system 310. A high voltage DC signal, such as about 1 to 5 kV, is then applied across the second pair of blades, which directs the protons out of the synchrotron through an extraction magnet 137, such as a Lambertson extraction magnet, into a transport path 268. Control of acceleration of the charged particle beam path in the synchrotron with the accelerator and/or applied fields of the turning magnets in combination with the above described extraction system allows for control of the intensity of the extracted proton beam, where intensity is a proton flux per unit time or the number of protons extracted as a function of time. For example, when a current is measured beyond a threshold, the RF field modulation in the RF cavity system is terminated or reinitiated to establish a subsequent cycle of proton beam extraction. This process is repeated to yield many cycles of proton beam extraction from the synchrotron accelerator. In another embodiment, instead of moving the charged particles to the material 330, the material 330 is mechanically moved to the circulating charged particles. Particularly, the material 330 is mechanically or electromechanically translated into the path of the circulating charged particles to induce the extraction process, described supra. In this case, the velocity or energy of the circulating charged particle beam is calculable using the pathlength of the beam path about the center 136 of the synchrotron 130 and from the force applied by the bending magnets 132. In either case, because the extraction system does not depend on any change in magnetic field properties, it allows the synchrotron to continue to operate in acceleration or deceleration mode during the extraction process. Stated differently, the extraction process does not interfere with synchrotron acceleration. In stark contrast, traditional extraction systems introduce a new magnetic field, such as via a hexapole, during the extraction process. More particularly, traditional synchrotrons have a magnet, such as a hexapole magnet, that is off during an acceleration stage. During the extraction phase, the hexapole magnetic field is introduced to the circulating path of the synchrotron. The introduction of the magnetic field necessitates two distinct modes, an acceleration mode and an extraction mode, which are mutually exclusive in time. The herein described system allows for acceleration and/or deceleration of the proton during the extraction step and tumor treatment without the use of a newly introduced magnetic field, such as by a hexapole magnet. Charged Particle Beam Intensity Control Control of applied field, such as a radio-frequency (RF) field, frequency and magnitude in the RF cavity system 310 allows for intensity control of the extracted proton beam, where intensity is extracted proton flux per unit time or the number of protons extracted as a function of time. Still referring FIG. 3, the intensity control system 305 is further described. In this example, an intensity control feedback loop is added to the extraction system, described supra. When protons in the proton beam hit the material 330 electrons are given off from the material 330 resulting in a current. The resulting current is converted to a voltage and is used as part of an ion beam intensity monitoring system or as part of an ion beam feedback loop for controlling beam intensity. The voltage is optionally measured and sent to the main controller 110 or to an intensity controller subsystem 340, which is preferably in communication or under the direction of the main controller 110. More particularly, when protons in the charged particle beam path pass through the material 330, some of the protons lose a small fraction of their energy, such as about one-tenth of a percent, which results in a secondary electron. That is, protons in the charged particle beam push some electrons when passing through material 330 giving the electrons enough energy to cause secondary emission. The resulting electron flow results in a current or signal that is proportional to the number of protons going through the target or extraction material 330. The resulting current is preferably converted to voltage and amplified. The resulting signal is referred to as a measured intensity signal. The amplified signal or measured intensity signal resulting from the protons passing through the material 330 is optionally used in monitoring the intensity of the extracted protons and is preferably used in controlling the intensity of the extracted protons. For example, the measured intensity signal is compared to a goal signal, which is predetermined in an irradiation of the tumor plan. The difference between the measured intensity signal and the planned for goal signal is calculated. The difference is used as a control to the RF generator. Hence, the measured flow of current resulting from the protons passing through the material 330 is used as a control in the RF generator to increase or decrease the number of protons undergoing betatron oscillation and striking the material 330. Hence, the voltage determined off of the material 330 is used as a measure of the orbital path and is used as a feedback control to control the RF cavity system. In one example, the intensity controller subsystem 340 preferably additionally receives input from: (1) a detector 350, which provides a reading of the actual intensity of the proton beam and/or (2) an irradiation plan 360. The irradiation plan provides the desired intensity of the proton beam for each x, y, energy, and/or rotational position of the patient/tumor as a function of time. Thus, the intensity controller 340 receives the desired intensity from the irradiation plan 350, the actual intensity from the detector 350 and/or a measure of intensity from the material 330, and adjusts the amplitude and/or the duration of application of the applied radio-frequency field in the RF cavity system 310 to yield an intensity of the proton beam that matches the desired intensity from the irradiation plan 360. As described, supra, the protons striking the material 330 is a step in the extraction of the protons from the synchrotron 130. Hence, the measured intensity signal is used to change the number of protons per unit time being extracted, which is referred to as intensity of the proton beam. The intensity of the proton beam is thus under algorithm control. Further, the intensity of the proton beam is controlled separately from the velocity of the protons in the synchrotron 130. Hence, intensity of the protons extracted and the energy of the protons extracted are independently variable. Still further, the intensity of the extracted protons is controllably variable while scanning the charged particles beam in the tumor from one voxel to an adjacent voxel as a separate hexapole and separated time period from acceleration and/or treatment is not required, as described supra. For example, protons initially move at an equilibrium trajectory in the synchrotron 130. An RF field is used to excite or move the protons into a betatron oscillation. In one case, the frequency of the protons orbit is about 10 MHz. In one example, in about one millisecond or after about 10,000 orbits, the first protons hit an outer edge of the target material 130. The specific frequency is dependent upon the period of the orbit. Upon hitting the material 130, the protons push electrons through the foil to produce a current. The current is converted to voltage and amplified to yield a measured intensity signal. The measured intensity signal is used as a feedback input to control the applied RF magnitude or RF field. An energy beam sensor, described infra, is optionally used as a feedback control to the RF field frequency or RF field of the RF field extraction system 310 to dynamically control, modify, and/or alter the delivered charge particle beam energy, such as in a continuous pencil beam scanning system operating to treat tumor voxels without alternating between an extraction phase and a treatment phase. Preferably, the measured intensity signal is compared to a target signal and a measure of the difference between the measured intensity signal and target signal is used to adjust the applied RF field in the RF cavity system 310 in the extraction system to control the intensity of the protons in the extraction step. Stated again, the signal resulting from the protons striking and/or passing through the material 130 is used as an input in RF field modulation. An increase in the magnitude of the RF modulation results in protons hitting the foil or material 130 sooner. By increasing the RF, more protons are pushed into the foil, which results in an increased intensity, or more protons per unit time, of protons extracted from the synchrotron 130. In another example, a detector 350 external to the synchrotron 130 is used to determine the flux of protons extracted from the synchrotron and a signal from the external detector is used to alter the RF field, RF intensity, RF amplitude, and/or RF modulation in the RF cavity system 310. Here the external detector generates an external signal, which is used in a manner similar to the measured intensity signal, described in the preceding paragraphs. Preferably, an algorithm or irradiation plan 360 is used as an input to the intensity controller 340, which controls the RF field modulation by directing the RF signal in the betatron oscillation generation in the RF cavity system 310. The irradiation plan 360 preferably includes the desired intensity of the charged particle beam as a function of time and/or energy of the charged particle beam as a function of time, for each patient rotation position, and/or for each x-, y-position of the charged particle beam. In yet another example, when a current from material 330 resulting from protons passing through or hitting material is measured beyond a threshold, the RF field modulation in the RF cavity system is terminated or reinitiated to establish a subsequent cycle of proton beam extraction. This process is repeated to yield many cycles of proton beam extraction from the synchrotron accelerator. In still yet another embodiment, intensity modulation of the extracted proton beam is controlled by the main controller 110. The main controller 110 optionally and/or additionally controls timing of extraction of the charged particle beam and energy of the extracted proton beam. The benefits of the system include a multi-dimensional scanning system. Particularly, the system allows independence in: (1) energy of the protons extracted and (2) intensity of the protons extracted. That is, energy of the protons extracted is controlled by an energy control system and an intensity control system controls the intensity of the extracted protons. The energy control system and intensity control system are optionally independently controlled. Preferably, the main controller 110 controls the energy control system and the main controller 110 simultaneously controls the intensity control system to yield an extracted proton beam with controlled energy and controlled intensity where the controlled energy and controlled intensity are independently variable and/or continually available as a separate extraction phase and acceleration phase are not required, as described supra. Thus the irradiation spot hitting the tumor is under independent control of: time; energy; intensity; x-axis position, where the x-axis represents horizontal movement of the proton beam relative to the patient, and y-axis position, where the y-axis represents vertical movement of the proton beam relative to the patient. In addition, the patient is optionally independently translated and/or rotated relative to a translational axis of the proton beam at the same time. Beam Transport The beam transport system 135 is used to move the charged particles from the accelerator to the patient, such as via a nozzle in a gantry, described infra. Charged Particle Energy The beam transport system 135 optionally includes means for determining an energy of the charged particles in the charged particle beam. For example, an energy of the charged particle beam is determined via calculation, such as via equation 1, using knowledge of a magnet geometry and applied magnetic field to determine mass and/or energy. Referring now to equation 1, for a known magnet geometry, charge, q, and magnetic field, B, the Larmor radius, ρL, or magnet bend radius is defined as: ρ L = v ⊥ Ω c = 2 ⁢ Em qB ( eq . ⁢ 1 ) where: v⊥ is the ion velocity perpendicular to the magnetic field, Ωc is the cyclotron frequency, q is the charge of the ion, B is the magnetic field, m is the mass of the charge particle, and E is the charged particle energy. Solving for the charged particle energy yields equation 2. E = ( ρ L ⁢ qB ) 2 2 ⁢ m ( eq . ⁢ 2 ) Thus, an energy of the charged particle in the charged particle beam in the beam transport system 135 is calculable from the know magnet geometry, known or measured magnetic field, charged particle mass, charged particle charge, and the known magnet bend radius, which is proportional to and/or equivalent to the Larmor radius. Nozzle After extraction from the synchrotron 130 and transport of the charged particle beam along the proton beam path 268 in the beam transport system 135, the charged particle beam exits through the nozzle system 146. In one example, the nozzle system includes a nozzle foil covering an end of the nozzle system 146 or a cross-sectional area within the nozzle system forming a vacuum seal. The nozzle system includes a nozzle that expands in x/y-cross-sectional area along the z-axis of the proton beam path 268 to allow the proton beam 268 to be scanned along the x-axis and y-axis by the vertical control element and horizontal control element, respectively. The nozzle foil is preferably mechanically supported by the outer edges of an exit port of the nozzle or nozzle system 146. An example of a nozzle foil is a sheet of about 0.1 inch thick aluminum foil. Generally, the nozzle foil separates atmosphere pressures on the patient side of the nozzle foil from the low pressure region, such as about 10−5 to 10−7 torr region, on the synchrotron 130 side of the nozzle foil. The low pressure region is maintained to reduce scattering of the circulating charged particle beam in the synchrotron. Herein, the exit foil of the nozzle is optionally the first sheet 760 of the charged particle beam state determination system 750, described infra. Charged Particle Control Referring now to FIG. 4A, FIG. 4B, FIG. 5, FIG. 6A, and FIG. 6B, a charged particle beam control system is described where one or more patient specific beam control assemblies are removably inserted into the charged particle beam path proximate the nozzle of the charged particle cancer therapy system 100, where the patient specific beam control assemblies adjust the beam energy, diameter, cross-sectional shape, focal point, and/or beam state of the charged particle beam to properly couple energy of the charged particle beam to the individual's specific tumor. Beam Control Tray Referring now to FIG. 4A and FIG. 4B, a beam control tray assembly 400 is illustrated in a top view and side view, respectively. The beam control tray assembly 400 optionally comprises any of a tray frame 410, a tray aperture 412, a tray handle 420, a tray connector/communicator 430, and means for holding a patient specific tray insert 510, described infra. Generally, the beam control tray assembly 400 is used to: (1) hold the patient specific tray insert 510 in a rigid location relative to the beam control tray 400, (2) electronically identify the held patient specific tray insert 510 to the main controller 110, and (3) removably insert the patient specific tray insert 510 into an accurate and precise fixed location relative to the charged particle beam, such as the proton beam path 268 at the nozzle of the charged particle cancer therapy system 100. For clarity of presentation and without loss of generality, the means for holding the patient specific tray insert 510 in the tray frame 410 of the beam control tray assembly 400 is illustrated as a set of recessed set screws 415. However, the means for holding the patient specific tray insert 510 relative to the rest of the beam control tray assembly 400 is optionally any mechanical and/or electromechanical positioning element, such as a latch, clamp, fastener, clip, slide, strap, or the like. Generally, the means for holding the patient specific tray insert 510 in the beam control tray 400 fixes the tray insert and tray frame relative to one another even when rotated along and/or around multiple axes, such as when attached to a charged particle cancer therapy system 100 dynamic gantry nozzle 610 or gantry nozzle, which is an optional element of the nozzle system 146, that moves in three-dimensional space relative to a fixed point in the beamline, proton beam path 268, and/or a given patient position. As illustrated in FIG. 4A and FIG. 4B, the recessed set screws 415 fix the patient specific tray insert 510 into the aperture 412 of the tray frame 410. The tray frame 410 is illustrated as circumferentially surrounding the patient specific tray insert 510, which aids in structural stability of the beam control tray assembly 400. However, generally the tray frame 410 is of any geometry that forms a stable beam control tray assembly 400. Still referring to FIG. 4A and now referring to FIG. 5 and FIG. 6A, the optional tray handle 420 is used to manually insert/retract the beam control tray assembly 400 into a receiving element of the gantry nozzle or dynamic gantry nozzle 610. While the beam control tray assembly 400 is optionally inserted into the charged particle beam path 268 at any point after extraction from the synchrotron 130, the beam control tray assembly 400 is preferably inserted into the positively charged particle beam proximate the dynamic gantry nozzle 610 as control of the beam shape is preferably done with little space for the beam shape to defocus before striking the tumor. Optionally, insertion and/or retraction of the beam control tray assembly 400 is semi-automated, such as in a manner of a digital-video disk player receiving a digital-video disk, with a selected auto load and/or a selected auto unload feature. Patient Specific Tray Insert Referring again to FIG. 5, a system of assembling trays 500 is described. The beam control tray assembly 400 optionally and preferably has interchangeable patient specific tray inserts 510, such as a range shifter insert 511, a patient specific ridge filter insert 512, an aperture insert 513, a compensator insert 514, or a blank insert 515. As described, supra, any of the range shifter insert 511, the patient specific ridge filter insert 512, the aperture insert 513, the compensator insert 514, or the blank insert 515 after insertion into the tray frame 410 are inserted as the beam control tray assembly 400 into the positively charged particle beam path 268, such as proximate the dynamic gantry nozzle 610. Still referring to FIG. 5, the patient specific tray inserts 510 are further described. The patient specific tray inserts comprise a combination of any of: (1) a standardized beam control insert and (2) a patient specific beam control insert. For example, the range shifter insert or 511 or compensator insert 514 used to control the depth of penetration of the charged particle beam into the patient is optionally: (a) a standard thickness of a beam slowing material, such as a first thickness of Lucite, an acrylic, a clear plastic, and/or a thermoplastic material, (b) one member of a set of members of varying thicknesses and/or densities where each member of the set of members slows the charged particles in the beam path by a known amount, or (c) is a material with a density and thickness designed to slow the charged particles by a customized amount for the individual patient being treated, based on the depth of the individual's tumor in the tissue, the thickness of intervening tissue, and/or the density of intervening bone/tissue. Similarly, the ridge filter insert 512 used to change the focal point or shape of the beam as a function of depth is optionally: (1) selected from a set of ridge filters where different members of the set of ridge filters yield different focal depths or (2) customized for treatment of the individual's tumor based on position of the tumor in the tissue of the individual. Similarly, the aperture insert is: (1) optionally selected from a set of aperture shapes or (2) is a customized individual aperture insert 513 designed for the specific shape of the individual's tumor. The blank insert 515 is an open slot, but serves the purpose of identifying slot occupancy, as described infra. Slot Occupancy/Identification Referring again to FIG. 4A, FIG. 4B, and FIG. 5, occupancy and identification of the particular patient specific tray insert 510 into the beam control tray assembly 400 is described. Generally, the beam control tray assembly 400 optionally contains means for identifying, to the main controller 110 and/or a treatment delivery control system described infra, the specific patient tray insert 510 and its location in the charged particle beam path 268. First, the particular tray insert is optionally labeled and/or communicated to the beam control tray assembly 400 or directly to the main controller 110. Second, the beam control tray assembly 400 optionally communicates the tray type and/or tray insert to the main controller 110. In various embodiments, communication of the particular tray insert to the main controller 110 is performed: (1) directly from the tray insert, (2) from the tray insert 510 to the tray assembly 400 and subsequently to the main controller 110, and/or (3) directly from the tray assembly 400. Generally, communication is performed wirelessly and/or via an established electromechanical link. Identification is optionally performed using a radio-frequency identification label, use of a barcode, or the like, and/or via operator input. Examples are provided to further clarify identification of the patient specific tray insert 510 in a given beam control tray assembly 400 to the main controller. In a first example, one or more of the patient specific tray inserts 510, such as the range shifter insert 511, the patient specific ridge filter insert 512, the aperture insert 513, the compensator insert 514, or the blank insert 515 include an identifier 520 and/or and a first electromechanical identifier plug 530. The identifier 520 is optionally a label, a radio-frequency identification tag, a barcode, a 2-dimensional bar-code, a matrix-code, or the like. The first electromechanical identifier plug 530 optionally includes memory programmed with the particular patient specific tray insert information and a connector used to communicate the information to the beam control tray assembly 400 and/or to the main controller 110. As illustrated in FIG. 5, the first electromechanical identifier plug 530 affixed to the patient specific tray insert 510 plugs into a second electromechanical identifier plug, such as the tray connector/communicator 430, of the beam control tray assembly 400, which is described infra. In a second example, the beam control tray assembly 400 uses the second electromechanical identifier plug to send occupancy, position, and/or identification information related to the type of tray insert or the patient specific tray insert 510 associated with the beam control tray assembly to the main controller 110. For example, a first tray assembly is configured with a first tray insert and a second tray assembly is configured with a second tray insert. The first tray assembly sends information to the main controller 110 that the first tray assembly holds the first tray insert, such as a range shifter, and the second tray assembly sends information to the main controller 110 that the second tray assembly holds the second tray insert, such as an aperture. The second electromechanical identifier plug optionally contains programmable memory for the operator to input the specific tray insert type, a selection switch for the operator to select the tray insert type, and/or an electromechanical connection to the main controller. The second electromechanical identifier plug associated with the beam control tray assembly 400 is optionally used without use of the first electromechanical identifier plug 530 associated with the tray insert 510. In a third example, one type of tray connector/communicator 430 is used for each type of patient specific tray insert 510. For example, a first connector/communicator type is used for holding a range shifter insert 511, while a second, third, fourth, and fifth connector/communicator type is used for trays respectively holding a patient specific ridge filter insert 512, an aperture insert 513, a compensator insert 514, or a blank insert 515. In one case, the tray communicates tray type with the main controller. In a second case, the tray communicates patient specific tray insert information with the main controller, such as an aperture identifier custom built for the individual patient being treated. Tray Insertion/Coupling Referring now to FIG. 6A and FIG. 6B a beam control insertion process 600 is described. The beam control insertion process 600 comprises: (1) insertion of the beam control tray assembly 400 and the associated patient specific tray insert 510 into the charged particle beam path 268 and/or dynamic gantry nozzle 610, such as into a tray assembly receiver 620 and (2) an optional partial or total retraction of beam of the tray assembly receiver 620 into the dynamic gantry nozzle 610. Referring now to FIG. 6A, insertion of one or more of the beam control tray assemblies 400 and the associated patient specific tray inserts 510 into the dynamic gantry nozzle 610 is further described. In FIG. 6A, three beam control tray assemblies, of a possible n tray assemblies, are illustrated, a first tray assembly 402, a second tray assembly 404, and a third tray assembly 406, where n is a positive integer of 1, 2, 3, 4, 5 or more. As illustrated, the first tray assembly 402 slides into a first receiving slot 403, the second tray assembly 404 slides into a second receiving slot 405, and the third tray assembly 406 slides into a third receiving slot 407. Generally, any tray optionally inserts into any slot or tray types are limited to particular slots through use of a mechanical, physical, positional, and/or steric constraints, such as a first tray type configured for a first insert type having a first size and a second tray type configured for a second insert type having a second distinct size at least ten percent different from the first size. Still referring to FIG. 6A, identification of individual tray inserts inserted into individual receiving slots is further described. As illustrated, sliding the first tray assembly 402 into the first receiving slot 403 connects the associated electromechanical connector/communicator 430 of the first tray assembly 402 to a first receptor 626. The electromechanical connector/communicator 430 of the first tray assembly communicates tray insert information of the first beam control tray assembly to the main controller 110 via the first receptor 626. Similarly, sliding the second tray assembly 404 into the second receiving slot 405 connects the associated electromechanical connector/communicator 430 of the second tray assembly 404 into a second receptor 627, which links communication of the associated electromechanical connector/communicator 430 with the main controller 110 via the second receptor 627, while a third receptor 628 connects to the electromechanical connected placed into the third slot 407. The non-wireless/direct connection is preferred due to the high radiation levels within the treatment room and the high shielding of the treatment room, which both hinder wireless communication. The connection of the communicator and the receptor is optionally of any configuration and/or orientation. Tray Receiver Assembly Retraction Referring again to FIG. 6A and FIG. 6B, retraction of the tray receiver assembly 620 relative to a nozzle end 612 of the dynamic gantry nozzle 610 is described. The tray receiver assembly 620 comprises a framework to hold one or more of the beam control tray assemblies 400 in one or more slots, such as through use of a first tray receiver assembly side 622 through which the beam control tray assemblies 400 are inserted and/or through use of a second tray receiver assembly side 624 used as a backstop, as illustrated holding the plugin receptors configured to receive associated tray connector/communicators 430, such as the first, second, and third receptors 626, 627, 628. Optionally, the tray receiver assembly 620 retracts partially or completely into the dynamic gantry nozzle 610 using a retraction mechanism 660 configured to alternately retract and extend the tray receiver assembly 620 relative to a nozzle end 612 of the gantry nozzle 610, such as along a first retraction track 662 and a second retraction track 664 using one or more motors and computer control. Optionally the tray receiver assembly 620 is partially or fully retracted when moving the gantry, nozzle, and/or gantry nozzle 610 to avoid physical constraints of movement, such as potential collision with another object in the patient treatment room. For clarity of presentation and without loss of generality, several examples of loading patient specific tray inserts into tray assemblies with subsequent insertion into an positively charged particle beam path proximate a gantry nozzle 610 are provided. In a first example, a single beam control tray assembly 400 is used to control the charged particle beam 268 in the charged particle cancer therapy system 100. In this example, a patient specific range shifter insert 511, which is custom fabricated for a patient, is loaded into a patient specific tray insert 510 to form a first tray assembly 402, where the first tray assembly 402 is loaded into the third receptor 628, which is fully retracted into the gantry nozzle 610. In a second example, two beam control assemblies 400 are used to control the charged particle beam 268 in the charged particle cancer therapy system 100. In this example, a patient specific ridge filter 512 is loaded into a first tray assembly 402, which is loaded into the second receptor 627 and a patient specific aperture 513 is loaded into a second tray assembly 404, which is loaded into the first receptor 626 and the two associated tray connector/communicators 430 using the first receptor 626 and second receptor 627 communicate to the main controller 110 the patient specific tray inserts 510. The tray receiver assembly 620 is subsequently retracted one slot so that the patient specific ridge filter 512 and the patient specific aperture reside outside of and at the nozzle end 612 of the gantry nozzle 610. In a third example, three beam control tray assemblies 400 are used, such as a range shifter 511 in a first tray inserted into the first receiving slot 403, a compensator in a second tray inserted into the second receiving slot 405, and an aperture in a third tray inserted into the third receiving slot 407. Generally, any patient specific tray insert 510 is inserted into a tray frame 410 to form a beam control tray assembly 400 inserted into any slot of the tray receiver assembly 620 and the tray assembly is not retracted or retracted any distance into the gantry nozzle 610. Tomography/Beam State In one embodiment, the charged particle tomography apparatus is used to image a tumor in a patient. As current beam position determination/verification is used in both tomography and cancer therapy treatment, for clarity of presentation and without limitation beam state determination is also addressed in this section. However, beam state determination is optionally used separately and without tomography. In another example, the charged particle tomography apparatus is used in combination with a charged particle cancer therapy system using common elements. For example, tomographic imaging of a cancerous tumor is performed using charged particles generated with an injector, accelerator, and guided with a delivery system that are part of the cancer therapy system, described supra. In various examples, the tomography imaging system is optionally simultaneously operational with a charged particle cancer therapy system using common elements, allows tomographic imaging with rotation of the patient, is operational on a patient in an upright, semi-upright, and/or horizontal position, is simultaneously operational with X-ray imaging, and/or allows use of adaptive charged particle cancer therapy. Further, the common tomography and cancer therapy apparatus elements are optionally operational in a multi-axis and/or multi-field raster beam mode. In conventional medical X-ray tomography, a sectional image through a body is made by moving one or both of an X-ray source and the X-ray film in opposite directions during the exposure. By modifying the direction and extent of the movement, operators can select different focal planes, which contain the structures of interest. More modern variations of tomography involve gathering projection data from multiple directions by moving the X-ray source and feeding the data into a tomographic reconstruction software algorithm processed by a computer. Herein, in stark contrast to known methods, the radiation source is a charged particle, such as a proton ion beam or a carbon ion beam. A proton beam is used herein to describe the tomography system, but the description applies to a heavier ion beam, such as a carbon ion beam. Further, in stark contrast to known techniques, herein the radiation source is preferably stationary while the patient is rotated. Referring now to FIG. 7, an example of a tomography apparatus is described and an example of a beam state determination is described. In this example, the tomography system 700 uses elements in common with the charged particle beam system 100, including elements of one or more of the injection system 120, the accelerator 130, a positively charged particle beam transport path 268 within a beam transport housing 320 in the beam transport system 135, the targeting/delivery system 140, the patient interface module 150, the display system 160, and/or the imaging system 170, such as the X-ray imaging system. The scintillation material is optionally one or more scintillation plates, such as a scintillating plastic, used to measure energy, intensity, and/or position of the charged particle beam. For instance, a scintillation material 710 or scintillation plate is positioned behind the patient 730 relative to the targeting/delivery system 140 elements, which is optionally used to measure intensity and/or position of the charged particle beam after transmitting through the patient. Optionally, a second scintillation plate or a charged particle induced photon emitting sheet, described infra, is positioned prior to the patient 730 relative to the targeting/delivery system 140 elements, which is optionally used to measure incident intensity and/or position of the charged particle beam prior to transmitting through the patient. The charged particle beam system 100 as described has proven operation at up to and including 330 MeV, which is sufficient to send protons through the body and into contact with the scintillation material. Particularly, 250 MeV to 330 MeV are used to pass the beam through a standard sized patient with a standard sized pathlength, such as through the chest. The intensity or count of protons hitting the plate as a function of position is used to create an image. The velocity or energy of the proton hitting the scintillation plate is also used in creation of an image of the tumor 720 and/or an image of the patient 730. The patient 730 is rotated about the y-axis and a new image is collected. Preferably, a new image is collected with about every one degree of rotation of the patient resulting in about 360 images that are combined into a tomogram using tomographic reconstruction software. The tomographic reconstruction software uses overlapping rotationally varied images in the reconstruction. Optionally, a new image is collected at about every 2, 3, 4, 5, 10, 15, 30, or 45 degrees of rotation of the patient. Herein, the scintillation material 710 or scintillator is any material that emits a photon when struck by a positively charged particle or when a positively charged particle transfers energy to the scintillation material sufficient to cause emission of light. Optionally, the scintillation material emits the photon after a delay, such as in fluorescence or phosphorescence. However, preferably, the scintillator has a fast fifty percent quench time, such as less than 0.0001, 0.001, 0.01, 0.1, 1, 10, 100, or 1,000 milliseconds, so that the light emission goes dark, falls off, or terminates quickly. Preferred scintillation materials include sodium iodide, potassium iodide, cesium iodide, an iodide salt, and/or a doped iodide salt. Additional examples of the scintillation materials include, but are not limited to: an organic crystal, a plastic, a glass, an organic liquid, a luminophor, and/or an inorganic material or inorganic crystal, such as barium fluoride, BaF2; calcium fluoride, CaF2, doped calcium fluoride, sodium iodide, NaI; doped sodium iodide, sodium iodide doped with thallium, NaI(TI); cadmium tungstate, CdWO4; bismuth germanate; cadmium tungstate, CdWO4; calcium tungstate, CaWO4; cesium iodide, CsI; doped cesium iodide; cesium iodide doped with thallium, CsI(Tl); cesium iodide doped with sodium CsI(Na); potassium iodide, KI; doped potassium iodide, gadolinium oxysulfide, Gd2O2S; lanthanum bromide doped with cerium, LaBr3(Ce); lanthanum chloride, LaCl3; cesium doped lanthanum chloride, LaCl3(Ce); lead tungstate, PbWO4; LSO or lutetium oxyorthosilicate (Lu2SiO5); LYSO, Lu1.8Y0.2SiO5(Ce); yttrium aluminum garnet, YAG(Ce); zinc sulfide, ZnS(Ag); and zinc tungstate, ZnWO4. In one embodiment, a tomogram or an individual tomogram section image is collected at about the same time as cancer therapy occurs using the charged particle beam system 100. For example, a tomogram is collected and cancer therapy is subsequently performed: without the patient moving from the positioning systems, such as in a semi-vertical partial immobilization system, a sitting partial immobilization system, or the a laying position. In a second example, an individual tomogram slice is collected using a first cycle of the accelerator 130 and using a following cycle of the accelerator 130, the tumor 720 is irradiated, such as within about 1, 2, 5, 10, 15 or 30 seconds. In a third case, about 2, 3, 4, or 5 tomogram slices are collected using 1, 2, 3, 4, or more rotation positions of the patient 730 within about 5, 10, 15, 30, or 60 seconds of subsequent tumor irradiation therapy. In another embodiment, the independent control of the tomographic imaging process and X-ray collection process allows simultaneous single and/or multi-field collection of X-ray images and tomographic images easing interpretation of multiple images. Indeed, the X-ray and tomographic images are optionally overlaid to from a hybrid X-ray/proton beam tomographic image as the patient 730 is optionally in the same position for each image. In still another embodiment, the tomogram is collected with the patient 730 in the about the same position as when the patient's tumor is treated using subsequent irradiation therapy. For some tumors, the patient being positioned in the same upright or semi-upright position allows the tumor 720 to be separated from surrounding organs or tissue of the patient 730 better than in a laying position. Positioning of the scintillation material 710 behind the patient 730 allows the tomographic imaging to occur while the patient is in the same upright or semi-upright position. The use of common elements in the tomographic imaging and in the charged particle cancer therapy allows benefits of the cancer therapy, described supra, to optionally be used with the tomographic imaging, such as proton beam x-axis control, proton beam y-axis control, control of proton beam energy, control of proton beam intensity, timing control of beam delivery to the patient, rotation control of the patient, and control of patient translation all in a raster beam mode of proton energy delivery. The use of a single proton or cation beamline for both imaging and treatment facilitates eases patient setup, reduces alignment uncertainties, reduces beam sate uncertainties, and eases quality assurance. In yet still another embodiment, initially a three-dimensional tomographic proton based reference image is collected, such as with hundreds of individual rotation images of the tumor 720 and patient 730. Subsequently, just prior to proton treatment of the cancer, just a few 2-dimensional control tomographic images of the patient are collected, such as with a stationary patient or at just a few rotation positions, such as an image straight on to the patient, with the patient rotated about 45 degrees each way, and/or the patient rotated about 90 degrees each way about the y-axis. The individual control images are compared with the 3-dimensional reference image. An adaptive proton therapy is subsequently performed where: (1) the proton cancer therapy is not used for a given position based on the differences between the 3-dimensional reference image and one or more of the 2-dimensional control images and/or (2) the proton cancer therapy is modified in real time based on the differences between the 3-dimensional reference image and one or more of the 2-dimensional control images. Charged Particle State Determination/Verification/Photonic Monitoring Still referring to FIG. 7, the tomography system 700 is optionally used with a charged particle beam state determination system 750, optionally used as a charged particle verification system. The charged particle state determination system 750 optionally measures, determines, and/or verifies one of more of: (1) position of the charged particle beam, such as the treatment beam 269, (2) direction of the treatment beam 269, (3) intensity of the treatment beam 269, (4) energy of the treatment beam 269, (5) position, direction, intensity, and/or energy of the charged particle beam, such as a residual charged particle beam 267 after passing through a sample or the patient 730, and (6) a history of the charged particle beam. For clarity of presentation and without loss of generality, a description of the charged particle beam state determination system 750 is described and illustrated separately in FIG. 8 and FIG. 9A; however, as described herein elements of the charged particle beam state determination system 750 are optionally and preferably integrated into the nozzle system 146 and/or the tomography system 700 of the charged particle treatment system 100. More particularly, any element of the charged particle beam state determination system 750 is integrated into the nozzle system 146, the dynamic gantry nozzle 610, and/or tomography system 700, such as a surface of the scintillation material 710 or a surface of a scintillation detector, plate, or system. The nozzle system 146 or the dynamic gantry nozzle 610 provides an outlet of the charged particle beam from the vacuum tube initiating at the injection system 120 and passing through the synchrotron 130 and beam transport system 135. Any plate, sheet, fluorophore, or detector of the charged particle beam state determination system is optionally integrated into the nozzle system 146. For example, an exit foil of the nozzle 610 is optionally a first sheet 760 of the charged particle beam state determination system 750 and a first coating 762 is optionally coated onto the exit foil, as illustrated in FIG. 7. Similarly, optionally a surface of the scintillation material 710 is a support surface for a fourth coating 792, as illustrated in FIG. 7. The charged particle beam state determination system 750 is further described, infra. Referring now to FIG. 7, FIG. 8, and FIG. 9A, four sheets, a first sheet 760, a second sheet 770, a third sheet 780, and a fourth sheet 790 are used to illustrated detection sheets and/or photon emitting sheets upon transmittance of a charged particle beam. Each sheet is optionally coated with a photon emitter, such as a fluorophore, such as the first sheet 760 is optionally coated with a first coating 762. Without loss of generality and for clarity of presentation, the four sheets are each illustrated as units, where the light emitting layer is not illustrated. Thus, for example, the second sheet 770 optionally refers to a support sheet, a light emitting sheet, and/or a support sheet coated by a light emitting element. The four sheets are representative of n sheets, where n is a positive integer. Referring now to FIG. 7 and FIG. 8, the charged particle beam state verification system 750 is a system that allows for monitoring of the actual charged particle beam position in real-time without destruction of the charged particle beam. The charged particle beam state verification system 750 preferably includes a first position element or first beam verification layer, which is also referred to herein as a coating, luminescent, fluorescent, phosphorescent, radiance, or viewing layer. The first position element optionally and preferably includes a coating or thin layer substantially in contact with a sheet, such as an inside surface of the nozzle foil, where the inside surface is on the synchrotron side of the nozzle foil. Less preferably, the verification layer or coating layer is substantially in contact with an outer surface of the nozzle foil, where the outer surface is on the patient treatment side of the nozzle foil. Preferably, the nozzle foil provides a substrate surface for coating by the coating layer. Optionally, a binding layer is located between the coating layer and the nozzle foil, substrate, or support sheet. Optionally, the position element is placed anywhere in the charged particle beam path. Optionally, more than one position element on more than one sheet, respectively, is used in the charged particle beam path and is used to determine a state property of the charged particle beam, as described infra. Still referring to FIG. 7 and FIG. 8, the coating, referred to as a fluorophore, yields a measurable spectroscopic response, spatially viewable by a detector or camera, as a result of transmission by the proton beam. The coating is preferably a phosphor, but is optionally any material that is viewable or imaged by a detector where the material changes spectroscopically as a result of the charged particle beam hitting or transmitting through the coating or coating layer. A detector or camera views secondary photons emitted from the coating layer and determines a position of a treatment beam 269, which is also referred to as a current position of the charged particle beam or final treatment vector of the charged particle beam, by the spectroscopic differences resulting from protons and/or charged particle beam passing through the coating layer. For example, the camera views a surface of the coating surface as the proton beam or positively charged cation beam is being scanned by the first axis control 143, vertical control, and the second axis control 144, horizontal control, beam position control elements during treatment of the tumor 720. The camera views the current position of the charged particle beam or treatment beam 269 as measured by spectroscopic response. The coating layer is preferably a phosphor or luminescent material that glows and/or emits photons for a short period of time, such as less than 5 seconds for a 50% intensity, as a result of excitation by the charged particle beam. The detector observes the temperature change and/or observe photons emitted from the charged particle beam traversed spot. Optionally, a plurality of cameras or detectors are used, where each detector views all or a portion of the coating layer. For example, two detectors are used where a first detector views a first half of the coating layer and the second detector views a second half of the coating layer. Preferably, at least a portion of the detector is mounted into the nozzle system to view the proton beam position after passing through the first axis and second axis controllers 143, 144. Preferably, the coating layer is positioned in the proton beam path 268 in a position prior to the protons striking the patient 730. Referring now to FIG. 1 and FIG. 7, the main controller 110, connected to the camera or detector output, optionally and preferably compares the final proton beam position or position of the treatment beam 269 with the planned proton beam position and/or a calibration reference to determine if the actual proton beam position or position of the treatment beam 269 is within tolerance. The charged particle beam state determination system 750 preferably is used in one or more phases, such as a calibration phase, a mapping phase, a beam position verification phase, a treatment phase, and a treatment plan modification phase. The calibration phase is used to correlate, as a function of x-, y-position of the glowing response the actual x-, y-position of the proton beam at the patient interface. During the treatment phase, the charged particle beam position is monitored and compared to the calibration and/or treatment plan to verify accurate proton delivery to the tumor 720 and/or as a charged particle beam shutoff safety indicator. Referring now to FIG. 10, the position verification system 179 and/or the treatment delivery control system 112, upon determination of a tumor shift, an unpredicted tumor distortion upon treatment, and/or a treatment anomaly optionally generates and or provides a recommended treatment change 1070. The treatment change 1070 is optionally sent out while the patient 730 is still in the treatment position, such as to a proximate physician or over the internet to a remote physician, for physician approval 1072, receipt of which allows continuation of the now modified and approved treatment plan.
summary
06069937&
abstract
An illumination apparatus for illuminating an object with X-rays. The illumination apparatus has a high illumination efficiency, and the numerical aperture of the X-rays is nearly uniform over an arcuate area, and is independent of the illumination position. The apparatus comprises an excitation energy light generation unit for generating excitation energy light rays and a target member having a curved surface and plurality of X-ray sources formed thereon that emit X-rays when irradiated by the light rays. The apparatus further comprises an illumination optical system that images X-rays from said plurality of X-ray sources onto the object to be illuminated. The target member curved surface may be cylindrical. The target member may also be tape-shaped and provided along the curved surface. Further, the target member may be metallic, particulate, liquid or gas.
claims
1. An apparatus fix supporting nuclear fuel assemblies comprising;a body structure comprising at least one substantially vertically oriented elongated cell for receiving a nuclear fuel assembly, the hod having a top, a bottom and a first lateral side;at least one elongated slot in the first lateral side of the body structure that forms a passageway into the cell through which a vertically oriented fuel assembly can be loaded;the elongated slot having a fixed size and being formed between a pair of substantially vertical retaining members so that (i) when the fuel assembly is in a first rotational position about a vertical axis, the fuel assembly can pass through the elongated slot in an unobstructed manner, and (ii) when the fuel assembly is in a second rotational position about the vertical axis, the fuel assembly can not pass through the elongated slot; andmeans for supporting the fuel assembly in the second rotational position within the cell in a substantially vertical orientation. 2. The apparatus of claim 1 further comprising:the body structure comprising a plurality of the substantially vertically oriented elongated cells; anda plurality of the elongated slots, one of the elongated slots forming a passageway into each of the cells. 3. The apparatus of claim 1 further comprising:a gridwork of plates that form a plurality of the cells; anda base plate, the gridwork of plates positioned atop the base plate. 4. The apparatus of claim 3 further comprising:the body structure having a second lateral side opposite the first lateral side;the gridwork of plates forming a first row of the cells and a second row of the cells;a plurality of the elongated slots in the first lateral side of the body structure forming passageways into the first row of the cells; anda plurality of the elongated slots in a second lateral side of the body structure forming passageways into the second row of the cells. 5. The apparatus of claim 1 wherein the support means comprises a stabilizer structure located at a bottom of the cell, the stabilizer structure having a cavity having an open top end in spatial communication with the cell, the cavity receiving an end of the fuel assembly awl preventing free rotation of the fuel assembly. 6. The apparatus of claim 5 wherein the cell has a rectangular horizontal cross sectional profile and the cavity has a substantially diamond-shaped horizontal cross-sectional profile. 7. The apparatus of claim 5 wherein the cell has a rectangular horizontal cross sectional profile having a first diagonal and the cavity has a rectangular horizontal cross-sectional profile having a second diagonal, and wherein first and second diagonals intersect at a nonzero angle Θ. 8. The apparatus of claim 1 wherein a floor of cell has a design feature for orienting the fuel assemblies within the cells. 9. The apparatus of claim 1 wherein the elongated slot has a first width and the cell has a second width, the first width being smaller than the second width. 10. The apparatus of claim 9 wherein the first width is greater than a width of a fuel assembly to be supported in the apparatus and less than a diagonal of the fuel assembly to be held in the apparatus. 11. The apparatus of claim 10 wherein the second width is greater than the diagonal of the fuel assemblies. 12. The apparatus of claim 1 wherein the cells have an open top end. 13. The apparatus of claim 1 further comprising:a gridwork of plates that form a first row of the cells and a second row of the cells;the gridwork of plates comprising a primary plate in a substantially vertical orientation, the primary plate separating the first row of the cells from the second row of the cells:a plurality of the elongated slots in the first lateral side of the body structure forming passageways into the first row of the cells;a plurality of the elongated slots in a second lateral side of the body structure forming passageways into the second row of the cells; andthe gridwork of plates further comprising a plurality of substantially vertically orientated secondary plates connected to both sides of the primary plate in a rectilinear configuration, the secondary plates separating the cells of the first row of the cells from one another and separating, the cells of the second row of the cells from one another. 14. The apparatus of claim 13 wherein first lateral edges of the secondary plates are connected to the primary plate and second lateral edges of the secondary plates comprise a retaining member, the elongated slots being formed between the retaining members. 15. An apparatus for supporting radioactive fuel assemblies in submerged environment comprising:a body structure comprising a plurality of elongated cells for receiving radioactive fuel assemblies, the body having a top, a bottom and a first lateral side;a plurality of elongated slots in the first lateral side of the body structure, each elongated slot forming a lateral passageway into one of the plurality of cells, each elongated slot having a fixed size so that: (i) when the fuel assemblies are in a first rotational position about a vertical axis, the fuel assemblies can pass through the elongated slot in an unobstructed manner, and (ii) when the fuel assemblies are in a second rotational position about the vertical axis, the fuel assemblies can not pass through the elongated slot;the elongated slots having a width that is less than a width of the cells; andmeans for supporting the fuel assemblies within the cells so that the fuel assemblies can nor freely rotate. 16. The apparatus of claim 15 further comprising:the support means comprising a stabilizer structure located at a bottom of each of the cells, the stabilizer structures having a cavity having an open top end that is in spatial communication with the cell; andwherein the cell has a rectangular horizontal cross sectional profile having a first diagonal and the cavity has a rectangular horizontal cross-sectional profile having a second diagonal, and wherein first and second diagonals intersect at a nonzero angle Θ. 17. A system for supporting spent nuclear fuel rods in a submerged environment comprising:a fuel assembly housing for receiving one or more nuclear fuel rods, the fuel assembly having a vertical axis;a body structure comprising at least one substantially vertically oriented elongated cell for receiving the fuel assembly housing, the body having a top, a bottom and a first lateral side;at least one elongated slot in the first lateral side of the body structure that forms a passageway into the cell;the elongated slot having a fixed size so that: (i) when the fuel assembly housing is in a first rotational position about the vertical axis, the fuel assembly housing can be translated through the elongated slot in an unobstructed manner, and (ii) when the fuel assembly housing is in a second rotational position about thevertical axis, the fuel assembly can not be translated through the elongated slot, the elongated slot having a first width greater than a width of the fuel assembly housing and less than a diagonal of the fuel assembly housing; and means for supporting the fuel assembly housing in the second rotational position within the cell in a substantially vertical orientation. 18. The system of claim 17 wherein the cell has a second width, the first width being smaller than the second width. 19. The system of claim 18 wherein the second width is greater than the diagonal of the fule assemblies.
abstract
Encapsulating calcined radioactive waste in strong, corrosion-resistant spheres of dimensions such that heat from the radiation melts the ice at a rate which brings the spheres to the bottom of the permanent icefield in a relatively short time, with the resulting waste ultimately being no more hazardous than natural uranium ore.
042785014
summary
The invention relates to a spring element for holding down and bracing or supporting fuel assemblies especially of water-cooled neclear reactors, against a hold-down plate upwardly limiting the reactor core. Bracing the individual fuel assemblies of a nuclear reactor against a hold-down plate in this manner has become generally known heretofore. This is necessary because, otherwise, the flow forces of the reactor coolant might lift the fuel assemblies upwardly out of the normal position thereof. A rigid mounting for the individual fuel assemblies by means of this hold-down plate cannot be provided because variations in length due to thermal expansion and, also, radiation-induced length increase must be afforded. On the other hand, since a loose seating of the fuel assemblies below the hold-down plate must be avoided at all costs, springs are normally disposed in such a manner that length increase and thermal expansion can nevertheless occur against the force of these springs during operation of the fuel assemblies without subjecting the mountings to excessive stresses. While such spring elements known in the state of the art generally fulfill, in practice, the purposes for which they are intended, when other structural materials are used in fuel assemblies, such as Zircaloy guide tubes, for example, a wider operating range which is difficult to attain i.e. spring travel, is necessary to assure that the fuel assemblies will be properly held or supported under all operating conditions. Due to the assembly geometry, which is presupposed in most cases, variations in the operating characteristic could heretofore be attained only to an insufficient extent by modified spring elements. The problem therefore arose of developing a spring element construction, the assembly and disassembly of which from a fuel assembly can be accomplished more simply than heretofore and the spring travel i.e. the operating range, thereof is adjustable and can be kept considerably wider or greater than heretofore. It is accordingly an object of the invention to provide a spring element for holding down nuclear reactor fuel elements which is more simply assemblable with and disassemblable from a fuel assembly than heretofore and has a greater spring travel or operating range than heretofore, due to the adjustability thereof. With the foregoing and other objects in view, there is provided, in accordance with the invention, a spring element for holding down and bracing a fuel assembly against a hold-down plate upwardly limiting the reactor core of a nuclear reactor, comprising a spring-loaded rod-shaped member separately formed independently of the fuel assembly and being slidable axially and form-lockingly into the fuel assembly. Should replacement or removal of the spring element, in the case of a previously irradiated spring element, be desired, this can be effected by means of a simple gripper device, after the reactor core has been made accessible, without requiring rotation of the fuel assembly. At any other place of deposit for fuel assemblies, this can be effected without any special preparations. In accordance with another feature of the invention, the rod-shaped member is telescopically slidable together, and including a compression spring urging the telescopically slidable rod-shaped member, in neutral position thereof, into the greatest possible assumed length thereof, the rod-shaped member, in installed condition thereof, being mounted, at one end thereof, in at least one bore formed in a head of the fuel assembly and engaging the hold-down plate, at the other end thereof. In accordance with a further feature of the invention, the compression spring is disposed around and extends along the length of the rod-shaped member. In accordance with an added feature of the invention, the rod-shaped member is formed of three parts including a threaded bolt formed with a shaft and a head, an upper sleeve telescopically guidable on the shaft of the threaded bolt and engageable with the head of the threaded bolt, and an internally threaded lower sleeve wherein the threaded bolt is threadedly received for adjusting the combined length thereof, the lower sleeve and the threaded bolt being securable against relative rotation, the one end of the rod-shaped member being an end of the threaded bolt projecting beyond the lower sleeve and engageable in the fuel-element head. In accordance with a concomitant feature of the invention, the compression spring surrounds the rod-shaped member, and both the upper and lower sleeves are formed with a respective collar at ends thereof forming opposite ends of the rod-shaped member, the collars serving as stops for the compression spring. Other features which are considered as characteristic for the invention are set forth in the appended claims. Although the invention is illustrated and described herein as embodied in spring element for holding down nuclear reactor fuel assembly, it is nevertheless not intended to be limited to the details shown, since various modifications and structural changes may be made therein without departing from the spirit of the invention and within the scope and range of equivalents of the claims.
claims
1. A nuclear fuel rod for a nuclear plant, wherein the fuel rod comprises a cladding tube sealed by a first end plug at a first end and second end plug at a second end, a plurality of fuel pellets stacked on each other inside the cladding tube such that they form a column of pellets and a plenum spring arranged in a space in the fuel rod in order to, at least during transportation, hold the column of pellets with a spring force towards the second end of the cladding tube and wherein the plenum spring comprises a first length variable part arranged to abut towards the uppermost located fuel pellet in the column of pellets with an end portion and a second part arranged to allow engagement of the plenum spring against an inner surface of the cladding tube by a radially outwardly directed pressure, wherein the plenum spring comprises a third part arranged to allow releasing of the engagement of the second part of the plenum spring in the cladding tube during operation of the nuclear plant, wherein said third part allows such a releasing when the first length variable part of the plenum spring is compressed to be below a specific length, and wherein said third part comprises a stop portion arranged to obtain contact with the uppermost located fuel pellet in the column of pellets when the first part is compressed to said specific length. 2. The fuel rod according to claim 1, wherein the second part comprises a wire element having a helical extension with an outer diameter of such a size that said radially outwardly directed pressure towards the inner surface of the cladding tube is provided. 3. The fuel rod according to claim 2, wherein said third part comprises a wire element which comprises an extension of helical wire element of the second part. 4. The fuel rod according to claim 1, wherein said third part comprises a principally axial extension in the cladding tube in a direction towards the end portion. 5. The fuel rod according to claim 4, wherein the axial extension of said third part occurs substantially centrally in the cladding tube. 6. The fuel rod according to claim 1, wherein the first part comprises a wire element having a helical extension with an outer diameter which is less than the inner diameter of the cladding tube. 7. The fuel rod according to claim 1, wherein the plenum is formed by a wire element in one piece. 8. The fuel rod according to claim 1, wherein the plenum is manufactured of stainless steel. 9. The fuel rod according to claim 1, wherein said third part is coupled to said second part such that linear movement of said third part toward said first end plug reduces said radially outwardly directed pressure. 10. A nuclear fuel rod for a nuclear plant, wherein the fuel rod comprises a cladding tube sealed by the first end plug at a first end and a second end plug at a second end, plurality of fuel pellets stacked on each other inside the cladding tube such that they form a column of pellets and a plenum spring positioned within the tube between the first end plug and an uppermost fuel pellet in the column of pellets such that it applies a spring force to said fuel pellets toward the second end plug;the plenum spring including a first length-variable part abutting the uppermost fuel pellet, a second part initially in contact with and applying radially outward pressure to an inner surface of the cladding tube, and a third part including a stop portion positioned to contact the uppermost fuel pellet upon compression of the first spring part to a predetermined length;wherein said third part reduces the radially outward pressure upon compression of the first spring part below the predetermined length.
claims
1. An apparatus for evaluating a specific macromolecule crystal in a sample contained in a sample container through which X-rays, ultraviolet light and visible light are transmissible, comprising:a sample detecting device for detecting the specific macromolecule crystal in the sample container;an X-ray measuring device that is disposed so as to be spaced from the sample detecting device and carries out an X-ray diffraction measurement of the specific macromolecule crystal;feeding means for feeding the sample container from the sample detecting device to the X-ray measuring device; andcontrol means for identifying the position of the specific macromolecule crystal on the basis of information generated in the sample detecting device and controlling the feeding means on the basis of the position of the specific macromolecule crystal to position the specific macromolecule crystal on a sample disposing portion of the X-ray measuring device, whereinthe sample detecting device comprises specific macromolecule detecting means for irradiating ultraviolet light on the sample container and detecting a fluorescent image emitted from the sample in the sample container; andthe control means is configured to identify a specific macromolecule based on said fluorescent image detected by the specific macromolecule detecting means. 2. The apparatus according to claim 1, wherein the sample detecting device comprises:a crystal detecting means for detecting the outline of a crystal in the sample from a visible light image of the sample contained in the sample container, wherein the control means is configured to identify the specific macromolecule crystal based on said fluorescent image detected by the specific macromolecule detecting means and based on said outline of said crystal detected by the crystal detecting means, and said control means is configured to determine the position of the specific macromolecule crystal. 3. The apparatus according to claim 1, wherein the X-ray measuring device comprises:X-ray irradiating means for irradiating X-rays from the upper side or lower side to the specific macromolecule crystal in the sample container disposed on the sample disposing portion;X-ray detecting means disposed so as to face the X-ray irradiating means through the sample container, said X-ray detecting means being configured to detect diffracted X-rays from the specific macromolecule crystal transmitted through the sample container;a rotary arm for supporting the X-ray irradiating means and the X-ray detecting means; anda rotationally driving mechanism for rotating the rotary arm with respect to a shaft center by any angle. 4. The apparatus according to claim 1, wherein the feeding means comprises a sample table on which a sample container is mounted, an XYZ table for mounting the sample table thereon and moving the sample table in X and Y directions orthogonal to each other along a plane and in a height direction perpendicular to said plane, and a slider for feeding the XYZ table from the sample detecting device to the X-ray measuring device. 5. An apparatus for evaluating a specific macromolecule crystal in a sample contained in a sample container through which X-rays, ultraviolet light and visible light are transmissible, comprising:a sample detecting device for detecting the specific macromolecule crystal in the sample container;an X-ray measuring device that is disposed so as to be spaced from the sample detecting device and carries out an X-ray diffraction measurement of the specific macromolecule crystal;feeding means for feeding the sample container from the sample detecting device to the X-ray measuring device; andcontrol means for identifying the position of the specific macromolecule crystal on the basis of information generated in the sample detecting device and controlling the feeding means on the basis of the position of the specific macromolecule crystal to position the specific macromolecule crystal on a sample disposing portion of the X-ray measuring device, whereinthe sample detecting device comprises specific macromolecule crystal detecting means for irradiating ultraviolet light on the sample container and detecting a fluorescent image emitted from the sample in the sample container and for irradiating visible light on the sample container and detecting the outline of a crystal in the sample from a visible light image of the sample contained in the sample container; andthe control means is configured to identify the specific macromolecule crystal and to determine the position of the specific macromolecule crystal based on said fluorescent image detected by the specific macromolecule crystal detecting means and based on said outline of said crystal detected by the specific macromolecule crystal detecting means. 6. The apparatus according to claim 5, wherein the X-ray measuring device comprises:X-ray irradiating means for irradiating X-rays from the upper side or lower side to the specific macromolecule crystal in the sample container disposed on the sample disposing portion;X-ray detecting means disposed so as to face the X-ray irradiating means through the sample container, said X-ray detecting means being configured to detect diffracted X-rays from the specific macromolecule crystal transmitted through the sample container;a rotary arm for supporting the X-ray irradiating means and the X-ray detecting means; anda rotationally driving mechanism for rotating the rotary arm with respect to a shaft center by any angle. 7. The apparatus according to claim 5, wherein the feeding means comprises a sample table on which a sample container is mounted, an XYZ table for mounting the sample table thereon and moving the sample table in X and Y directions orthogonal to each other along a plane and in a height direction perpendicular to said plane, and a slider for feeding the XYZ table from the sample dgetecting device to the X-ray measuring device.
abstract
A filter plate having defects that may cause image artefacts is moved during an exposure. The movement distributes the defects of the plate in the image to a point where they can no longer be seen in the image. Preferably, the shifting of the plate is done in the plane of the plate, in a direction perpendicular to the axes of the rollers used for in the manufacture of the plate.
description
This application is a continuation of prior International Application No. PCT/JP2011/001470 filed on Mar. 14, 2011, which is based upon and claims the benefit of priority from Japanese Patent Application No. 2010-076114 filed on Mar. 29, 2010; the entire contents of all of which are incorporated herein by reference. The present invention relates to a molten corium holding device and more particularly to a holding device capable of holding, when a core meltdown accident occurs, a molten corium until a cooling water is inputted thereinto. In a water-cooled nuclear reactor, when a cooling water is supplied no longer into a nuclear reactor pressure vessel because of stop of supply of the cooling water and/or fracture of piping, the reactor water level comes down to expose a reactor core and possibly results in insufficient cooling of the reactor core. Preparing for such a case, a signal indicating the drawdown of water level is used to automatically subject the nuclear reactor to emergency stop, and a cooling material is poured by an emergency core cooling system (ECCS) to cover the reactor core with water and cool it, thereby preventing the core meltdown accident. However, it takes a certain amount of time to input the cooling material, and it can also be envisioned that, though at a very low probability, the emergency core cooling system does not operate and another device for pouring water to the reactor core is not available. In this case, the water level in the nuclear reactor pressure vessel remains at the lowered level, and sufficient cooling of the exposed reactor core is not performed any longer to increase the temperature of fuel rods by the decay heat continuously generated even after the stop of the nuclear reactor, finally leading to a core meltdown. When falling into such a situation, the molten corium (corium) at a high temperature melts down to a lower portion of the nuclear reactor pressure vessel, and melts and penetrates the lower portion of the nuclear reactor pressure vessel and finally falls onto the floor inside the containment vessel. The molten corium heats the concrete laid on the containment vessel floor and reacts with the concrete when the contact surface between them becomes a high temperature state to generate a large quantity of a non-condensable gas such as carbon dioxide or hydrogen and melt and corrode the concrete. The pressure of the generated non-condensable gas can be reduced to some degree by cooling it in a suppression pool, but if the quantity of the generated gas is large, its pressure cannot be sufficiently reduced even in the suppression pool. This can result in an increase in pressure inside the containment vessel to damage the nuclear reactor containment vessel, and the melting and corrosion of the concrete can damage the containment vessel boundary. In short, a reaction occurring between the molten corium and the concrete and continuing for a predetermined period leads to damage of the containment vessel and can release a radioactive material inside the containment vessel to an external environment. From such a viewpoint, in order to suppress the reaction between the molten corium and the concrete, it is necessary to cool the molten corium to decrease the temperature of the surface of a bottom portion of the molten corium in contact with the concrete to a corrosion temperature or lower (1500 K or lower for a typical concrete), or to prevent the molten corium from coming into direct contact with the concrete. As a representative of the latter means, there is a so-called molten corium holding device (core catcher). The molten corium holding device is a facility which receives the falling molten corium by a heat-resistant material and cools the molten corium in combination with a water pouring systems. However, a period of about 10 minutes may be required until the cooling water is supplied from the water pouring systems, and it is necessary to hold the molten corium only by the molten corium holding device during this period. Accordingly, the molten corium holding device is required to have a very high heat resistance. It has conventionally been tried that the molten corium holding device is composed using concrete containing as main components calcium oxide and silicon oxide or the molten corium holding device is composed using tiles made of a high melting point material. However, the temperature of the molten corium holding device rapidly increases from room temperature to 2000° C. when holding the molten corium. Therefore, an optimal molten corium holding device is not provided yet at present because various factors of damage work in combination, such as a problem of damage due to the thermal stress generated at the temperature increase, a problem of the reaction of the molten corium with the heat-resistant material constituting the molten corium holding device, a problem of a so-called jet impingement that the molten corium spouting in a jet form locally collides against the surface of the heat-resistant material to cause melting and corrosion and so on. In an embodiment, it is described to solve the above problems and also to provide a molten corium holding device which can hold a molten corium for a predetermined period even when the molten corium is exposed to heat or undergoes any chemical reaction and which is applicable to practical use. An aspect of the embodiments is a holding device provided below a nuclear reactor pressure vessel for holding a molten corium, which includes a base material configured to contact with a cooling medium, and a multilayer stack structure on the base material. The multilayer stack structure has a first layer having heat-resistant property, a second layer formed on the first layer and having heat-resistant property with lower heat conductivity than heat conductivity of the first layer, and a third layer formed on the second layer and having corrosion-resistant property and impact-absorbing property. It is found that the above problems are solved by configuring the molten corium holding device to have a flow channel for the cooling water formed therein, and include a base material in contact with the cooling water and a multilayer stack structure in which a first heat-resistant layer (a first layer), a second heat resistant layer (a second layer), and a corrosion-resistant impact-absorbing layer (a third layer) formed in order on the base material, thereby arriving at the present invention. Incidentally, it is necessary that the second heat-resistant layer is lower in heat conductivity than the first heat-resistant layer. The corrosion-resistant impact-absorbing layer is a layer which is located on the uppermost surface of the multilayer stack structure and against which the molten corium falling from the nuclear reactor pressure vessel collides first. Accordingly, the corrosion-resistant impact-absorbing layer can cushion the impact of the molten corium, as its name suggests, and mitigate the corrosion by the molten corium at the initial stage. As a result of this, the conventional jet impingement problem can be solved. On the other hand, during the time when the molten corium holding device holds the molten corium, the possibility that the molten corium corrodes the corrosion-resistant impact-absorbing layer and reaches the second heat-resistant layer increases. In this case, it is necessary to prevent the heat of the molten corium from being conducted to the first heat-resistant layer and the base material to corrode or melt the first heat-resistant layer and the like during the time when the second heat-resistant layer holds the molten corium. Accordingly, the second heat-resistant layer is required to be low in heat conductivity and, in particular, to have a heat conductivity lower than that of the first heat-resistant layer described below. In other words, the second heat-resistant layer satisfies the requirement and thereby can suppress the heat of the molten corium being conducted to the first heat-resistant layer and the base material. Further, the second heat-resistant layer is preferably made of a chemically stable material and is excellent in heat resistance, as its name suggests, and therefore can suppress corrosion and wear of the heat-resistant material by the molten corium for a desired period by making the thickness of this layer appropriate. When the molten corium has reached the second heat-resistant layer, the molten corium corrodes the second heat-resistant layer, so that the thickness of a portion of the second heat-resistant layer holding molten corium decreases. However, the first heat-resistant layer located at the lowermost layer of the multilayer stack structure and in contact with the base material forming the flow channel of the cooling water is high in heat conductivity and therefore can effectively conduct coldness by the cooling water to the molten corium via the base material when the cooling water is supplied to the cooling water flow channel, thereby effectively cooling the molten corium. On the other hand, it is also conceivable that the molten corium reaches the first heat-resistant layer during or before the above-described cooling. However, the first heat-resistant layer is excellent in heat resistance and therefore can prevent the molten corium from adversely thermally affecting the base material and prevent damage and deformation of the base material. Further, the first heat-resistant layer can be made of a chemically stable material and suppress as much as possible the corrosion by the molten corium and suppress the molten corium reaching the base material and damaging the metal member. According to embodiments, it is possible to provide a molten corium holding device which can hold a molten corium for a predetermined period even when the molten corium is exposed to heat or undergoes any chemical reaction and which is applicable to practical use. Hereinafter, details, other characteristics and advantages of the present invention will be described based on embodiments referring to the drawings. FIG. 1 is a sectional view illustrating a schematic configuration of a water-cooled nuclear reactor in this embodiment, FIG. 2 is a sectional view illustrating an enlarged schematic configuration of a molten corium holding device of the water-cooled nuclear reactor illustrated in FIG. 1. Further, FIG. 3 is a sectional view schematically illustrating a layer configuration of the molten corium holding device illustrated in FIG. 2. As illustrated in FIG. 1, a water-cooled nuclear reactor 10 in this embodiment includes a containment vessel 11, and a nuclear reactor pressure vessel 12 fixed inside the containment vessel 11 by a pair of members 111 and jigs 112 constituting the containment vessel 11. Note that the nuclear reactor pressure vessel 12 can include, for example, a nuclear reactor vessel of the PWR. A sump floor 14 is provided below the nuclear reactor pressure vessel 12 via a lower dry well 13, and a molten corium holding device 15 is provided below the sump floor 14. Further, at the upper left portion of the containment vessel 11, a cooling water generator 16 for cooling, by a cooler 161, the water vapor generated, for example, in the nuclear reactor pressure vessel 12 to generate a cooling water as a cooling medium is provided. A generated cooling water 16A is sent from the cooling water generator 16 to a cooling water storage tank 17 provided below the cooling water generator 16 via a pipe 162 and stored as a cooling water 17A. The cooling water 17A is to be supplied to a cooling water channel in the molten corium holding device 15 via a pipe 171. Further, a suppression pool 18 is provided in a manner to be demarcated from an outer wall 114 of the containment vessel 11 by the pair of members 111 and has a cooling water 18A stored therein. As illustrated in FIG. 2, the molten corium holding device 15 has a metal member 151 as a concave-shaped base material forming a cooling water channel 153 together with a floor member 113 of the containment vessel 11 and jigs 115 in a triangle shape, and a multilayer stack structure 152 formed on the metal member 151. Further, as illustrated in FIG. 3, the multilayer stack structure 152 is composed of a first heat-resistant layer 1521, a second heat-resistant layer 1522, and a corrosion-resistant impact-absorbing layer 1523 which are formed in order on the metal member 151. The corrosion-resistant impact-absorbing layer 1523 is a layer which is located on the uppermost surface of the multilayer stack structure 152 and against which a falling molten corium collides first, the molten corium being generated by an emergency core cooling system (ECCS) (not illustrated) or the like not functioning well to cause a core meltdown accident that the fuel rod temperature increases due to decay heat to lead to core meltdown, and then, melting and penetrating a lower portion of the nuclear reactor pressure vessel 12. Accordingly, the corrosion-resistant impact-absorbing layer 1523 is required to cushion the impact of the molten corium, as its name suggests, so as to suppress the corrosion by the molten corium to a certain degree. The molten corium is made of an oxide expressed by a general formula such as UO2 and ZrO2 and a metal component such as Zr and Fe. However, the molten corium is not limited to the oxide expressed by the general formula but varies in material composition depending on the material composition and the damage status of the fuel rod in use. The corrosion-resistant impact-absorbing layer 1523 is not particularly limited in material composition and form as long as it provides the above-described operation and effect, but can be, for example, a fibrous ceramic layer (first aspect). Such a fibrous ceramic layer is rich in elasticity and therefore can cushion the impact of the falling molten corium as described above on the multilayer stack structure 152. Further, owing to the material composition being ceramic, the corrosion resistance is also excellent. Further, the corrosion-resistant impact-absorbing layer 1523 can also be a dense ceramic layer having a porosity of 5% or less (second aspect). In this case, the corrosion-resistant impact-absorbing layer 1523 can be increased in strength and thereby cushion the impact of the falling molten corium as described above on the multilayer stack structure 152. Further, owing to the material composition being ceramic, the corrosion resistance is also excellent. Note that the lower limit of the porosity is not particularly limited, but the porosity can be decreased at present down to about 1% by controlling the molding condition and the firing condition of the ceramic raw material. Comparing the above two aspects, the fibrous ceramic layer is superior in impact-absorbing property to the dense ceramic layer having a porosity of 5% or less but is inferior in corrosion resistance because the molten corium permeates gaps. Therefore, the above-described two aspects can be combined together. More specifically, the corrosion-resistant impact-absorbing layer 1523 can also be composed of two layers in which one of the layers is a fibrous ceramic layer and the other layer is a dense ceramic layer having a porosity of 5% or less. Note that since the fibrous ceramic layer is superior in impact-absorbing property but is inferior in corrosion resistance to the dense ceramic layer having a porosity of 5% or less as described above, the above-described operation and effect can be further enhanced by arranging the fibrous ceramic layer as the upper layer and the dense ceramic layer as the lower layer (third aspect). In any aspect, the corrosion-resistant impact-absorbing layer 1523 exhibits the impact-absorbing property and the corrosion resistance, as its name suggests, and can suppress the jet impingement caused when the molten corium locally falls on the molten corium holding device 15. Note that it is preferable that the ceramic constituting the corrosion-resistant impact-absorbing layer 1523 is the one which does not react with the molten corium having the above-described general formula. Table 1 presents the result of investigation of the reactivity between various ceramics and the molten corium. In table 1, “B” and “A” indicate a low reactivity with the molten corium and x indicates a high reactivity with the molten corium. “C” indicates a certain degree of reactivity with the molten corium. TABLE 1Melting pointReactivityAl2O32323ACaO2873XMgO3073XSiO21883CTiO22113BZrO22950AHfO23085AY2O32673BNd2O32173BLaPO42250C As is clear from Table 1, aluminum oxide, titanium oxide, zirconium oxide, hafnium oxide and the like are low in reactivity with the molten corium and also superior in strength, and therefore preferable as the ceramic constituting the corrosion-resistant impact-absorbing layer 1523. In other words, aluminum oxide, titanium oxide, zirconium oxide, hafnium oxide and the like can be preferably used as the ceramic of the corrosion-resistant impact-absorbing layer 1523. Note that the thickness of the corrosion-resistant impact-absorbing layer 1523 is not particularly limited, but can be set, for example, to 5 mm to 500 mm. Further, the fibrous ceramic layers made of the above-described preferable ceramic materials are commercially available and include, for example, Zirconia Felt Type ZYF manufactured by Zircar Zirconia Inc. and the like. On the other hand, during the time when the molten corium holding device 15 holds the molten corium, the possibility that the molten corium corrodes the corrosion-resistant impact-absorbing layer 1523 and reaches the second heat-resistant layer 1522 increases. In this case, it is necessary to prevent the heat of the molten corium from being conducted to the first heat-resistant layer 1521 and the metal member 151 to corrode or melt the first heat-resistant layer 1521 and the like during the time when the second heat-resistant layer 1522 holds the molten corium. Accordingly, the second heat-resistant layer 1522 is required to be low in heat conductivity and, in particular, to have a heat conductivity lower than that of the first heat-resistant layer 1521 described below. In other words, the second heat-resistant layer 1522 satisfies the requirement and thereby can suppress the heat of the molten corium being conducted to the first heat-resistant layer 1521 and the metal member 151. Further, it is important that the second heat-resistant layer 1522 is made of a chemically stable material and suppresses the reaction with the molten corium to suppress the corrosion also when holding the molten corium. In this case, because the molten corium does not directly collide against the second heat-resistant layer 1522, the second heat-resistant layer 1522 is not required to have a strength as high as that of the corrosion-resistant impact-absorbing layer 1523. Accordingly, aluminum oxide, silicon oxide, titanium oxide, zirconium oxide (zirconia), hafnium oxide, yttrium oxide (yttria), neodymium oxide, lanthanum phosphate and the like which are low in reactivity with the molten corium can be used as presented in Table 1. In other words, aluminum oxide, silicon oxide, titanium oxide, zirconium oxide, hafnium oxide, yttrium oxide, neodymium oxide, phosphate compound or the like can be used as the second heat-resistant layer 1522. Note that forsterite (2MgO.SiO2), alumina cement (CaO.Al2O3) or the like can be used as the ceramic constituting the second heat-resistant layer 1522 though not particularly presented in Table 1. Further, as described below, the first heat-resistant layer 1521 can also be made of the same ceramic as that of the second heat-resistant layer 1522. Accordingly, the low heat conductivity of the second heat-resistant layer 1522, in particular, the heat conductivity lower than a first heat conductivity can be achieved by making the porosity of the second heat-resistant layer 1522 higher than the porosity of the first heat-resistant layer 1521. The porosity is set, for example, to 10 mass % to 60 mass %. In this event, it is possible to make the porosity of the second heat-resistant layer 1522 increase as it goes from the first heat-resistant layer 1521 to the corrosion-resistant impact-absorbing layer 1523. In the case where the porosity of the second heat-resistant layer 1522 is high and the viscosity of the molten corium is low, the molten corium may invade the second heat-resistant layer 1522 via pores and reach the first heat-resistant layer 1521. In this case, by giving a gradient to the porosity in the stack direction of the multilayer stack structure 152 as described above, the molten corium is held at a deep portion of the second heat-resistant layer 1522 where the porosity is decreased to avoid further invasion, thereby preventing a disadvantage that the molten corium reaches the first heat-resistant layer 1521. Note that the above-described change in porosity can be made continuous or stepwise. Further, the above-described change in porosity can be attained by molding and firing, when forming the second heat-resistant layer 1522, using a ceramic material with a relatively small particle diameter on the first heat-resistant layer 1521 side and using a ceramic material with a relatively large particle diameter on the corrosion-resistant impact-absorbing layer 1523 side. The thickness of the second heat-resistant layer 1522 is not particularly limited, but can be set, for example, to 10 mm to 10000 mm. When the molten corium has reached the second heat-resistant layer 1522, the molten corium corrodes the second heat-resistant layer 1522, so that the thickness of a portion of the second heat-resistant layer 1522 holding the molten corium decreases. Therefore, the first heat-resistant layer 1521 in contact with the metal member 151 located at the lowermost layer of the multilayer stack structure 152 and forming the cooling water channel 153 is made of a predetermined ceramic so as to have a heat conductivity higher than the heat conductivity of the second heat-resistant layer 1522. In this case, the coldness by the cooling water can be effectively conducted to the molten corium via the metal member 151, thereby effectively cooling the molten corium. On the other hand, it is also conceivable that the molten corium reaches the first heat-resistant layer 1521 during or before the above-described cooling. However, the first heat-resistant layer 1521 is made of the ceramic and excellent in heat resistance as described above and therefore can prevent the molten corium from adversely thermally affecting the metal member 151 and preventing damage and deformation of the metal member 151. Further, the first heat-resistant layer 1521 can be made of ceramic that is chemically stable as with the second heat-resistant layer 1522. Accordingly, it is possible to suppress as much as possible the corrosion by the molten corium and suppress the molten corium reaching the metal member 151 and damaging the metal member 151. As the ceramic constituting the first heat-resistant layer 1521, aluminum oxide, silicon oxide, titanium oxide, zirconium oxide (zirconia), hafnium oxide, yttrium oxide (yttria), neodymium oxide, lanthanum phosphate and the like can be used referring to Table 1 similarly to the second heat-resistant layer 1522. In other words, aluminum oxide, silicon oxide, titanium oxide, zirconium oxide, hafnium oxide, yttrium oxide, neodymium oxide, phosphate compound or the like can be used. Further, mullite (3Al2O3.2SiO2), zircon (ZrO2.SiO2) or the like can be used as the ceramic constituting the first heat-resistant layer 1521. The thickness of the first heat-resistant layer 1521 is not particularly limited, but can be set, for example, to 10 mm to 10000 mm. The configuration as described above makes it possible for the molten corium holding device 15 in this embodiment to hold the molten corium for a predetermined time even when the molten corium is exposed to heat or undergoes any chemical reaction and to supply it as a practical molten corium. FIG. 4 is a sectional view illustrating a schematic configuration of a layer configuration of a molten corium holding device in this embodiment. Note that the schematic configuration of a water-cooled nuclear reactor and the schematic configuration of a molten corium holding device are the same as the configurations illustrated in FIG. 1 and FIG. 2 in the first embodiment. Accordingly, the layer configuration of the molten corium holding device different from that in the first embodiment will be described in this embodiment. As illustrated in FIG. 4, rod-shaped metal members 1525 as high thermal conductive members fastened to a metal member 151 as a base material are embedded in a first heat-resistant layer 1521 to extend along the stack direction of a multilayer stack structure 152 in this embodiment. The first heat-resistant layer 1521 is required to be high in heat conductivity and effectively conduct coldness by the cooling water supplied to the cooling water flow channel 153 to the molten corium via the metal member 151 as described above. However, the above-described ceramic has a relatively high heat conductivity, whereas zirconium oxide (zirconia) or the like has a relatively low heat conductivity and cannot sufficiently provide the above-described operation and effect in some cases. However, since the rod-shaped metal members 1525 are embedded in the first heat-resistant layer 1521 in this embodiment, the heat conductivity of the first heat-resistant layer 1521 can be improved. Accordingly, in the case of using a ceramic having a relatively low heat conductivity such as zirconium oxide and also in the case of using other ceramics, the above-described conduction of the coldness can be promoted and the cooling of the molten corium can be more effectively and efficiently performed. The metal members 1525 can be made of a metal with a high melting point such as tungsten. On the other hand, embedding the metal members 1525 can be performed by a method of forming holes in the first heat-resistant layer 1521, placing the metal members 1525 in the holes, and filling the periphery of the holes with a ramming material being a heat-resistant material, and also by processing the holes into a female screw shape, processing the metal members 1525 into a male screw shape, and screwing them together. Note that when placing and embedding the metal members 1525 in the holes, it is preferable to set a hole diameter Dh in consideration of the thermal expansion of the metal members 1525, where the diameter of the metal rod is Dr, the room temperature is T1° C., the estimation temperature at high temperature is T2° C., and the thermal expansion coefficient of the metal rod is α° C.−1,Dh>Dr{1+α(T2−T1)}  Mathematical Expression 1 Further, the other configuration and form are the same as those in the first embodiment, and therefore the molten corium holding device 15 in this embodiment can hold the molten corium for a predetermined period even when the molten corium is exposed to heat or undergoes any chemical reaction and can supply it as a practical molten corium. FIG. 5 is a sectional view illustrating a schematic configuration of a layer configuration of a molten corium holding device in this embodiment. Note that the schematic configuration of a water-cooled nuclear reactor and the schematic configuration of a molten corium holding device are the same as the configurations illustrated in FIG. 1 and FIG. 2 in the first embodiment. Accordingly, the layer configuration of the molten corium holding device different from that in the first embodiment will be described in this embodiment. As illustrated in FIG. 5, a rugged part 1523A with a height difference h of 1 cm or more, preferably, 5 cm or more on the surface of a corrosion-resistant impact-absorbing layer 1523 in this embodiment. In this case, when the molten corium collides against a multilayer stack structure 152 of a molten corium holding device 15, namely, the corrosion-resistant impact-absorbing layer 1523, the molten corium can be made to scatter. Accordingly, the impact due to the collision of the molten corium can effectively cushioned. Note that from this viewpoint, this embodiment also corresponds to a fourth aspect of the corrosion-resistant impact-absorbing layer 1523 described in the first embodiment. Further, the other configuration and form are the same as those in the first embodiment, and therefore the molten corium holding device 15 in this embodiment can hold the molten corium for a predetermined period even when the molten corium is exposed to heat or undergoes any chemical reaction and supply it as a practical molten corium. In this embodiment, though not particularly illustrated, a first heat-resistant layer 1521, a second heat-resistant layer 1522, and a corrosion-resistant impact-absorbing layer 1523 can be made in a structure in which heat-resistant materials in a block shape such as heat-resistant materials made of the above-described ceramic are piled up. This makes it possible to relax the thermal stress generated between adjacent layers based on the difference in the degree of thermal expansion generated in the layers during the time when the molten corium is held, and suppress possibility of crack and damage in the layers to suppress breakage of the layers. A conceivable sectional shape of the block is a so-called Penrose tiling composed of tiles of triangle, quadrangle, hexagon, or two kinds of rhombic. However, quadrangle or hexagon is considered to be preferable for reduction in thermal stress at corners and easy fabrication of the tiles. Further, conceivable methods of holding tiles include a method of combining them by forming fitting portions in the tiles, a method of forming holes into which anchor pins are inserted on the tile side and fastening them with pins, a method of using a joint filler in gaps between the tiles, and a method of combining those methods, and it is preferable to fix the tiles using a joint filler to a certain degree in consideration of the easiness of implementation. Conceivable materials of the joint filler include the one containing, as a main component, aluminum oxide, zirconium oxide, magnesium oxide, and silicon oxide. Further, the joint filler can be made in accordance with the kind of the ceramic constituting the tiles and, for example, it can be devised that when the first heat-resistant layer 1521 is made of dense aluminum oxide, an aluminum oxide-based joint filler which is excellent with the dense aluminum oxide and has a high heat resistance is used, whereas when the second heat-resistant layer 1522 is made of zirconium oxide, a zirconium oxide-based joint filler which is excellent with the zirconium oxide and has a low heat conductivity is used, and a magnesium oxide-based joint filler which is highly resistant to the jet impingement is used on the uppermost surface. While certain embodiments have been described, these embodiments have been presented by way of example only, and are not intended to limit the scope of the inventions. Indeed, the novel embodiments described herein may be embodied in a variety of other forms; furthermore, various omissions, substitutions and changes in the form of the embodiments described herein may be made without departing from the spirit of the inventions. The accompanying claims and their equivalents are intended to cover such forms or modifications as would fall within the scope and spirit of the inventions.
051261014
claims
1. An apparatus for cleaning up reactor coolant, said apparatus comprising a piping for taking out water in a reactor core, said piping being provided separately from a primary loop recirculation piping and having its open end located at the bottom of a reactor pressure vessel, said taking-out piping being provided near the highest position of its portion outside said reactor pressure vessel with a siphon brake valve. 2. An apparatus as set forth in claim 1, further comprising a means for cleaning up radioactive materials and a branch piping branching off said primary loop recirculation piping and being connected to said taking-out piping. 3. In an apparatus for cleaning up reactor coolant in a boiling water reactor, which apparatus comprises a piping for taking out water in a reactor core, said piping being provided separately from a primary loop recirculation piping and having its open end located at the bottom of a reactor pressure vessel, and an apparatus for cleaning up radioactive materials, which is connected to said piping, the improvement comprising said piping arranged to extend upward from said open end within said reactor pressure vessel and extend through and outside of the side wall of said reactor pressure vessel, said taking-out piping being provided near the highest position of its portion outside said reactor pressure vessel with a siphon brake valve. 4. An apparatus as set forth in claim 3, wherein said taking-out piping and a primary loop recirculation piping are not connected to each other outside said reactor pressure vessel and constitute, outside said reactor pressure vessel, recirculation systems which are independent of each other. 5. In an apparatus for cleaning up reactor coolant in a boiling water reactor, which apparatus comprises a piping for taking out water in a reactor core, said piping having its open end located at the bottom of a reactor pressure vessel, and an apparatus for cleaning up radioactive materials, which is connected to said piping, the improvement comprising said piping arranged to extend upward from said open end within said reactor pressure vessel and extend through and outside of the side wall of said reactor pressure vessel, said taking-out piping being provided near the highest position of its portion outside said reactor pressure vessel with a siphon brake valve, and the portion of said taking-out piping which is provided with said siphon brake valve is located at a position which is higher than the position of said reactor core. 6. In a method of controlling an apparatus for cleaning up reactor coolant in a boiling water reactor, which apparatus includes a piping for taking out water in a reactor core, said piping being provided separately from a primary loop recirculation piping and having its open end located at the bottom of a reactor pressure vessel and being arranged to extend upward from said open end within the reactor pressure vessel through and outside of the side wall of said reactor pressure vessel and to be connected to an apparatus for cleaning up radioactive materials, as well as a siphon brake valve provided near the highest position of said taking-out piping, the improvement comprising the steps of preparing an automatic control device for controlling the opening and closing operation of said siphon brake valve and controlling said siphon brake valve to open the same when the pressure in said reactor pressure vessel has fallen to a level equal to atmospheric pressure and when the water level in said reactor pressure vessel has fallen to the position at which said taking-out piping extends through the side wall of the reactor pressure vessel. 7. In a method of controlling an apparatus for cleaning up reactor coolant in a boiling water reactor, which apparatus includes a piping for taking out water in a reactor core, said piping having its open end located at the bottom of a reactor pressure vessel and being arranged to extend upward from said open end within the reactor pressure vessel through and outside of the side wall of said reactor pressure vessel and to be connected to an apparatus for cleaning up radioactive materials, as well as a siphon brake valve provided near the highest position of said taking-out piping, the improvement comprising the steps of preparing an automatic control device for controlling the opening and closing operation of said siphon brake valve and controlling said siphon brake valve to open the same when the pressure in said reactor pressure vessel has fallen to a level equal to atmospheric pressure and when the water level in said reactor pressure vessel has fallen to the position at which said taking-out piping extends through the side wall of the reactor pressure vessel, the portion of said taking-out piping which is provided with said siphon brake valve being located at a position which is higher than the position of said reactor core accommodated in said reactor pressure vessel.
description
This application is a National Stage of International Application No. PCT/EP2007/055921 filed Jun. 14, 2007, claiming priority based on European Patent Application No. 06115555.2, filed Jun. 15, 2006, the contents of all of which are incorporated herein by reference in their entirety. The present invention relates to devices and methods for preparing nuclear fuel. Nuclear energy is called to play an increasing role in a sustainable world energy mix. The limited nature of economically attractive uranium resources will increasingly justify recycling the reusable materials present in spent fuels. The production of MOX nuclear fuel containing mixed oxides of uranium and civilian plutonium has already been industrially proved in plants carrying out the MIMAS production process described in the paper “MIMAS, Setting the world-wide standard for plutonium recycling” presented by A. Vandergheynst and Y. Vanderborck at the 13th International Conference on Nuclear Engineering (ICONE), held in Beijing (May 16-20, 2005). MOX fuel production for thermal neutron and fast neutron reactors is the current reference for weapon-grade plutonium demilitarization programs. New generations of nuclear reactors having recourse to new types of fertile or inert matrix nuclear fuels are being considered for the coming decades, in some cases with the aim of reducing proliferation risks (e.g. the GNEP initiative). New cycles like that of U-Th are considered. Non-metallic fuels, other than the already well-known oxides and carbides are considered, such as the nitrides, for example. For the next few decades, the production of mixed oxide fuels for the current light water reactors and the reactors of the immediately next generation 3+ (ABWR, EPR, AP) will continue to be the reference and will continue to be ensured in the form of fuel tablets enclosed in tight claddings, themselves assembled in bundles (or assemblies). On the other hand, the final disposal problems of spent fuels could revive reprocessing/recycling. New used fuel reprocessing processes (e.g. UREX), supposedly less proliferating that the industrially proven PUREX process could be developed and industrialized. While present processes are usually carried out in glovebox-type enclosures, i.e. sealed containers with at least one transparent window and at least one glove built into one of the container's walls, so as to allow an operator to manipulate materials and/or maintain process equipment within the container in an alpha-tight way, these new processes, because of the intense gamma-emission of transuranic actinides, such as americium, neptunium or curium present aside the plutonium, could require an at least partial implementation of the fuel production in hot cells, that is, heavily shielded rooms with remote manipulators. Given the difficulty of process equipment maintenance with remote manipulators, it is preferable to simplify as much as possible the fuel processing steps to be carried out within such hot cells. In the framework of the globalization of the economy and the liberalization of energy markets, the general economics of all these matters, present as well as future, will be a determining element of the viability of future developments, without making any concession, even minor, to the safety of population or environment. New medium- and large-size fuel production plants to be constructed will handle fissile materials, such as plutonium, by tens of kilograms or more, thus generating possible criticality risks. The already decided or proposed factories use as feed products pure plutonium oxides or mixtures of uranium oxide and plutonium oxide with a high plutonium oxide content, such as, for instance, 50% wt. in Japan, which definitely improves the proliferation resistance. The occurrence risk of a criticality accident caused by the arrangement of fissile materials and neutron moderators in certain quantities and geometries is unacceptable because of the possible radiological and mechanical consequences. Such a risk could occur under exploitation operations close to normal, for instance in case of a failure of automatic controls or of operator errors, but also during accidental situations of internal origin, such as a fire, a constructive failure, a load drop or an internal flooding, or of external origin, such as an earthquake, an airplane crash or a general flooding. Such accidental situations could have a natural or/and a human origin. The purpose of the present invention is that of better preventing the criticality risk in future fuel plants. The devices and processes of the invention are aimed at simplifying the prevention systems in order to increase their reliability and simplify their maintenance. In doing this they also help reduce the capital and operation costs. The implementation of these devices and procedures is particularly advantageous when the nuclear fuel production takes place in hot cells, because of the reduced operability and maintainability conditions. Generally, the nuclear fuel used in current nuclear reactors, as well as in the forthcoming generation of reactors, is composed of assemblies, or bundles, of nuclear fuel rods. Such a rod usually comprises a metallic cladding tube sealed at its open ends by two end plugs and containing a plurality of cylindrical ceramic pellets containing an oxide, nitride or carbide of at least one fissile element, such as uranium or plutonium. Depending on the fuel management strategy, other fertile or inert elements may be mixed to the at least one fissile element. The various existing nuclear fuel production plants produce such nuclear fuel pellets containing mixed oxides (MOX) of uranium and of plutonium, although mixed nitrides and/or carbides have also been proposed. These existing plants have an annual capacity between 40 and 200 tonnes of heavy metal, i.e. a processing of 2 to 10 tonnes of plutonium metal per year. As feed products, these plants receive fresh uranium oxide from conversion plants and plutonium oxide from reprocessing plants. This plutonium oxide may be received pure or already diluted with uranium oxide. Such a mixture may have been prepared in wet phase in the reprocessing plant. The feed products may be in powdered and/or granulated form. In a first processing step, the uranium oxide and the pure or diluted plutonium oxide are mixed mechanically in batches. Next, they are comminuted or milled to produce a homogeneous mixture so as to avoid the presence of highly enriched regions in the fuel pellets that could form hot spots when the fuel is loaded into a reactor, and to improve the chemical solubility of the fuel after irradiation, so as to facilitate its reprocessing. Among the plants having proved at the same time acceptable safety and production and quality conform to the objectives are plants operating in gloveboxes according to the MIMAS process described in the international patent applications WO 00/45394 or WO 01/03143. MIMAS is an acronym for MIcronization-MASter blend. This MIMAS process produces a UO2-like fuel, i.e. in the form of a solid solution of (U—Pu)O2-rich particles in a UO2 matrix, as described in the abovementioned paper “MIMAS, Setting the world-wide standard for plutonium recycling”. To achieve this, this MIMAS process comprises a second mixing step, where the product of the previously mentioned comminuting step is blended with additional uranium oxide in powdered and/or granulated form. However, other known MOX fuel production processes, such as, for example, those known as SBR and COCA, do not comprise such a second mixing step. In these alternative processes, all the uranium and plutonium oxides of a batch are mixed in a single step. To improve the flowability of the mixture for further pelletizing, additional mechanical processing steps, such as spheroidising, may be carried out after the mixing and comminuting steps. Additives may also be added to the mixture. Some additives, such as, for example, organometallic poreformers and/or lower density oxides, such as U2O8, may be added to control the density of the mixture after sintering. Lubricating additives, such as zinc, calcium and/or lithium stearate, may also be added, so as to facilitate pelletizing. Some of these additives present neutron moderating properties due to their content in hydrogen and/or carbon. The loose mixture is then shaped into pellets in pelletizing systems. As an alternative to solid mass lubrication, the pelletizing dies may be lubricated by oil injection in the future. Finally, the pellets are sintered in sintering ovens, so as to consolidate them. After cylindrical grinding and stacking, the resulting sintered nuclear fuel pellets are then ready to be loaded into nuclear fuel rods, which in turn can be bundled into bundles or assemblies for loading into nuclear reactors. In such nuclear fuel production plants and processes, fuel production is organized in batches, for traceability and quality management purposes, and the main mechanical or thermal operations of the production process, such as mixing, comminuting, pressing, or sintering, are dry, that is, avoiding aqueous or liquid media. In these same plants, criticality prevention is generally ensured by different approaches for storage and process equipment. For storage devices, such as mass storage and in- or on-line buffer storage devices, the best prevention is conventionally ensured by installing the individual devices in separate premises with, at most, a very limited fire risk, and with earthquake-resistant structures, and keeping a sufficient grid pitch for all moderation conditions, this grid pitch, which is the geometric distance between individual containers, being ensured for all possible accidental conditions. For the process equipment, such as the devices for primary or secondary mixing, comminuting, crushing, granulation, homogenization, pelletizing, sintering, grinding, or scrap recycling, which are generally present at the rate of several devices in one premise, the prevention of criticality in normal or nearly normal exploitation conditions is conventionally ensured by the limitation of the fissile material mass in each device or in the premise, as well as of the moderation provided by process additives containing hydrogen and/or carbon, such as powder lubricants and pore-forming agents. The occurrence of a criticality incident or accident would therefore be unlikely, because it would need the simultaneous occurrence of two independent and improbable anomalies, what is known as “double contingency”, and because of the wide safety margins, since every neutron source is modelled as a fully water-reflected sphere, as disclosed for instance, in “Criticality codes validation on spherical plutonium systems”, B. Lance et al, Workshop on the need for integral experiments with low-moderated MOX fuels”, Paris, Apr. 14-15, 2004; and “DANTSYS and MCNP as versatile tools for the safety aspects of the BELGONUCLEAIRE MOX plant”, M. Labilloy et al, M&C Proceedings, Avignon, Sep. 12-15, 2005. This evolutionary approach has been dictated by the progressive passage from laboratory installations to pilot installations and finally to large capacity industrial installations. Until now, the limited number of installations, the multiplicity of process and safety constraints and the cost of these installations, both in respect to investment and dismantling costs, and the difficulty of performing life-size experiments have led nuclear operators and engineers to keep this approach of limiting masses and moderators and to install supplementary automated controls, rather than to seek other plant and equipment concepts. These proven solutions have been thought for long to be the best available techniques. In these plants, maintaining these mass and moderation limitations is generally ensured by a combination of at least one sensor, such as a balance, a scale, etc., and of at least one simple and/or redundant calculator, such as a nuclear material management calculator, a programmable logic controller or a programmable criticality safety automated control system. These devices are possibly complex and of limited reliability, and therefore constitute a possible source of error. Due to the various material densities under various operating conditions, a process device can receive up to several times the nominal quantity of materials to be treated. The moderating material, which is usually limited to a few percentage points of the mass of nuclear material, could also be added several times in case of failure or error. This is known as “double or multiple batching”. Working disturbances, such as breakdowns or blockages, during operation of the process devices may require interventions going beyond routine in the presence of fissile material. This may lead to variable situations, of which all criticality safety aspects could not have been extensively evaluated in advance. Limiting the mass of moderating material in the process or storage devices also requires preventing the dispersal of moderating material in the enclosures, such as glove boxes or hot cells, and/or premises in which these devices are located, and this in all situations. Such moderating material could be, for example, water from a water-cooling system or from a natural origin, such as rain or flooding. It could also be, for instance, hydraulic fluid from process equipment, such as a pelletizing press. This requirement of non-dispersal is complicated and expensive to fulfill. Moreover, in some process devices, since the mass of fissile material processed is higher than that of a subcritical sphere at optimum moderation/reflection, it is necessary to ensure that the fissile material will remain contained in containers and process devices of guaranteed integrity even in accidental situations, such as an earthquake. Limiting the masses of fissile and moderating material supplied to the various process and storage devices leads to numerous requirements in construction, qualification and operation, such as, for example: prevention of non-multiple batching; training and supervision of personnel participating in administrative operations; quality assurance of the dimensioning and construction of the structures; and redundancies in the automated systems and quality assurance of the various control devices and/or programmable systems during their whole lifecycle. The qualification of the integrity assurance in accidental situations can need important qualification efforts and/or overdimensioning. Such qualification efforts may involve steps such as 1:1 scale seismic qualification on a vibrating table or stress time history calculation. It will also be noted that the use of water as fire-extinguishing means has been systematically excluded in medium- or large-sized fuel plants, due to its moderating properties. Despite all these measures, highly hypothetical or ultimate situations of accident combinations, such as for instance, an earthquake followed by a fire are sometimes not addressed. To solve these problems, it has been proposed in prior art to use safe geometries in fissile material storage devices and processing devices so far as possible. The design and operating rules dictated by safety authorities of large nuclear countries for criticality prevention in non-reactor installations (for instance, French “Règle Fondamentale de Sûreté” RFS I.3.c—October 1984 and American ANSI 8.1.1998), while preferring safe geometries, acknowledge the methods of mass and moderation limitation for nuclear fuel fabrication as a matter of fact. One prior art is represented by an annular, elongated, horizontal axis dry mixing device disclosed in British patent GB1280864 of Alkem, published in 1972. However, while the stated purpose of the proposed dimensions of this mixing device is also that of preventing criticality, this device is intended to be used exclusively for the mixing of powdered nuclear fuels, that is, the last stage of nuclear fuel powder preparation before pelletizing, and is therefore not suitable for high concentrations of fissile material, such as the 20 to 50% wt. plutonium that can be reached during the preparation of MOX powders. With the proposed volume of 80 liters this mixing device would appear to be too large to prevent criticality for such high concentrations of fissile material under all possible moderation conditions, even in case of accident. A method and device for loosening and mixing UO2 and PuO2 is disclosed in Japanese patent application JP8089775 of MMC, published 1996, wherein a horizontal rotating disc is used with a safe slab geometry. In this case, however, the proposed volume of the device appears to be too small for an economically optimal process. Other plutonium processing devices that have been proposed are based on comparatively safe geometries for aqueous phase separation and conversion plants: International patent application WO 2004078303 of BNFL published 2004 discloses a continuous liquid phase electrochemical separation process (U—Pu), in vessels with a slab geometry. However, the large volume of the proposed vessels, at 100 or 300 liters, also appears to fail to ensure safety under all possible moderation conditions, even in case of accident. European patent application EP0767465 of CEA, published 1997, discloses a process and device for the dilution of a U and Pu oxide mix, in vessels with sub-critical geometry. However, the precise shape and dimensions of the vessels are not disclosed. A recuperation in slab process and device described in Japanese patent application JP 09-178888 of MMC published 1997. Japanese patent application JP 04-031792 of Power Reactor & Nuclear Fuel, published 1992, discloses an annular solution storage tank, but does not appear to specify its dimensions. British patent GB8822950 of AEA, published 1961, disclosed tubular vessels with limited diameters for containing a plutonium solution. As in JP4031792, although the purpose of preventing criticality conditions is mentioned, no specific dimensions are disclosed. The present invention concerns first a safe processing, transfer, accumulation and/or storage vessel for material containing plutonium of civilian or military origin in the form of plutonium oxide, carbide and/or nitride with a predetermined isotopic envelope. Said material may contain other actinides such as americium, neptunium, or curium. Moreover, said material is preferably solid, in particular in the form of powder, granulate and/or tablets. The purpose of the present invention is preventing criticality in all filling conditions of such a vessel and in all conditions of neutron moderation and reflection that can be encountered in such a vessel in normal, incidental or accidental conditions, as well as for all the plutonium concentrations, densities and isotopic compositions possibly encountered in the Pu-bearing fuel fabrication plant where these vessels are installed. For this purpose, the vessel of the invention comprises a volume of 20 to 70 liters for containing said material, demarcated at least by two substantially parallel walls and, these two walls being separated by a distance e between 8 and 15 cm. As <<substantially parallel>> should be understood walls that can diverge by an angle not higher than 15°. Advantageously, said volume is between 20 and 50 liters, has a height h between 30 and 60 cm, and an annular shape where one of said two substantially parallel walls is internal and the other external, each one of said walls forming a substantially rotationally symmetrical surface, said surfaces being substantially concentric, and the interior wall demarcating a core with a diameter d between 10 and 30 cm. With this configuration, it is possible to form a vessel allowing the flow of material in the height direction, while maintaining the prescribed distance between inner and outer wall within limited height and width dimensions. This device can thus form a safe but also compact jar or hopper. Particularly advantageously, said volume comprises a sole opening for the introduction and extraction of said material, said surfaces being preferably substantially cylindrical. As <<substantially cylindrical>> are understood walls that can present a conicity angle not higher than 15°. With this configuration of the vessel can form a safe but particularly compact jar. Alternatively, but also particularly advantageously, the axis of symmetry of said surfaces is substantially vertical and said volume comprises a higher opening for the introduction of said material and a lower opening for the extraction of said material. In this configuration, the device can form a safe but particularly compact hopper. Alternatively, said volume is between 40 and 70 liters and has a substantially cylindrical and flat shape, wherein said two walls are substantially flat and said volume is also demarcated by a third, substantially cylindrical, wall with a diameter D between 70 and 90 cm and joining the two substantially flat walls. In this disc-like configuration the vessel can form a safe but particularly efficient device for crushing or comminuting solid material containing plutonium. In particular it can form a safe and efficient ball mill. Another objective of the present invention is preventing that, in a containment enclosure, such as, for example, a glove box or a hot cell, for processing material containing civilian or military origin plutonium in the form of plutonium oxide, carbide and/or nitride, criticality may be reached by a spillage of said plutonium-containing material. The plutonium-containing material may also contain other actinides such as americium, neptunium, or curium. Moreover, said plutonium-containing material is preferably in the form of powder, granulate and/or tablets. Said containment enclosure comprises a perimeter and a base. The problem addressed by this embodiment of the present invention is also the prevention of the criticality risk in all neutron moderation and reflection conditions that can be encountered in any condition, such as a normal condition, a close-to-normal condition, an incidental condition or an accidental condition. For this purpose, said containment enclosure comprises, at its base and possibly around its perimeter, a plurality of separate sub-critical spaces for catching spilled plutonium-containing material. Advantageously, said sub-critical spaces are separated by passive parts, at least partially metallic and filled preferably with a solid, mineral and neutron-absorbing material, each one of said sub-critical spaces being demarcated by at least two substantially parallel and vertical walls having a height h between 30 and 50 cm, and separated by a distance e between 8 and 12 cm, and said at least partially metallic parts having a thickness p between 10 and 15 cm. As <<substantially vertical>> are understood walls not presenting an angle superior to 15° with respect to the vertical. These dimensions ensure sub-criticality within a large range of plutonium concentrations, densities, for a broad isotopic envelope and in even the most negative neutron moderation and reflection conditions. In case of spillage, these sub-critical spaces, whose shape and volume would ensure their sub-criticality under any conditions of neutron moderation, could receive the spilled plutonium-containing matter. Preferably, the available volume of the sub-critical spaces would be superior to that of the plutonium-containing matter that could be simultaneously processed and/or stored in said containment enclosure at any given moment, so that, even if all of said plutonium-containing matter was spilled, it could safely be received into said sub-critical spaces. Advantageously, said enclosure according to the invention contains at least one of said vessels according to the invention. Advantageously, the total volume of said spaces of the containment enclosure would be higher than the volume of said at least one vessel. Thus, even if all the plutonium-containing material in said vessels within said containment enclosure was spilled, for example under accidental conditions, it could safely be received by said sub-critical spaces, even taking into account the sloping effect with the worst sloping plutonium-containing powders, i.e. with a sloping angle of up to 30°. The present invention concerns also a processing plant for mixed uranium oxide, carbide and/or nitride, civilian or military origin plutonium oxide, carbide and/or nitride, and possibly other actinides such as americium, neptunium, or curium. This plant comprises a set of said vessels according to the invention installed in a set of said enclosures according to the invention so as to prevent the criticality risks. The present invention concerns also a process for preparing nuclear fuel using a mixture of uranium oxide, carbide or nitride and civilian or military origin plutonium, in the form of, respectively, plutonium oxide, carbide or nitride, as well as possibly other actinides such as americium, neptunium, and/or curium. To prevent the criticality risk, said mixture has a plutonium concentration not higher than a maximum guaranteed plutonium concentration, and an isotopic composition within a predetermined envelope. Throughout the whole process, substantially all of this mixture and any material resulting from it are contained within a set of vessels dimensioned so as to be sub-critical even if completely filled with said plutonium-containing mixture at said maximum guaranteed plutonium concentration, with any isotopic composition within said predetermined isotopic envelope, for any density up to a maximum guaranteed process density and under the most negative neutron reflection and moderation conditions. By using such a safe configuration for all vessels containing the plutonium throughout the whole process, it is possible to ensure that criticality be prevented even against human error, such as, for example, double batching or blending error without resorting to complex and inherently fragile verification and control systems. Advantageously, said process further comprises a comminuting step, so as to obtain a particularly intimate mixture and prevent hot spots in the nuclear fuel. Advantageously, said process further comprises at least one additional mixing step, wherein, said mixture is mixed with additional uranium oxide, carbide or nitride. Since all subsequent steps are also performed using vessels dimensioned so as to be sub-critical even with the maximum guaranteed plutonium content of the mixture, criticality in the subsequent steps is avoided even if the at least one additional mixing step is mistakenly jumped over. Advantageously, said nuclear fuel is pelletized, and the resulting nuclear fuel pellets are preferably sintered afterwards. Advantageously, said process utilizes possibly neutron-moderating process additives. Advantageously, said mixture is obtained by a dry process, comprising the following steps: verifying the predetermined mass and isotopic composition of the plutonium contained, in the form of plutonium oxide, carbide or nitride, in a set of containers; introducing, into a containment enclosure, said enclosure being, for example, in the form of a glove box or a hot cell, a batch of containers selected from among said set of containers so as to contain, at most, only enough plutonium for a single sub-critical batch of mixture; verifying that said batch of containers contains, at most, only enough plutonium for said single batch of mixture, preferably by mechanically verifying their predetermined mass; opening said batch of containers, after their leak-tight connection to an emptying vessel connected in a leak-tight manner to a mixing vessel also located in said containment enclosure; introducing in said mixing vessel, at most, only enough plutonium for a single batch of mixture and uranium, in the form of uranium oxide, carbide or nitride, to be mixed therewith; performing, in the mixing vessel, a continuous and rough homogenization of the mixture by mechanical means; verifying the plutonium content of the batch of mixture at an output, by at least mechanically verifying the total mass of the mixture and measuring its neutron emission. Said constitution process has the advantage of ensuring that the plutonium content of the mixture is maintained within a certain range below the maximum guaranteed plutonium content. This in turn contributes to prevent the criticality risks downstream, with the help of vessels according to the invention. The prevention of the criticality risk in a processing plant can therefore comprise: the division of the plant in various premises regrouping, within a separate premise and separately from the storage vessels, the process vessels presenting the same criticality risk, in function of the supply of neutron-moderating material they require in normal or accidental situations; the identification and guarantee of ranges for the plutonium content, the plutonium isotopic composition and the density of plutonium-containing material, and the prevention of criticality in all process and storage vessels for these ranges; the prevention of criticality in all situations, such as the normal, the nearly normal, the incidental, and the accidental, by permanent and easily guaranteed geometric dispositions. The present invention also aims to retain the most effective fire prevention/extinguishing means, without having to compromise the prevention of the criticality risk. For example, it may allow to use water as extinguishing means every time that a proven fire risk exists, such as when the fire triangle of combustible materials, combustive and initiators is fulfilled, and water constitutes the most indicated extinguishing fluid. In a nuclear fuel production plant and process with materials containing plutonium in the form of plutonium oxide, carbide and/or nitride, it is possible to establish a maximum guaranteed plutonium content and density, and an isotopic plutonium composition envelop, for the plutonium-containing materials throughout the production process, based on the plutonium content, density and isotopic composition of the feed materials. By designing all the various vessels and devices used for containing and processing the plutonium-containing materials so that they remain sub-critical even with said maximum guaranteed plutonium content and density and isotopic composition envelop, it is possible to prevent the criticality risk even when, due to human error or the failure of control systems, the normal plutonium content and/or density are exceeded in a given process step. This may happen, for instance, if the plutonium oxide is not duly diluted, or, in a nuclear fuel production process with double mixing, such as MIMAS, if the primary is mistaken with the secondary mixture. Using specific sub-critical geometries, it is possible to ensure this criticality prevention even for the most negative filling, reflection and moderation conditions, in normal, close to normal, and/or incidental situations. As close to normal or incidental situations, are understood all anomalies and failures that can result from one or several hardware or software failures of an automatic control, such as a calculator, sequential automatic control, automatic dosing control, or sensor, or from a faulty administrative operation, such as an erroneous material acquisition, consignment, command input, data reporting or input. These geometries are also safe for an accidental supply of neutron-moderating material caused, for example, by the bursting of water or oil ducts, fire extinguishing with water sprinklers, etc. The various embodiments of the invention include a full family of geometrically safe vessels for plutonium-containing material, as well as a containment enclosure, such as, for example, a glovebox, a hot cell, with a geometrically safe bottom catcher for safely collecting a spillage of plutonium-containing material resulting, for example, from an accidental loss of integrity of these vessels. For the preparation of plutonium-containing powders and/or granulates annular or slab geometry devices are chosen whose dimensions are verified as sub-critical, with the safety and uncertainty coefficients imposed by the relevant authorities, for a partial or total filling of the available volume, for a reflection by a layer of water at the limits and for all moderation conditions by water and/or other possibly present moderation materials in the ring or the slab. With this approach it is no longer necessary to prevent against double or multiple batching of the plutonium-containing material and/or of the moderating additives. FIG. 1 shows a transfer and/or mixing vessel, in the form of a jar 101 with an annular geometry. This jar 101 comprises a volume 103 of 20 to 50 liters, with a height h between 30 and 60 cm, and demarcated by two substantially concentric and substantially cylindrical walls 102,104 separated by a distance e between 8 and 15 cm. The interior wall 104 demarcates a core with a diameter d between 10 and 30 cm. This interior wall 104, although substantially cylindrical, may present a slight conicity of up to 15° to ease the extraction of powdered material from the jar 101. The core may comprise a neutron absorber 105. The jar 101 has a single opening 109 for introducing and/or extracting plutonium-containing material into the volume 103. This volume 103 is also schematically illustrated in FIG. 9. The jar 101 may also be provided with radiation shielding 107, in order to protect those surrounding the jar 101, and with cooling 106, to prevent an excessive rise in temperature of additives present in the powder contained in the jar 101. This jar 101 may be held in a vertical or tilted position, or it may be tiltable around a horizontal axis. FIG. 2 shows an accumulation and/or transfer vessel, in the form of a hopper 201. This hopper 201 also has an annular geometry. However, the volume 203 of the hopper 201 is demarcated by substantially conical walls 203,204. In particular, both the exterior walls 203 and the interior walls 204 are substantially shaped as double cones around a single vertical axis of revolution, so as to facilitate flow of plutonium-containing material between an upper opening 209 and a lower opening 208 with seal, while maintaining both height and maximum diameter of the hopper 201 within limits. As in the jar 101, the volume 203 in the hopper 203 is between 20 and 50 liters with a height h between 30 and 60 cm, and a distance e between the exterior and interior walls 203,204 between 8 and 15 cm. The interior wall 204 also demarcates a core with a diameter d between 10 and 30 cm, possibly containing a neutron absorber 205. For crushing plutonium-containing material, one possibility is an <<airjet>>-type crusher with a safe geometry. Another possibility is a ball mill 301 with a flat cylindrical geometry, as shown in FIG. 3. In this ball mill 301, a volume 303 for receiving plutonium-containing material and a plurality of crushing mill balls 309 is demarcated by two substantially flat, substantially parallel walls 302, 304, separated by a distance e between 8 and 15 cm, and a cylindrical wall 305 with a diameter D between 70 and 90 cm. This geometry is also schematically illustrated in FIG. 10. The volume 303 is between 40 and 70 liters. The ball mill 301 is mounted rotatable around a non-vertical axis, so that the mill balls 309 crush the plutonium-containing material within the volume 303 during rotation. Said plutonium-containing material can be introduced in the volume 303 and/or extracted from it through an opening, preferably centrally located, of said ball mill 301. Said opening preferably comprises a ball retention grid 311, as shown in FIG. 3. Also, said opening may be couplable with the opening 109 of a jar 101 using a holder/coupling 310. As also shown in FIG. 3, the plutonium-containing material may be transferred between jar 101 and ball mill 301 by tilting the coupled jar 101 and ball mill 301 around a substantially horizontal axis. A jar 101, mounted rotatable around a non-vertical axis on at least one bearing 415, as illustrated in FIG. 4, may instead be used as a mixing vessel for preliminary homogeneization, instead of industrial screw mixers. Criticality prevention in accidental situations, for said given ranges of isotopic plutonium composition, plutonium content and density and even for the most negative conditions of reflection and moderation, can also be ensured using bottom catcher devices with singular geometries, as shown in FIGS. 5 and 6. Accidental situations may be, for instance, seismic situations, fire situations and accidental moving load drops. Such seismic situations may be of natural and/or artificial origin. An artificial seismic situation may originate, for example, from a civilian or military airplane crash. Accidental fire situations may be also aggravated by the use of water sprinklers for extinction purposes. Accidental falls are also a possible risk, since, for instance, in powder gravity transfer plants, vessels containing plutonium-containing material are often raised above processing devices to load them with said plutonium-containing material. For protection against these situations in a nuclear fuel processing plant, attention will be given to dimensioning to fulfill general stability criteria with possible partial plastic deformation and to ensure the stability of the process equipment and containment enclosure structures during a standard fire, for example, by coating with a fire-resistant paint 619, as illustrated in FIGS. 5 and 6, at least some of the metallic structures 617 in the processing plant. Nevertheless, to respond to eventual spillages of plutonium-containing material in and/or around a containment enclosure, a sub-critical bottom catcher 500 may be provided in and/or around the containment enclosure. FIGS. 5 and 6 illustrate such a bottom catcher 500 at the bottom of a glovebox-type containment enclosure formed by a structure 620 with transparent panels. In the illustrated embodiment the containment enclosure is provided to enclose a jar 101 transported over rolls 616, so that it can be filled with plutonium-containing material from a hopper 201. To catch any spillage from the jar 101, hopper 201 or other process vessels, the bottom catcher 500 comprises a plurality of sub-critical spaces 603 separated by passive parts 601. These passive parts 601, also known as separators, are at least partially metallic and filled preferably with a solid, mineral and neutron-absorbing material 605. Each one of said sub-critical spaces 603 is demarcated by at least two substantially parallel and vertical walls 602, 604 having a height h between 30 and 50 cm, and separated by a distance e between 8 and 12 cm. The parts 601 have a thickness p between 10 and 15 cm. The bottom catcher 500 of the illustrated embodiment is dimensioned and/or qualified to ensure its geometry in situations of fire, earthquake and fall of loads. The catcher is dimensioned so as to ensure the retention of the totality of the plutonium-containing material that can be simultaneously present in the containment enclosure at any given moment and to be sub-critical in all conditions of neutron moderation, even when taking into account the effective retention volume limited by the sloping effect of powders with poor flowability, which may presenting a sloping angle of up to 30° with respect to the vertical. The volume of the heap of plutonium-contained material that may accumulate with that sloping angle above the upper level of these separators should form a negligible fraction of the total. It would comprise, for instance, a mass smaller than 10% of the total mass of spilled plutonium-containing material, or a conic pyramid the diameter of which circular base is less than one pitch, that is, the sum of the thickness p and the distance e. All the above-mentioned principles for preventing criticality can be integrated in a single plant for processing mixed oxides of plutonium and uranium. In such a plant, the devices that present particular risks from the criticality point of view are preferably installed in special premises. One such special premise is illustrated in FIG. 4. This special premise contains a mixing jar 101 for mixing uranium oxide, scrap and plutonium oxide introduced into the premise in plutonium containers 412, so as to realize a mixture of with a guaranteed maximum content of plutonium. This may be required in plants receiving pure plutonium so as to dilute it before further processing. Criticality is prevented and the plutonium content of the mixture guaranteed by a combination of the following arrangements: the use of mechanically fool-proofed containers 412; the sequential introduction of the constitutive elements of the mixture in a mixing jar 101; and the continuous and rough homogenization of the mixture by mechanical means, such as, in the illustrated embodiment, by rotating the jar during the constitution of the mixture. Various high-reliability mechanical control devices are preferably used for certain controls. For example, the containers 412 and/or the mixing jar 101 may be mechanically fool-proofed by their shape and dimensions, the mass of plutonium-containing material may be verified using an articulated rail segment and/or a pre-stressed spring system, the number of jars 101 in a device or on a maintenance rail may be checked using a mechanical memory, etc. Such reliable mechanical control devices avoid instrumented or digital devices that are less reliable and more expensive, and furthermore need special precautions for calibration, zeroing and/or uninterrupted electrical supply. Storage facilities, which present different risks, have a reduced fire risk and guaranteed extinction, and are thus bound to require different solutions than the process facilities, may be installed in special fire-compartmentalized premises reserved for this only purpose. As shown in FIG. 8, it will be preferable, even if not necessary, to regroup the sintering ovens and the equipments for pelletizing by hydraulic pressing in dedicated, fire-compartmentalized premises, since they present increased fire hazards and comprise important sources of neutron-moderating materials, such as the oven cooling water, and the oil from the hydraulic presses for pelletizing. Ideally, although difficult to achieve in accidental conditions, fire extinguishing sprinklers might be applied in line with rules well-known by the skilled person. Illustratively, a process for preparing MOX fuel from civilian and military plutonium in the form of plutonium oxide will now be briefly described with reference to FIGS. 7 and 8. Usually a maximum plutonium content of 25% in the plutonium-containing feed material will be encountered in a MOX fuel plant using plutonium of military origin and a maximum plutonium content of 50% in a MOX fuel plant using plutonium resulting from the reprocessing of spent UO2 fuels from commercial light water reactors, whether these plants are intended to produce fuel intended for light water reactors or for rapid neutron reactors. In a plant where the plutonium oxide is diluted in an upstream commercial reprocessing or conversion plant, it will be possible to ensure the prevention of criticality for a plutonium content of 50% wt. (for example, in the JMOX receiving MH-MOX from the JNFL reprocessing plant in Rokkasho-mura—Japan) during the whole MOX preparation process by means of controls at the output of this plant and/or controls at the input of the plant performing this MOX fuel preparation process guaranteeing the plutonium content. The skilled person is generally aware of an isotopic composition of approximately 70/18/10/2 respectively for the isotopes Pu239, Pu240, Pu241, Pu242 of plutonium resulting from reprocessing commercial UO2 spent fuel with a burnup up to 50 GWd/t. On the other hand a military plutonium or that resulting from reprocessing used fuels from graphite/gas reactors, such as, for example, Magnox, usually has an isotopic composition of 95/4/1/—used for criticality safety neutronic calculations. The maximum density of a crushed-micronized MOX mixture or of loose sintered MOX tablets is estimated at 5-5.5 g/cm3. With these parameters, the following embodiment of a nuclear fuel preparation process according to the invention may be performed in a medium-capacity (80 tHM MOX/year) plant for LWR MIMAS MOX fuel production as illustrated in FIG. 8: The feed materials are received into the plant in the form of sealed containers, such as cans, of 3 kg of pure plutonium oxide originating from a batch of 100 kg and bulk fresh uranium originating from a batch of 3000 kg. The plutonium oxide 701 is introduced into the plant in a set of sealed containers 412. The mass and isotopic composition of each container 412 of this set is verified as it is introduced in the plant, so as to conform to a guaranteed envelope. Within the plant, a containment enclosure 414, such as a glove box or a hot cell, in a fire compartment 801, contains an annular mixing jar 101, as described above and shown in FIGS. 1 and 4. In a first step 701, a primary mixture of uranium oxide and plutonium oxide is constituted in said containment enclosure 414. From the set of containers 412, a batch of containers 412, containing, in total, no more than 20 kg of plutonium oxide, is then introduced into the containment enclosure 414. The mass of the batch of containers 412 introduced in the containment enclosure 414 is verified at its entrance or exit using an articulated rail segment and/or a pre-stressed spring system, possibly with a mechanical memory. Within this containment enclosure 414, each container 412 is in turn connected in a leak-tight manner to an emptying device 413, connected itself in a leak-tight manner to the annular mixing jar 101. Only then is the container 412 opened, so as to empty the plutonium oxide into the annular mixing jar 101. To make a primary mixture of 60 kg with a concentration of 30% in PuO2, 5 containers 412 of 3 kg will be opened and emptied, while 45 kg of nuclear fuel production scraps and/or uranium oxide are also introduced in the same annular mixing jar 101. The abovementioned scrap consists in material not usable in the final product. It originates from the different steps of fuel production, such as samples, rejected pellets, material resulting from pellet grinding to diameter and material produced in excess. The production scrap is available in different forms (sintered/not sintered, powder or pelletized) and quantities. To be recycled in the fuel as a third feed product with constant and characterized characteristics, the scraps are currently undergoing different dry batch processes such as pelletizing, sintering, crushing, comminuting and blending. The plutonium oxide, scraps and uranium oxide are then roughly mixed by rotating the annular mixing jar 101 to produce 60 kg of a primary mixture. The primary mixture is transferred to another containment enclosure containing a mill such as the previously described ball mill 301, also illustrated in FIG. 3, wherein this primary mixture is comminuted with crushing balls. A mill of a different type, such as an airjet mill, could however be used instead. In the next stage 703, the 60 kg of comminuted primary mixture are then conditioned in intermediate 5 kg cans and eventually brought to a buffer storage containment enclosure 802 in a separate fire compartment 803. When needed, for example to prepare a secondary blend of with a concentration of 6% PuO2, 4 5 kg cans of comminuted primary mixture are transferred for the constitution 704 of a secondary mixture to a second mixing jar 101, installed in yet another containment enclosure 804 in a separate fire compartment 805. In this second mixing jar 101, an additional 60 kg of fresh uranium oxide from the batch of 3000 kg is also introduced, to be mixed with the comminuted primary mixture. The resulting 80 kg of nuclear material are then mixed within said containment enclosure 804, in another mixer (not represented), to form a secondary mixture, and introduced in a hopper 201, such as has been previously described and shown in FIG. 2, for its pelletizing 705 in hydraulic pelletizing presses located in pelletizing containment enclosures 806 in separate fire compartments 807. Due to the increased fire risk, but reduced criticality risk in these fire compartments 807, they can be equipped with sprinkler systems 808 for fire prevention. Additives may also be added to the primary or secondary mixtures. Some additives, such as, for example, organometallic poreformers may be added to control the density of the mixture. Lubricating additives, such as zinc stearate, may also be added, so as to facilitate pelletizing. These additives present neutron moderating properties due to their content in hydrogen and/or carbon. The pelletizing presses are then loaded with the secondary mixture from the hopper 201. This secondary mixture is then compressed, so as to produce MOX fuel pellets, which, after eventual intermediate storage in another containment enclosure 809 in a separate fire compartment 810, are then introduced for sintering in a sintering fire compartment 811, also equipped with sprinklers 808, wherein they are sintered in sealed sintering ovens 812, which also form containment enclosures, so as to consolidate them. The sintered pellets can then be transferred to another fire compartment 813 for storage in another containment enclosure 814, from which they may be taken for further processing, such as diameter grinding and stacking for final integration in fuel rods and assemblies, or shipping. For enhanced safety, quality and efficiency, all this process may be managed according to “kanban” rules up to at least the pelletizing. In and/or around each one of the containment enclosures 414, 804, 806, and/or 812, bottom catchers, such as the bottom catcher 500 described above and shown in FIGS. 5 and 6, may be installed to prevent the criticality risk even in cases of spillage of plutonium-containing material. Each individual fire compartment is accessible only through one or several fire locks 815. Tables 1 to 3 present an illustrative selection of sub-critical geometries, i.e. geometries presenting an effective neutron multiplication coefficient keff<0.95 for all filling and moderation conditions and for a reflection with H20: An annular geometry, as in FIGS. 1, 2 and 8 and table 1, for the transfer and accumulation vessels, such as the jars 101 and the hoppers 201; a flat cylindrical geometry (FIGS. 3 and 10 and table 2) for the ball mills 301; and a parallel slab geometry (FIGS. 5, 6 and 11 and table 3) for catcher devices in containment enclosures. Even if this description has been oriented towards the domain of the production of mixed oxides, carbides and/or nitrides of uranium and of plutonium, possibly associated with other transuranic actinides, such as americium, neptunium, and/or curium for augmenting the resistance to proliferation, the processes and devices of the invention can also be extended to the following fuel families: Dispersions of a non-metallic compound of plutonium, such as plutonium oxide, carbide and/or nitride, in an inert matrix; or fuels implementing the thorium and uranium cycle. Although the present invention has been described with reference to specific exemplary embodiments, it will be evident that various modifications and changes may be made to these embodiments without departing from the broader scope of the invention as set forth in the claims. Accordingly, the description and drawings are to be regarded in an illustrative sense rather than a restrictive sense. DRAWING ANNOTATION101Jar102Outer wall103Volume104Inner wall105Neutron absorber106Cooling107Radiation shielding109Opening201Hopper202Outer wall203Volume204Inner wall205Neutron absorber206Cooling207Radiation shielding208Lower opening with seal209Upper opening301Ball mill302Flat wall303Space304Flat wall305Cylindrical wall309Mill balls310Holder/Coupling311Ball retention grid410Holder/Coupling412Impervious plutonium containers413Emptying device414Premise415Rotation bearing601Flat passive parts602Wall603Volume604Wall605Solid and mineral neutron absorber616Roll conveyors617Solid and mineral neutron absorber618Transparent panels619Fire-resistant paint620Containment enclosure structure621Fire-resistant paint622Process equipment structure623Grating624Concrete floor625Metallic bottom701PuO2 powder batches702Constitution of primary mixtures in jars703Conditioning of the primary mixtures inintermediate cans and possible storage704Constitution of secondary mixtures in jars705Pelletizing801Fire compartment802Containment enclosure803Fire compartment804Containment enclosure805Fire compartment806Containment enclosure807Fire compartment808Sprinkler system809Containment enclosure810Fire compartment811Fire compartment812Sintering oven813Fire compartment814Containment enclosure815Fire lock901Vessel902Outer wall903Volume904Inner wall TABLE 1Neutron multiplication coefficients (keff)for an annular vessel (jar, hopper, mixer, etc)ReflectionH2O-filled corering surrounded by 20 cm H2OIsotopiccompositionPuO2keff(%)contentDensity δModeration by H2O (g/cc)GeometryPu239Pu240Pu0241Pu242(%)(g/cc)00.20.40.60.81d = 15 cm9541—3050.570.650.640.820.880.96d + 2e = 35 cm V = 23.5 l70181025050.640.720.79(*) 0.850.910.94H = 30 cmWIMS code calculation(*) 0.92 for d = 20 cm, d + 2e = 40 cm, h = 40 cm TABLE 2Neutron multiplication coefficients (keff)for slab geometry process devicesGeometrySourceD = 80 cmcontent: 50%E = 12 cmdensity: 5 g/ccV = 60 lisotopy: 70/18/10/2%Reflection: 2.5 cm H2OModeration: 0.55 g/cc H2OWIMS code calculationkeff = 0.92 TABLE 3Neutron multiplication coefficients (keff) for a N slab geometryGeometryN = 4P = 15 cme = 10 cmh = 35 cmL1 = L2 = 100 cmV = 140 1Source content : ⁢ PuO 2 UO 2 + PuO 2 = 50 ⁢ % density δ (see below)isotopic composition:Pu9,0,1,2 = 70/18/10/2%Reflection - Moderationreflection in bottom partby 30 cm concrete and in toppart by 2.5 cm H2Omoderation by 0.8 g/cc H2Oδkeff10.8250.95
052971740
summary
BACKGROUND OF THE INVENTION 1. Field Of The Invention This invention is directed to a pressurized water reactor having a safety system grade system for automatically blocking withdrawal of control rods in response to a dropped control rod. 2. Background Of The Invention The reactivity of a pressurized water reactor is controlled by regulating the concentration of a neutron absorber, such as boron, in reactor coolant circulated through the reactor core, and by control rods which can be inserted into the reactor core. Changes in boron concentration have a core wide effect while the insertion of control rods is more localized. Typically, the control rods are stepped into and out of the core, but can be dropped into the core rapidly to shut down the core should the need arise. It is possible that during normal operation one or more individual control rod drives could malfunction and drop control rods into the core. This results in a reduction in the reactivity of the core with consequent lowering of the average temperature of coolant exiting the core. When this lowering of the average temperature of the coolant exiting the core is detected by the control system, about ten seconds after the actual rod drop, the conventional control system responds to this reduction in temperature by withdrawing specified control rods in order to raise the core average temperature to a set point level. This can result in excessive heat rise in another part of the core as the control system attempts to compensate for the reduction in core reactivity. In a conventional pressurized water reactor, regulation of the boron concentration is used to control power level with the control rods being manipulated to control power distribution during transients. Even when load following with such a control strategy, only about one-third of the control rods are inserted into the reactor core at power. It has been analytically determined that with such a control scheme, even in the worst case, a dropped rod will not result in a dangerous over-temperature condition in another part of the core. Hence, while a dropped rod has an adverse effect on the operation of a conventional reactor, it is not a critical safety item. Assignee of the present invention has developed an advanced pressurized water reactor which is protected by passive safety systems. That is, no operator intervention is required to maintain safe operating conditions in the reactor despite various postulated malfunctions. The control strategy for this advanced pressurized water reactor calls for load following primarily with the control rods only and not through regulation of the boron concentration. This results in a wide variation in the combinations of banks of control rods inserted into the core to follow the load and maintain proper power distribution in the core. This makes it impractical to analytically determine whether, with all the possible combinations of rod insertions, there is no situation where a dropped rod would not cause fuel damage in another part of the core. Thus, there is a need with the advanced pressurized water reactor operated to load follow with the control rods rather than through regulation of boron concentration to have a reliable system for determining if there is a dropped rod. In order to meet the criteria of the advanced pressurized water reactor that all protection systems be passive, any system for detecting a dropped rod must be safety system grade. That is, it must have the degree of reliability that it can operate automatically without the intervention of the human operator. The safety system grade standards are set forth in IEEE Std. 603-1980 which is hereby incorporated by reference. The IEEE Std. 603-1980 standards are mandated by the U.S. Nuclear Regulatory Commission for applications over which the NRC has jurisdiction in Regulatory Guide 1.153 which is also incorporated by reference herein. It is known to have rod position indicators which track the stepping of the control rods in and out of the reactor core to provide an indication of rod position. It is also known to have rod bottom lights actuated by microswitches when a rod is fully inserted. However, neither of these systems is safety system grade. There are some safety system grade control rod position indicator systems, but they are expensive and cumbersome to maintain. U.S. Pat. No. 4,774,049 discloses a system which generates on-line, real time displays of reactor core power distributions, and in particular precisely calculates and displays two dimensional core power distributions relative to a reference position. With the use of the described system a skilled human observer can extract an indication of a dropped control rod. However, this system is not of safety system grade and, more importantly, it is not passive. Furthermore, it cannot readily allow the human observer to recognize a failing thermocouple. There is a need, therefore, for an improved, fully automatic system and method for identifying a dropped rod in a pressurized water reactor, and in particular for such a system which is safety system grade. There is also a need for such a system and method which can distinguish between a dropped rod and a failure in the system itself. SUMMARY OF THE INVENTION These and other needs are satisfied by the invention which is directed to a method and safety system grade apparatus for detecting a dropped rod in a pressurized water reactor. In particular, the invention is directed to a method and apparatus for detecting a dropped rod and automatically blocking the reactor rod control system from withdrawing control rods from the reactor core when a dropped rod is detected. A dropped rod is detected using core exit thermocouples and a processor which analyzes the signals generated by the thermocouples to identify a dropped rod. In particular, the thermocouple signals are used together with temperature sensors measuring average core inlet and outlet temperatures, preferable through hot and cold leg temperature sensors, to generate for each thermocouple position a relative power deviation between the temperature rise in the fuel assembly at the thermocouple location and the temperature rise across the reactor vessel, relative to reference conditions. The relative power deviations for the remaining fuel assemblies at which there are no thermocouples are extrapolated, preferably using known surface spline fit techniques. Curvature indices, which are indicative of the spatial second derivatives of the relative power distributions, are then calculated for all of the fuel assemblies. The curvature indices for the fuel assemblies having control rods, and for the adjacent fuel assemblies, preferably the laterally adjacent fuel assemblies, are then analyzed to detect a dropped rod and to differentiate a failed thermocouple from a dropped rod.
abstract
A collimator handling system for partially or fully automating the task of replacing and storing collimators in nuclear imaging systems. A collimator server stores a set of different collimators in stacked drawers which may be automatically extracted into the detector. The reduction in time spent on these tasks reduces cost and increases throughput. Furthermore, the automation of handling heavy lead (or like) collimators increases technician safety.
summary
claims
1. A process for co-producing synthesis gas and power, the process including in a synthesis gas generation stage, producing a synthesis gas comprising at least CO and H2 by reacting a hydrocarbonaceous feedstock with oxygen, the synthesis gas being at a first temperature;in an air separation stage, separating air from a compressed air stream by means of at least one ion transport membrane unit thereby producing a permeate stream consisting predominantly of oxygen and a reject stream of oxygen-depleted air at a second temperature which is lower than the first temperature;indirectly heating the reject stream of oxygen-depleted air with the synthesis gas and at least partially expanding said heated reject stream of oxygen-depleted air through at least one turbine to generate power, producing an at least partially expanded reject stream of oxygen-depleted air;recompressing the permeate stream consisting predominantly of oxygen to a pressure suitable for use in the synthesis gas generation stage;feeding at least a portion of the recompressed permeate stream consisting predominantly of oxygen to the synthesis gas generation stage to provide oxygen for production of synthesis gas; andproducing carbon based chemicals from the synthesis gas produced by the synthesis gas generation stage. 2. The process as claimed in claim 1, in which the synthesis gas produced in the synthesis gas generation stage is at a temperature of at least 900° C., and the reject stream of oxygen-depleted air is available at a temperature of at least 600° C., but less than the temperature of the synthesis gas produced in the synthesis gas generation stage. 3. The process as claimed in claim 1, which includes heating the compressed air stream to a temperature of at least 700° C. prior to separation of the compressed air stream in the air separation stage, the compressed air stream being heated at least by transferring heat from a nuclear reaction stage. 4. The process as claimed in claim 1, which includes reheating the reject stream of oxygen-depleted air at least once, after partial expansion of the reject stream of oxygen-depleted air through said at least one turbine, and further expanding the reheated reject stream of oxygen-depleted air through at least one further turbine, in order to increase the efficiency of power generation. 5. The process as claimed in claim 1, which includes cooling said at least partially expanded reject stream of oxygen-depleted air, after it has been used for power generation, in heat transfer relationship with the compressed air stream. 6. The process as claimed in claim 1, in which the heated reject stream of oxygen-depleted air is heated to a temperature of at least 900° C. by indirect heating with the synthesis gas. 7. The process as claimed in claim 1, in which the air is compressed in one or more air compressors sized to compress air in addition to what is required to produce the permeate stream consisting predominantly of oxygen in the air separation stage for synthesis gas generation purposes, the additional compressed air bypassing the ion transport membrane unit and being heated before being used to produce additional power. 8. The process as claimed in claim 7, in which the additional compressed air receives heat from the synthesis gas. 9. The process as claimed in claim 7, in which fuel gas is burned with the additional compressed air producing combusted gas, with the combusted gas being expanded to produce power. 10. The process as claimed in claim 9, in which the additional compressed air is first mixed with the reject stream of oxygen-depleted air and fuel before the mixture is combusted to produce combusted gas, with the combusted gas then being expanded through a gas expansion turbine to generate power. 11. The process as claimed in claim 1, which includes in a hydrocarbon synthesis stage, producing hydrocarbons from the synthesis gas produced by the synthesis gas generation stage. 12. The process as claimed in claim 7, which includes heating the compressed air stream to a temperature of at least 700° C. prior to separation of the compressed air stream in the air separation stage and in which the compressed air stream is heated at least by transferring heat from a nuclear reaction stage, and in which the additional compressed air receives heat from the nuclear reaction stage.
056423900
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS Referring now to the steps of the method in detail, the following examples are described below: A plurality of ceramic nuclear-fuel sintered pellets made of UO.sub.2, which have the form of a solid cylinder with a diameter of 9.11 mm and a height of 10 mm were disposed next to one another to form a cylindrical column in an Al.sub.2 O.sub.3 boat on a ZrB.sub.2 powder bed that may, for example, contain at least one of the materials NH.sub.4 Cl, BaF.sub.2 and/or KBF.sub.4 admixed as a catalyst. Each of the nuclear-fuel sintered pellets had a sinter density of between 10.38 and 10.44 g/cm.sup.3. The nuclear-fuel sintered pellets were also completely covered with ZrB.sub.2 powder which may likewise, for example, contain NH.sub.4 Cl, BaF.sub.2 and/or KBF.sub.4 admixed as a catalyst. The boat with the nuclear-fuel sintered pellets was then disposed in an Al.sub.2 O.sub.3 tube and heated inside this tube in an electrically heated tube furnace for three hours at 1400.degree. C. under a treatment atmosphere being formed of 5% H.sub.2 and 95% He. After cooling, measurement of the nuclear-fuel sintered pellets through the use of X-ray diffractometry showed that these nuclear-fuel sintered pellets, insofar as they were situated between the nuclear-fuel sintered pellets at each end of the column, had a surface layer of virtually 100% UB.sub.4 and UB.sub.2 by volume under their external surface. The thickness of this surface layer was determined as 12 .mu.m on average by using a microscope for a transverse and a longitudinal ground section of the nuclear-fuel sintered pellets. The variation between maximum value and minimum value of this thickness was 6 .mu.m. The rest of the sintered pellets were formed virtually only of unaltered UO.sub.2 without a detectable boron content. When boron powder was used instead of ZrB.sub.2 powder for the powder bed, surface layers of virtually 100% UB.sub.2 and UB.sub.4 by volume with a thickness of 21 .mu.m.+-.5 .mu.m were produced under the external surface of the nuclear-fuel sintered pellets. In this case again, the rest of the sintered pellets were formed virtually of unaltered UO.sub.2 without a detectable boron content. In a further exemplary embodiment, use was made of an Al.sub.2 O.sub.3 tube which was disposed with a horizontal longitudinal axis in an electrically heated tube furnace. Two thirds of the empty volume of this Al.sub.2 O.sub. tube was filled with ZrB.sub.2 powder in which twelve ceramic nuclear-fuel sintered pellets made of UO.sub.2, likewise with sinter densities of between 10.38 and 10.44 g/cm.sup.3, were embedded. The nuclear-fuel sintered pellets likewise had the form of a solid cylinder with a diameter of 9.11 mm and a height of 10 mm. The Al.sub.2 O.sub.3 tube was rotated about its longitudinal axis at one revolution per minute, so that the powder, together with the nuclear-fuel sintered pellets, was circulated. In this case, the powder and the nuclear-fuel sintered pellets were heated for three hours at a treatment temperature of 1400.degree. C. under a surrounding atmosphere in the tube furnace being formed of 5% H.sub.2 and 95% He. After cooling, the nuclear-fuel sintered pellets had a surface layer of virtually 100% UB.sub.2 and UB.sub.4 by volume under their entire surface. This surface layer had, below the external surface of the nuclear-fuel sintered pellets, a thickness of 16 .mu.m.+-.4 .mu.m and, at the two end surfaces, a thickness of 7 .mu.m.+-.3 .mu.m. The rest of the sintered pellets was unaltered UO.sub.2 without a detectable boron content. In a variant of this exemplary embodiment, fifteen ceramic nuclear-fuel sintered pellets made of UO.sub.2, which likewise had the form of a solid cylinder with a diameter of 9.11 mm and a height of 10 mm, were mounted without a powder bed in the Al.sub.2 O.sub.3 tube, and this tube was likewise rotated in the tube furnace about its horizontal longitudinal axis at one revolution per minute. A gas mixture of diborane B2H.sub.6 and H.sub.2 was fed through a duct into the internal space of the tube, which was closed at both ends in gas-tight fashion, and fed out again through another duct. The flow rate of the gas mixture was 10 liters per minute, and the composition was 99.9 mole % H.sub.2 and 0.1 mole % B2H.sub.6. The nuclear-fuel sintered pellets made of UO.sub.2 in this case were kept at a temperature of 1050.degree. C. in the tube furnace for 90 minutes. After cooling, these nuclear-fuel sintered pellets made of UO.sub.2 had a surface layer with a thickness of 8 .mu.m that was formed of 100% by weight UB.sub.2 and UB.sub.4 under their entire surface. The rest of the sintered pellets was unaltered UO.sub.2 without a detectable boron content. The surface layer containing UB.sub.2 and UB.sub.4 can also be formed in the nuclear-fuel sintered pellet made of UO.sub.2 by embedding this nuclear-fuel sintered pellet in boron and/or a boron-containing chemical compound, which are in the molten state. It is expedient if the isotope B.sub.10 in the boron is enriched relative to the natural isotopic composition of boron, in the boron being used or in the boron-containing chemical compounds being used. This can be achieved in a known manner, for example by cyclotron enrichment, diffusion enrichment or separation nozzle enrichment. It is this isotope B.sub.10 that essentially absorbs the thermal neutrons. By virtue of the fact that it is enriched in the boron that is situated in the surface layer of the uranium-containing nuclear-fuel sintered pellet, the thickness of this surface layer can be selected to be comparatively small. In a similar way, it is even possible to treat uranium-containing ceramic nuclear-fuel sintered pellets that contain at least one of the chemical compounds (U, Pu)O.sub.2, (U, Th)O.sub.2, (U, RE)O.sub.2, (U, Pu, Th)O.sub.2, (U, Pu, RE)O.sub.2, (U, Th, RE)O.sub.2 and (U, Pu, Th, RE)O.sub.2, since the other heavy metals in these mixed oxides all form borides structured identically or similarly to that which uranium forms. The rare earths RE may, in particular, be gadolinium, samarium, europium, erbium and dysprosium, which are all neutron poisons, but can exhibit a burnout behavior due to the physical effects of neutrons which is different from that of boron, and therefore can advantageously influence the reactivity control in a nuclear reactor, in combination with boron. It is advantageous to fit the uranium-containing nuclear-fuel sintered pellets according to the invention in a cladding tube of a fuel rod, wherein the cladding tube is generally made of a zirconium alloy or stainless steel, and to seal this cladding tube. This fuel rod is expediently a component of a nuclear-reactor fuel assembly for a nuclear reactor. Advantageously, such a nuclear-reactor fuel assembly is intended for a light water nuclear reactor, in particular for a pressurized water nuclear reactor or a boiling water nuclear reactor. Tests simulating the conditions in a nuclear reactor and being carried out with such a cladding tube showed not only that the boron-containing surface layer of the uranium-containing nuclear-fuel sintered pellets is firmly anchored in the crystal structure of these nuclear-fuel sintered pellets, but also that the boron does not escape from this surface layer, even at temperatures of 500.degree. C. and above.
053894731
claims
1. A method of producing an X-ray grid, comprising the steps of providing a monolithic panel of a photosensitive material; exposing the panel through a masking device to produce in the panel a hidden image; developing the hidden image in the panel by a thermal treatment; etching the photosensitive monolithic panel after said developing so as to form a monolithic grate with a plurality of cells with partitions therebetween; and covering the partitions with an X-ray absorbing material, said providing including using as the photosensitive material a photosensitive glass with a differential of solubility not less than 25, and said exposing including exposing with a radiation having a wavelength which is shorter than a wavelength of an ultraviolet radiation. 2. A method as defined in claim 1, wherein said developing includes heating the panel to a temperature substantially equal to 450.degree.-700.degree. C. 3. A method as defined in claim 1, wherein said exposing includes exposing with X-ray radiation. 4. A method as defined in claim 1, wherein said exposing includes exposing with gamma radiation. 5. A method as defined in claim 1; and further comprising the step of covering opposite transverse sides of the panel with covers composed of an X-ray transmitting material. 6. A method as defined in claim 1, wherein said covering with an X-ray absorbing material includes covering with X-ray absorbing material all surfaces of said monolithic panel including inner surfaces of said cells.
description
The present application for patent claims priority under 35 U.S.C. § 119 from U.S. provisional patent application Ser. No. 62/411,152, filed Oct. 21, 2016, the subject matter of which is incorporated herein by reference in its entirety. The described embodiments relate to x-ray metrology systems and methods, and more particularly to methods and systems for improved measurement accuracy. Semiconductor devices such as logic and memory devices are typically fabricated by a sequence of processing steps applied to a specimen. The various features and multiple structural levels of the semiconductor devices are formed by these processing steps. For example, lithography among others is one semiconductor fabrication process that involves generating a pattern on a semiconductor wafer. Additional examples of semiconductor fabrication processes include, but are not limited to, chemical-mechanical polishing, etch, deposition, and ion implantation. Multiple semiconductor devices may be fabricated on a single semiconductor wafer and then separated into individual semiconductor devices. Metrology processes are used at various steps during a semiconductor manufacturing process to detect defects on wafers to promote higher yield. A number of metrology based techniques including scatterometry and reflectometry implementations and associated analysis algorithms are commonly used to characterize critical dimensions, film thicknesses, composition and other parameters of nanoscale structures. Traditionally, scatterometry critical dimension measurements are performed on targets consisting of thin films and/or repeated periodic structures. During device fabrication, these films and periodic structures typically represent the actual device geometry and material structure or an intermediate design. As devices (e.g., logic and memory devices) move toward smaller nanometer-scale dimensions, characterization becomes more difficult. Devices incorporating complex three-dimensional geometry and materials with diverse physical properties contribute to characterization difficulty. For example, modern memory structures are often high-aspect ratio, three-dimensional structures that make it difficult for optical radiation to penetrate to the bottom layers. Optical metrology tools utilizing infrared to visible light can penetrate many layers of translucent materials, but longer wavelengths that provide good depth of penetration do not provide sufficient sensitivity to small anomalies. In addition, the increasing number of parameters required to characterize complex structures (e.g., FinFETs), leads to increasing parameter correlation. As a result, the parameters characterizing the target often cannot be reliably decoupled with available measurements. In one example, longer wavelengths (e.g. near infrared) have been employed in an attempt to overcome penetration issues for 3D FLASH devices that utilize polysilicon as one of the alternating materials in the stack. However, the mirror like structure of 3D FLASH intrinsically causes decreasing light intensity as the illumination propagates deeper into the film stack. This causes sensitivity loss and correlation issues at depth. In this scenario, SCD is only able to successfully extract a reduced set of metrology dimensions with high sensitivity and low correlation. In another example, opaque, high-k materials are increasingly employed in modern semiconductor structures. Optical radiation is often unable to penetrate layers constructed of these materials. As a result, measurements with thin-film scatterometry tools such as ellipsometers or reflectometers are becoming increasingly challenging. In response to these challenges, more complex optical metrology tools have been developed. For example, tools with multiple angles of illumination, shorter illumination wavelengths, broader ranges of illumination wavelengths, and more complete information acquisition from reflected signals (e.g., measuring multiple Mueller matrix elements in addition to the more conventional reflectivity or ellipsometric signals) have been developed. However, these approaches have not reliably overcome fundamental challenges associated with measurement of many advanced targets (e.g., complex 3D structures, structures smaller than 10 nm, structures employing opaque materials) and measurement applications (e.g., line edge roughness and line width roughness measurements). Atomic force microscopes (AFM) and scanning-tunneling microscopes (STM) are able to achieve atomic resolution, but they can only probe the surface of the specimen. In addition, AFM and STM microscopes require long scanning times. Scanning electron microscopes (SEM) achieve intermediate resolution levels, but are unable to penetrate structures to sufficient depth. Thus, high-aspect ratio holes are not characterized well. In addition, the required charging of the specimen has an adverse effect on imaging performance. X-ray reflectometers also suffer from penetration issues that limit their effectiveness when measuring high aspect ratio structures. To overcome penetration depth issues, traditional imaging techniques such as TEM, SEM etc., are employed with destructive sample preparation techniques such as focused ion beam (FIB) machining, ion milling, blanket or selective etching, etc. For example, transmission electron microscopes (TEM) achieve high resolution levels and are able to probe arbitrary depths, but TEM requires destructive sectioning of the specimen. Several iterations of material removal and measurement generally provide the information required to measure the critical metrology parameters throughout a three dimensional structure. But, these techniques require sample destruction and lengthy process times. The complexity and time to complete these types of measurements introduces large inaccuracies due to drift of etching and metrology steps. In addition, these techniques require numerous iterations which introduce registration errors. Transmission, Small-Angle X-Ray Scatterometry (T-SAXS) systems have shown promise to address challenging measurement applications. Current T-SAXS tools employ beam forming slits to form the illumination beam incident on the specimen under measurement. A beam divergence shaping slit is located in the beam path before or after the focusing optics to define the divergence angle of the beam. A beam shaping slit is located in the beam path after the beam divergence shaping slit to define the size of the beam spot incident on the wafer. Furthermore, T-SAXS measurements are performed over large ranges of angle of incidence. Registration of the location of the incident beam on metrology targets under measurement over a large range of angles of incidence is required to ensure reliable measurements. To further improve device performance, the semiconductor industry continues to focus on vertical integration, rather than lateral scaling. Thus, accurate measurement of complex, fully three dimensional structures is crucial to ensure viability and continued scaling improvements. Future metrology applications present challenges for metrology due to increasingly small resolution requirements, multi-parameter correlation, increasingly complex geometric structures including high aspect ratio structures, and increasing use of opaque materials. Thus, methods and systems for improved T-SAXS measurements are desired. Methods and systems for calibrating the location of x-ray beam incidence onto a specimen in a Transmission, Small-Angle X-ray Scatterometry (T-SAXS) metrology system are described herein. Practical T-SAXS measurements in a semiconductor manufacturing environment require measurements over a large range of angles of incidence and azimuth with respect to the surface of a specimen (e.g., semiconductor wafer) with a small beam spot size (e.g., less than 50 micrometers across the effective illumination spot). Calibrations that accurately locate the illumination beam on the desired target area on the surface of a semiconductor wafer over the full range of incidence and azimuth angles are described herein. In one aspect, the precise location of incidence of the illumination beam in two dimensions in the plane of the surface of the wafer is determined based on the interaction of the illumination beam with two or more occlusion elements. The center of the illumination beam is determined based on measured values of transmitted flux and a model of the interaction of the beam with the material and geometry of the occlusion element. In a further aspect, the position of incidence of the illumination beam is determined at any location on the wafer based on images measured by an alignment camera. The alignment camera registers the relative position of the illumination beam with respect to a feature of the occlusion element (e.g., edge or fiducial) and transfers that registration to one or more locations on the surface of the wafer. In addition, the position of the wafer in the Z-direction with respect to the Z-location of occlusion element is measured by changing the focus position of alignment camera until the lithographic features on the surface of wafer come into precise focus. The change i-sin focus position is indicative of the difference in Z-position between the occlusion element and the imaged location on the wafer. In some embodiments, an occlusion element is a knife edge structure. A knife edge structure is typically a thin, sharpened dense high-Z material such as tungsten carbide, with a straight edge that is oriented perpendicular to the direction of position to be calibrated. In addition, the surface of the knife edge is coincident with the surface of the wafer. This enables the alignment camera to focus on the same plane as the wafer, ensuring a good match between measured beam location at the wafer and the reference beam location at the knife edge as measured by the alignment camera. In some embodiments, the occlusion element is a precision cylinder of known diameter. In these embodiments, the occlusion of the beam is offset in the Z-direction by the radius of the cylinder. In these embodiments, an additional surface coincident with the central axis of the cylinder and marked with a fiducial reference mark is advantageous to refer the camera frame to the axis and radius of the cylindrical occlusion element. In these embodiments, the central axis of the cylindrical occlusion element is coincident with the surface of the wafer, and oriented perpendicular to the direction of position to be calibrated. In another aspect, the position of the axis of rotation associated with orienting a wafer over a range of angles of incidence is aligned to be co-planar with the surface of wafer and intersect the illumination beam at the measurement location to avoid excessive drift of the illumination spot over the range of angle of incidence. In some embodiments, the calibration of the position of the axis of rotation is achieved by aligning the center of the illumination beam with an occluding element and measuring transmitted flux at a plurality of different angles of incidence. The apparent motion of the occlusion element is determined from the measured flux based on the chosen occlusion model. A geometric model maps the apparent motion of the occlusion element to adjustments in stage configuration to achieve the desired alignment. In some other embodiments, the calibration of the position of the axis of rotation is achieved by locating a high-resolution x-ray camera with a focal plane aligned with the wafer plane of the wafer stage. The position of the illumination spot at the wafer plane is measured by the high resolution x-ray camera while the stage is rotated over a large range of angles of incidence. A mapping of the position of the illumination spot at the wafer plane as a function of the angle of incidence is generated based on the measurements. In some other embodiments, the calibration of the position of the axis of rotation of the stage reference frame is achieved by locating a small target on a wafer having a high diffraction efficiency. The strength of the diffracted orders is measured while the stage is rotated over a large range of angles of incidence. The strength of the diffracted orders is indicative of the misalignment between the illumination spot and the target as a function of angle of incidence. A mapping of the misalignment as a function of the angle of incidence is generated based on the measurements. In another aspect, a precise measurement of an AOI offset value between the normal angle of incidence of the illumination beam relative to the wafer surface and the zero angle of incidence as measured by the specimen positioning system is determined. In some embodiments, the AOI offset value is determined based on absorption measurements over a range of AOIs. In some embodiments, the AOI offset value is determined based on measurements of diffraction orders scattered from a calibration grating at two azimuth angles separated by 180 degrees. In another aspect, a precise measurement of an azimuth offset value between the zero azimuth angle of the wafer surface with respect to the illumination beam and the zero azimuth angle as measured by the specimen positioning system is determined. In addition, a precise measurement of an offset value between the center of the wafer surface and the center of rotation of rotary stage is determined. In another aspect, a precise calibration of the azimuth angle offset between wafer coordinates and stage coordinates is based on the position of the diffraction orders associated with measurements of a calibration grating having known response characteristics at one or more azimuth angles. In another aspect, the detector is calibrated with respect to the stage and the azimuth angle is calibrated with respect to stage simultaneously using multiple, calibrated angles of incidence along with well-known formulae for conical diffraction. In some embodiments, a beam shaping slit mechanism rotates about the beam axis in coordination with the orientation of the specimen to optimize the profile of the incident beam for each angle of incidence, azimuth angle, or both. In this manner, the beam shape is matched to the shape of the metrology target. Unfortunately, imperfections in the rotary actuator cause the beam shaping slit mechanism to precess about the axis of illumination beam. This causes the location of incidence of illumination beam to drift for different azimuth angles and corresponding beam slit angles. In a further aspect, a calibration map of X-Y stage offsets is determined based on measurements of the location of incidence of illumination beam for a range of azimuth angles and corresponding beam slit angles. In some embodiments, the measurements are performed by an x-ray camera having a focal plane at the location of the wafer surface. In some other embodiments, a calibration map of X-Y stage offsets is determined based on measurements of a small target by the detector for a range of azimuth angles and corresponding beam slit angles. In another aspect, the shape of the surface of the wafer in the Z-direction is mapped using any of the alignment camera, an optical proximity sensor, a capacitive proximity sensor, or any other suitable proximity sensor. In a further aspect, Z-actuators are controlled to adjust wafer Z-position, Rx orientation, Ry orientation, or any combination thereof, in response to the shape of the surface of the wafer at the location of incidence of illumination beam 116. In another further aspect, Z-actuators are controlled to adjust the wafer Z-position, Rx orientation, Ry orientation, or any combination thereof, to align the axis of rotation in azimuth with the stage reference frame such that a specific target remains in focus of the alignment camera over a range of azimuth angles. The foregoing is a summary and thus contains, by necessity, simplifications, generalizations and omissions of detail; consequently, those skilled in the art will appreciate that the summary is illustrative only and is not limiting in any way. Other aspects, inventive features, and advantages of the devices and/or processes described herein will become apparent in the non-limiting detailed description set forth herein. Reference will now be made in detail to background examples and some embodiments of the invention, examples of which are illustrated in the accompanying drawings. Methods and systems for calibrating the location of x-ray beam incidence onto a specimen in a Transmission, Small-Angle X-ray Scatterometry (T-SAXS) metrology system are described herein. Practical T-SAXS measurements in a semiconductor manufacturing environment require measurements over a large range of angles of incidence and azimuth with respect to the surface of a specimen (e.g., semiconductor wafer) with a small beam spot size (e.g., less than 50 micrometers across the effective illumination spot). Calibrations are that accurately locate the illumination beam on the desired target area on the surface of a semiconductor wafer over the full range of incidence and azimuth angles are presented herein. FIG. 1 illustrates an embodiment of a T-SAXS metrology tool 100 for measuring characteristics of a specimen in accordance with the exemplary methods presented herein. As shown in FIG. 1, the system 100 may be used to perform T-SAXS measurements over an inspection area 102 of a specimen 101 illuminated by an illumination beam spot. In the depicted embodiment, metrology tool 100 includes an x-ray illumination source 110 configured to generate x-ray radiation suitable for T-SAXS measurements. In some embodiments, the x-ray illumination source 110 is configured to generate wavelengths between 0.01 nanometers and 1 nanometer. In general, any suitable high-brightness x-ray illumination source capable of generating high brightness x-rays at flux levels sufficient to enable high-throughput, inline metrology may be contemplated to supply x-ray illumination for T-SAXS measurements. In some embodiments, an x-ray source includes a tunable monochromator that enables the x-ray source to deliver x-ray radiation at different, selectable wavelengths. In some embodiments, one or more x-ray sources emitting radiation with photon energy greater than 15 keV are employed to ensure that the x-ray source supplies light at wavelengths that allow sufficient transmission through the entire device as well as the wafer substrate. By way of non-limiting example, any of a particle accelerator source, a liquid anode source, a rotating anode source, a stationary, solid anode source, a microfocus source, a microfocus rotating anode source, a plasma based source, and an inverse Compton source may be employed as x-ray illumination source 110. In one example, an inverse Compton source available from Lyncean Technologies, Inc., Palo Alto, Calif. (USA) may be contemplated. Inverse Compton sources have an additional advantage of being able to produce x-rays over a range of photon energies, thereby enabling the x-ray source to deliver x-ray radiation at different, selectable wavelengths. Exemplary x-ray sources include electron beam sources configured to bombard solid or liquid targets to stimulate x-ray radiation. Methods and systems for generating high brightness, liquid metal x-ray illumination are described in U.S. Pat. No. 7,929,667, issued on Apr. 19, 2011, to KLA-Tencor Corp., the entirety of which is incorporated herein by reference. X-ray illumination source 110 produces x-ray emission over a source area having finite lateral dimensions (i.e., non-zero dimensions orthogonal to the beam axis. Focusing optics 111 focuses source radiation onto a metrology target located on specimen 101. The finite lateral source dimension results in finite spot size 102 on the target defined by the rays 117 coming from the edges of the source. In some embodiments, focusing optics 111 includes elliptically shaped focusing optical elements. A beam divergence control slit 112 is located in the beam path between focusing optics 111 and beam shaping slit mechanism 120. Beam divergence control slit 112 limits the divergence of the illumination provided to the specimen under measurement. An additional intermediate slit 113 is located in the beam path between beam divergence control slit 112 and beam shaping slit mechanism 120. Intermediate slit 113 provides additional beam shaping. In general, however, intermediate slit 113 is optional. Beam shaping slit mechanism 120 is located in the beam path immediately before specimen 101. In one aspect, the slits of beam shaping slit mechanism 120 are located in close proximity to specimen 101 to minimize the enlargement of the incident beam spot size due to beam divergence defined by finite source size. In one example, expansion of the beam spot size due to shadow created by finite source size is approximately one micrometer for a 10 micrometer x-ray source size and a distance of 25 millimeters between the beam shaping slits and specimen 101. In some embodiments, beam shaping slit mechanism 120 includes multiple, independently actuated beam shaping slits (i.e., blades). In one embodiment, beam shaping slit mechanism 120 includes four independently actuated beam shaping slits. These four beams shaping slits effectively block a portion of incoming beam 115 and generate an illumination beam 116 having a box shaped illumination cross-section. FIGS. 2 and 3 depict an end view of beam shaping slit mechanism 120 depicted in FIG. 1 in two different configurations. As illustrated in FIGS. 2 and 3, the beam axis is perpendicular to the drawing page. As depicted in FIG. 2, incoming beam 115 has a large cross-section. In some embodiments, incoming beam 115 has a diameter of approximately one millimeter. Furthermore, the location of incoming beam 115 within beam shaping slits 126-129 may have an uncertainty of approximately three millimeters due to beam pointing errors. To accommodate the size of the incoming beam and the uncertainty of the beam location, each slit has a length, L, of approximately six millimeters. As depicted in FIG. 2, each slit is moveable in a direction perpendicular to the beam axis. In the illustration of FIG. 2, slits 126-129 are located at a maximum distance from the beam axis (i.e., the slits are fully open and they are not restricting the light passing through beam shaping slit mechanism 120. FIG. 3 depicts slits 126-129 of beam shaping slit mechanism 120 in positions that block a portion of incoming beam 115, such that outgoing beam 116 delivered to the specimen under measurement has reduced size and well-defined shape. As depicted in FIG. 3, each of slits 126-129 has moved inward, toward the beam axis to achieve the desired output beam shape. Slits 126-129 are constructed from materials that minimize scattering and effectively block incident radiation. Exemplary materials include single crystal materials such as Germanium, Gallium Arsenide, Indium Phosphide, etc. Typically, the slit material is cleaved along a crystallographic direction, rather than sawn, to minimize scattering across structural boundaries. In addition, the slit is oriented with respect to the incoming beam such that the interaction between the incoming radiation and the internal structure of the slit material produces a minimum amount of scattering. The crystals are attached to each slit holder made of high density material (e.g., tungsten) for complete blocking of the x-ray beam on one side of the slit. In some embodiments, each slit has a rectangular cross-section having a width is approximately 0.5 millimeters and a height of approximately 1-2 millimeters. As depicted in FIG. 2, the length, L, of a slit is approximately 6 millimeters. In general, x-ray optics shape and direct x-ray radiation to specimen 101. In some examples, the x-ray optics include an x-ray monochromator to monochromatize the x-ray beam that is incident on the specimen 101. In some examples, the x-ray optics collimate or focus the x-ray beam onto measurement area 102 of specimen 101 to less than 1 milliradian divergence using multilayer x-ray optics. In these examples, the multilayer x-ray optics function as a beam monochromator, also. In some embodiments, the x-ray optics include one or more x-ray collimating mirrors, x-ray apertures, x-ray beam stops, refractive x-ray optics, diffractive optics such as zone plates, Montel optics, specular x-ray optics such as grazing incidence ellipsoidal mirrors, polycapillary optics such as hollow capillary x-ray waveguides, multilayer optics or systems, or any combination thereof. Further details are described in U.S. Patent Publication No. 2015/0110249, the content of which is incorporated herein by reference it its entirety. X-ray detector 119 collects x-ray radiation 114 scattered from specimen 101 and generates an output signals 135 indicative of properties of specimen 101 that are sensitive to the incident x-ray radiation in accordance with a T-SAXS measurement modality. In some embodiments, scattered x-rays 114 are collected by x-ray detector 119 while specimen positioning system 140 locates and orients specimen 101 to produce angularly resolved scattered x-rays. In some embodiments, a T-SAXS system includes one or more photon counting detectors with high dynamic range (e.g., greater than 105). In some embodiments, a single photon counting detector detects the position and number of detected photons. In some embodiments, the x-ray detector resolves one or more x-ray photon energies and produces signals for each x-ray energy component indicative of properties of the specimen. In some embodiments, the x-ray detector 119 includes any of a CCD array, a microchannel plate, a photodiode array, a microstrip proportional counter, a gas filled proportional counter, a scintillator, or a fluorescent material. In this manner the X-ray photon interactions within the detector are discriminated by energy in addition to pixel location and number of counts. In some embodiments, the X-ray photon interactions are discriminated by comparing the energy of the X-ray photon interaction with a predetermined upper threshold value and a predetermined lower threshold value. In one embodiment, this information is communicated to computing system 130 via output signals 135 for further processing and storage. In a further aspect, a T-SAXS system is employed to determine properties of a specimen (e.g., structural parameter values) based on one or more diffraction orders of scattered light. As depicted in FIG. 1, metrology tool 100 includes a computing system 130 employed to acquire signals 135 generated by detector 119 and determine properties of the specimen based at least in part on the acquired signals. In some examples, metrology based on T-SAXS involves determining the dimensions of the sample by the inverse solution of a pre-determined measurement model with the measured data. The measurement model includes a few (on the order of ten) adjustable parameters and is representative of the geometry and optical properties of the specimen and the optical properties of the measurement system. The method of inverse solve includes, but is not limited to, model based regression, tomography, machine learning, or any combination thereof. In this manner, target profile parameters are estimated by solving for values of a parameterized measurement model that minimize errors between the measured scattered x-ray intensities and modeled results. It is desirable to perform measurements at large ranges of angle of incidence and azimuth angle to increase the precision and accuracy of measured parameter values. This approach reduces correlations among parameters by extending the number and diversity of data sets available for analysis to include a variety of large-angle, out of plane orientations. For example, in a normal orientation, T-SAXS is able to resolve the critical dimension of a feature, but is largely insensitive to sidewall angle and height of a feature. However, by collecting measurement data over a broad range of out of plane angular orientations, the sidewall angle and height of a feature can be resolved. In other examples, measurements performed at large ranges of angle of incidence and azimuth angle provide sufficient resolution and depth of penetration to characterize high aspect ratio structures through their entire depth. Measurements of the intensity of diffracted radiation as a function of x-ray incidence angle relative to the wafer surface normal are collected. Information contained in the multiple diffraction orders is typically unique between each model parameter under consideration. Thus, x-ray scattering yields estimation results for values of parameters of interest with small errors and reduced parameter correlation. Each orientation of the illuminating x-ray beam 116 relative to the surface normal of a semiconductor wafer 101 is described by any two angular rotations of wafer 101 with respect to the x-ray illumination beam 115, or vice-versa. In one example, the orientation can be described with respect to a coordinate system fixed to the wafer. FIG. 4 depicts x-ray illumination beam 116 incident on wafer 101 at a particular orientation described by an angle of incidence, θ, and an azimuth angle, ϕ. Coordinate frame XYZ is fixed to the metrology system (e.g., illumination beam 116) and coordinate frame X′Y′Z′ is fixed to wafer 101. The Y axis is aligned in plane with the surface of wafer 101. X and Z are not aligned with the surface of wafer 101. Z′ is aligned with an axis normal to the surface of wafer 101, and X′ and Y′ are in a plane aligned with the surface of wafer 101. As depicted in FIG. 4, x-ray illumination beam 116 is aligned with the Z-axis and thus lies within the XZ plane. Angle of incidence, θ, describes the orientation of the x-ray illumination beam 116 with respect to the surface normal of the wafer in the XZ plane. Furthermore, azimuth angle, ϕ, describes the orientation of the XZ plane with respect to the X′Z′ plane. Together, θ and ϕ, uniquely define the orientation of the x-ray illumination beam 116 with respect to the surface of wafer 101. In this example, the orientation of the x-ray illumination beam with respect to the surface of wafer 101 is described by a rotation about an axis normal to the surface of wafer 101 (i.e., Z′ axis) and a rotation about an axis aligned with the surface of wafer 101 (i.e., Y axis). In some other examples, the orientation of the x-ray illumination beam with respect to the surface of wafer 101 is described by a rotation about a first axis aligned with the surface of wafer 101 and another axis aligned with the surface of wafer 101 and perpendicular to the first axis. As illustrated in FIG. 1, metrology tool 100 includes a specimen positioning system 140 configured to both align specimen 101 and orient specimen 101 over a large range of angles of incidence and azimuth angle with respect the illumination beam 116. In some embodiments, specimen positioning system 140 is configured to rotate specimen 101 over a large range of angles of rotation (e.g., at least 60 degrees) aligned in-plane with the surface of specimen 101. In this manner, angle resolved measurements of specimen 101 are collected by metrology system 100 over any number of locations and orientations on the surface of specimen 101. In one example, computing system 130 communicates command signals (not shown) to specimen positioning system 140 that indicate the desired position of specimen 101. In response, specimen positioning system 140 generates command signals to the various actuators of specimen positioning system 140 to achieve the desired positioning of specimen 101. FIG. 5 depicts a specimen positioning system 140 in one embodiment. As depicted in FIG. 5, specimen positioning system 140 includes a base frame 141, a lateral alignment stage 142, a stage reference frame 143, and a wafer stage 144. For reference purposes, the {XBF, YBF, ZBF} coordinate frame is attached to base frame 141, the {XNF, YNF, ZNF} coordinate frame is attached to lateral alignment stage 142, the {XRF, YRF, ZRF} coordinate frame is attached to stage reference frame 143, and the {XSF, YSF, ZSF} coordinate frame is attached to wafer stage 144. Wafer 101 is supported on wafer stage 144 by a tip-tilt-Z stage 156 including actuators 150A-C. A rotary stage 158 mounted to tip-tilt-Z stage 156 orients wafer 101 over a range of azimuth angles, ϕ, with respect to illumination beam 116. In the depicted embodiment, three linear actuators 150A-C are mounted to the wafer stage 144 and support rotary stage 158, which, in turn, supports wafer 101. Actuator 145 translates the lateral alignment stage 142 with respect to the base frame 141 along the XBF axis. Rotary actuator 146 rotates the stage reference frame 143 with respect to lateral alignment stage 142 about an axis of rotation 153 aligned with the YNF axis. Rotary actuator 146 orients wafer 101 over a range of angles of incidence, θ, with respect to illumination beam 116. Wafer stage actuators 147 and 148 translate the wafer stage 144 with respect to the stage reference frame 143 along the XRF and YRF axes, respectively. Actuators 150A-C operate in coordination to translate the rotary stage 158 and wafer 101 with respect to the wafer stage 144 in the ZSF direction and tip and tilt rotary stage 158 and wafer 101 with respect to the wafer stage 144 about axes coplanar with the XSF-YSF PLANE. ROTARY STAGE 158 ROTATES WAFER 101 ABOUT AN axis normal to the surface of wafer 101. In summary, wafer stage 144 is capable of moving the wafer 101 with respect to the illumination beam 116 such that illumination beam 116 may be incident at any location on the surface of wafer 101 (i.e., at least 300 millimeter range in XRF and YRF directions). Rotary actuator 146 is capable of rotating the stage reference frame 143 with respect to the illumination beam 116 such that illumination beam 116 may be incident at the surface of wafer 101 at any of a large range of angles of incidence (e.g., greater than two degrees). In one embodiment, rotary actuator 146 is configured to rotate stage reference frame 143 over a range of at least sixty degrees. Rotary stage 158 mounted to wafer stage 144 is capable of rotating the wafer 101 with respect to the illumination beam 116 such that illumination beam 116 may be incident at the surface of wafer 101 at any of a large range of azimuth angles (e.g., at least ninety degrees rotational range). In some other embodiments, lateral alignment stage 142 is removed and stage reference frame 143 is rotated with respect to base frame 141 by rotary actuator 146. In these embodiments, the x-ray illumination system includes one or more actuators that move one or more optical elements of the x-ray illumination system that cause the x-ray illumination beam 116 to move with respect to the base frame 141, for example, in the XBF direction. In these embodiments, movements of stage reference stage 143 for purposes of calibration as described herein are replaced by movements of one or more optical elements of the x-ray illumination system move the x-ray illumination beam to the desired position with respect to the axis of rotation 153, for example. In some embodiments, such as the embodiment depicted in FIG. 5, a specimen positioning system includes at least one beam occlusion element and an alignment camera employed to calibrate the location of incidence of the illumination beam and align the axis of rotation of the stage reference frame with respect to the illumination beam at the point of incidence of illumination beam with a wafer. The occlusion element(s) is mounted to the wafer stage coplanar with the surface of the wafer under measurement. The alignment camera is mounted to the stage reference frame, and thus rotates with the stage reference frame. In the embodiment depicted in FIG. 5, the occlusion elements are cylindrical pin shaped elements 151 and 152 mounted to wafer stage 144 such that the central axis of the cylindrical pin shaped elements 151 and 152 are approximately co-planar with the surface of wafer 101. As depicted in FIG. 5, cylindrical pin element 151 includes a central axis approximately aligned parallel with the YNF axis and cylindrical pin element 152 includes a central axis approximately aligned parallel with the XRF axis. Similar to a beam slit, the cylindrical pin occludes the beam by absorption of a large fraction of any impinging x-rays. Specimen positioning system 140 also includes an alignment camera 154 mounted to stage reference frame 143. Alignment camera 154 is configured to generate high resolution images of objects in its field of view, such as wafer 101. Alignment camera 154 also includes an auto-focus mechanism that maintains a sharp image focus by precisely moving the focal point of the camera by a measured distance. In this manner, alignment camera 154 can be used to measure relative distances between the stage reference frame to which the camera body is mounted and wafer 101 or cylindrical pin elements 151 and 152 imaged by the camera by monitoring the z-displacement of the focal point of the camera. In one aspect, the precise location of incidence of the illumination beam in two dimensions in the plane of the surface of the wafer is determined based on the interaction of the illumination beam with two or more occlusion elements. FIG. 7 is a diagram illustrative of the specimen positioning system 140 with the wafer stage moved to a position where the illumination beam 116 is occluded by the cylindrical pin element 151. The precise location of incidence of the illumination beam with respect to cylindrical pin 151 is determined based on transmitted flux measured by detector 119 as a function of the X position of cylindrical pin 151 with respect to illumination beam 116 (i.e., base frame 141). As depicted in FIG. 7, as cylindrical pin 151 is moved in the positive X-direction (in the direction of XBF), more and more of illumination beam 116 is occluded by cylindrical pin 151. As a result fewer photons reach detector 119. However, as cylindrical pin 151 is moved in the negative X-direction (opposite XBF), less and less of illumination beam 116 is occluded by cylindrical pin 151. Detector 119 generates signals 155 indicative of the measured flux as a function of X-position and the results are analyzed to identify the position of the cylindrical pin that corresponds with the center of illumination beam 116. FIG. 8 depicts a plot 170 illustrative of measured flux as a function of relative position of a cylindrical pin or, alternatively, a knife edge, with respect to illumination beam 116. The depicted relationship between measured flux 155 and relative position is a sigmoid function. In some examples, the beam center is determined to be the relative position of the cylindrical pin with respect to the illumination beam where the measured flux is halfway between the minimum flux value, FMIN, and the maximum flux value, FMAX. However, in some other examples, the beam center may be determined at another flux value different from the middle of the range of measured flux. In some examples, a more precise relationship is determined by modeling of the interaction of the beam with the material and geometry of the cylindrical pin or knife edge. In these examples, the modelled interaction is compared with the measured transmitted flux, and a fitting algorithm is used to determine the relative position of the cylindrical pin or knife edge with respect to the illumination beam that aligns with the beam center based on the fit of the measured results to the model. In one example, an estimate of the distance, ΔX, between a current position of cylindrical pin 151 with respect to the center of illumination beam 116 and a position of the cylindrical pin 151 that coincides with the beam center is based on the measured flux, FMEAS, the mid-point of the flux, FMID, and the inverse of the derivative of the measured flux as a function of cylindrical pin position as described by equation (1) Δ ⁢ ⁢ X = ∂ X ∂ F ⁢ ( F MEAS - F MID ) ( 1 ) and FMID is described by equation (2). F MID = F MIN + F MAX 2 ( 2 ) The maximum and minimum values of measured flux can be measured by scanning the wafer stage while measuring transmitted flux. Furthermore, the slope at the mid-point can also be estimated. Based on these quantities, an estimate of the change in centered position of the cylindrical pin is determined in accordance with equation (1) simply by measuring flux at one position. If necessary, the change in centered position can be determined iteratively to converge on a centered position. Since the beam has a centroid component in two directions (e.g., X and Y directions), two cylindrical pins each oriented perpendicular to the direction of the centroid component are measured. In the embodiment depicted in FIG. 7, cylindrical pin 151 is employed to locate the beam center with respect to the stage reference frame in the X-direction and cylindrical pin 152 is employed to locate the beam center with respect to the stage reference frame in the Y-direction. In general, more than two cylindrical pins may be utilized to generate redundancy and increase the accuracy of the calibration of the beam location. In a further aspect, the position of incidence of the illumination beam is determined at any location on the wafer based on images measured by an alignment camera. As depicted in FIG. 7, the center of the illumination beam 116 is aligned with the vertically and horizontally oriented cylindrical pins 151 and 152 as described hereinbefore. In the embodiment depicted in FIG. 7, a fiducial mark 157 is located co-planar with the central axis of cylindrical pin 151. Similarly, a fiducial mark is located co-planar with the central axis of cylindrical pin 152. At the location of beam center alignment with cylindrical pin 151, the position of the illumination beam 116 with respect to cylindrical pin 151, or fiducial 157 at or near the cylindrical pin, is recorded by alignment camera 154. This registers the relative position of the illumination beam with respect to a precise location in the field of view of the alignment camera (assuming no change in focus position). As depicted in FIG. 5, wafer 101 is moved within the field of view of alignment camera 154. Wafer 101 is moved such that a desired location (e.g., a fiducial mark) on the wafer is imaged within the field of view of alignment camera 154. The position of the illumination beam 116 with respect to the desired location is determined by alignment camera 154 based on the previous registration. In this manner, the position of the illumination beam 116 on wafer 101 in the X and Y direction is quickly estimated based on an image collected by the alignment camera 154. Similarly, the position of the wafer in the Z-direction with respect to the Z-location of cylindrical pin 151 is measured by changing the focus position of alignment camera 154 until the lithographic features on the surface of wafer 101 come into precise focus. The change i-sin focus position is indicative of the difference in Z-position between the cylindrical pin and the imaged location on the wafer. Actuators 150A-C may be employed to reposition wafer 101 in the Z-direction to relocate the imaged location to be in plane with the cylindrical pin (e.g. fiducial 157). In a further aspect, the position of incidence of the illumination beam is determined at any location on the wafer based on wafer stage coordinates. Once the center of the illumination beam is aligned with the vertical and horizontal cylindrical pins, and the position of the illumination beam with respect to the cylindrical pin, or a fiducial mark at or near the knife edge, is recorded by an alignment camera as described hereinbefore, the location of incidence of the illumination beam can be transferred to stage coordinates. As depicted in FIG. 5, wafer 101 is moved within the field of view of alignment camera 154. The movement of wafer 101 is measured by the position measurement system of wafer stage 144 (e.g., linear encoders, etc.) By moving wafer 101 to three or more desired locations (e.g., a fiducial mark) on the wafer imaged within the field of view of alignment camera 154, the position of the illumination beam with respect to the desired location is determined at each desired location, along with the position of the wafer in stage coordinates. Based on the known location of the illumination beam and stage coordinates at the three or more locations, a map is generated that relates stage coordinates to the location of incidence of the illumination beam. After locating the cylindrical pin 151 at the center of illumination beam 116 (in the X-direction), alignment camera 154 images the location of the cylindrical pin itself, or a fiducial mark located on or near the cylindrical pin, to establish a relationship between beam location and image location within the field of view of alignment camera 154. Since alignment camera 154 is located in a fixed, or repeatable, position with respect to the stage reference frame 143, the image registers the location of the illumination beam with respect to the stage reference frame 143, and thus serves as a reference for beam location in the X-direction. Moreover, alignment camera 154 establishes a precise focus position of the cylindrical pin itself, or a fiducial mark, to establish a precise Z-location of the cylindrical pin with respect to stage reference frame 143. Since the alignment camera 154 rotates with the stage reference frame, the focus position of the alignment camera 154 serves as a reference for Z-position of the cylindrical pin with respect to the stage reference frame. In some embodiments, an occlusion element is a knife edge structure. A knife edge structure is typically a thin, sharpened dense high-Z material such as tungsten carbide, with a straight edge that is oriented perpendicular to the direction of position to be calibrated. In addition, the edge of the knife edge is coincident with the surface of the wafer. This enables the alignment camera 154 to focus on the same plane as the wafer, ensuring a good match between measured beam location at the wafer and the reference beam location at the knife edge as measured by the alignment camera. In some embodiments, the occlusion element is a precision cylinder of known diameter as described hereinbefore. In these embodiments, the occlusion of the beam is offset in the Z-direction by the radius of the cylinder. In these embodiments, an additional surface coincident with the central axis of the cylinder and marked with a fiducial reference mark (e.g., fiducial 157) is advantageous to refer the camera frame to the axis and radius of the cylindrical occlusion element. In these embodiments, the central axis of the cylindrical occlusion element is coincident with the surface of the wafer, and oriented perpendicular to the direction of position to be calibrated as described hereinbefore. In general, an occlusion element may be linearly extended shape. In some examples, an occlusion element has a polygonal cross-section extended along a central axis of the polygon. In some examples, an occlusion element includes one or more planar surfaces that extend in a direction parallel to a central axis of the occlusion element. Since occluded flux is utilized to estimate the location of beam incidence, there is a risk that changes in flux in the illumination beam will be interpreted as a shift in position. In some embodiments, the flux of the illumination beam is measured immediately before, after, or simultaneously with the knife-edge measurements. Variations in illumination flux are compensated in analysis of the measured flux 155 to eliminate their influence on the measurement. To ensure measurement integrity, the location of incidence of illumination beam 116 on the surface of wafer 101 should remain stationary during measurements over a large range of angles of incidence and azimuth angles. To achieve this objective, the axis of rotation 153 of stage reference frame 143 must be approximately co-planar with the surface of wafer 101 at the measurement location. Furthermore, the axis of rotation 153 must be aligned with the illumination beam 116 in the XBF direction such that the axis of rotation 153 intersects the illumination beam 116 at the point of incidence of illumination beam 116 with wafer 101 at the measurement location. FIG. 6A depicts a top view of illumination beam 116 incident on wafer 101 as depicted in FIG. 5. FIG. 6A depicts an end view of rotational axis 153 in a state of alignment where rotational axis 153 intersects the illumination beam 116 at the point of incidence of illumination beam 116 with wafer 101 at location 103 on wafer 101. As depicted in FIG. 6A, as wafer 101 is rotated about rotational axis 153 over a large angle of incidence, θ, illumination beam 116 remains incident at location 103. Thus, in this scenario, the location of incidence of illumination beam 116 on the surface of wafer 101 remains stationary during measurements over a large range of angles of incidence. FIG. 6B depicts a top view of illumination beam 116 incident on wafer 101 as depicted in FIG. 5. FIG. 6B depicts an end view of rotational axis 153 in a state of alignment where rotational axis 153 is misaligned with the surface of wafer 101 by a distance ∂z. As depicted in FIG. 6B, as wafer 101 is rotated about rotational axis 153 over a large angle of incidence, θ, a portion of location 103 is no longer illuminated (i.e., some other portion of wafer 101 is illuminated instead. Thus, in this scenario, the location of incidence of illumination beam 116 on the surface of wafer 101 drifts during measurements over a large range of angles of incidence, which is highly undesirable. FIG. 6C depicts a top view of illumination beam 116 incident on wafer 101 as depicted in FIG. 5. FIG. 6C depicts an end view of rotational axis 153 in a state of alignment where rotational axis 153 is co-planar with the surface of wafer 101, but is offset from illumination beam 116 by a distance ∂x. As depicted in FIG. 6C, as wafer 101 is rotated about rotational axis 153 over a large angle of incidence, θ, a portion of location 103 is no longer illuminated (i.e., some other portion of wafer 101 is illuminated instead). Thus, in this scenario, the location of incidence of illumination beam 116 on the surface of wafer 101 drifts during measurements over a large range of angles of incidence, which is highly undesirable. In another aspect, a specimen positioning system is calibrated to align the axis of rotation of the stage reference frame to be co-planar with the surface of the wafer, knife edges, or other occlusion elements and also align the axis of rotation of the stage reference frame with respect to the illumination beam in a direction approximately parallel to the surface of the wafer (e.g., the XBF direction) such that the axis of rotation and the illumination beam intersect at the point of incidence of illumination beam on the surface of wafer, knife edge, or other occlusion element. In some embodiments, the calibration of the axis of rotation of the stage reference frame is achieved by aligning the center of the illumination beam with the X-direction occluding element (e.g., cylindrical pin 151) and measuring flux at a plurality of different rotational positions of the stage reference frame, θ. The apparent motion of the cylindrical pin in the X-direction (ΔX) is determined based on the chosen occlusion model as described hereinbefore (e.g., the sigmoid function depicted in FIG. 8, or another model). In addition, the apparent motion of the cylindrical pin in the X-direction is a function of 1) the distance of the cylindrical pin from the axis of rotation in the x-direction, ∂x, and in the z-direction, ∂z, 2) the distance from the beam center and the axis of rotation 153 in the x-direction, ∂n, and 3) the rotation angle about the axis of rotation 153 of the stage reference frame, θ. The relationship is described in equation (3).ΔX=∂x cos θ+∂z sin θ+∂n  (3) In one example, transmitted flux is measured at three angles of incidence, {−θ, 0, +Θ}. A linear system of equations described by equation (4) results from equation (3). [ Δ ⁢ ⁢ X + Δ ⁢ ⁢ X 0 Δ ⁢ ⁢ X - ] = [ 1 cos ⁢ ⁢ Θ sin ⁢ ⁢ Θ 1 1 0 1 cos ⁢ ⁢ Θ - sin ⁢ ⁢ Θ ] ⁡ [ ∂ n ∂ x ∂ z ] = A Θ ⁡ [ ∂ n ∂ x ∂ z ] ( 4 ) Equation (5) is obtained by inverting equation (4). Equation (5) solves for values of ∂n, ∂x, and ∂z from the apparent motion of the cylindrical pin in the X-direction. [ ∂ n ∂ x ∂ z ] = 1 2 ⁢ ( cos ⁢ ⁢ Θ - 1 ) ⁡ [ - 1 2 ⁢ cos ⁢ ⁢ Θ - 1 1 - 2 1 ( cos ⁢ ⁢ Θ - 1 ) sin ⁢ ⁢ Θ 0 - ( cos ⁢ ⁢ Θ - 1 ) sin ⁢ ⁢ Θ ] ⁡ [ Δ ⁢ ⁢ X + Δ ⁢ ⁢ X 0 Δ ⁢ ⁢ X - ] = A Θ - 1 ⁢ Δ X ( 5 ) Equation (5) combined with equation (2) solves for values of ∂n, ∂x, and ∂z from the apparent motion of the cylindrical pin in the X-direction determined from measured flux. In some examples, the solution for values of ∂n, ∂x, and ∂z is obtained iteratively as described by equation (6). w k + 1 = w k + ∂ X ∂ F ⁢ A Θ - 1 ⁡ [ F + - F MID F 0 - F MID F - - F MID ] , ( 6 ) where k is the iteration index and w is the vector [∂n, ∂x, and ∂z] of the values of the displacements of the actuators of specimen positioning system 140 required to align the axis of rotation 153 with the knife-edge 151 in the X and Z directions. The displacement, ∂n, is realized by actuator 145 moving the entire stage reference frame 143 with respect to the illumination beam 116 in the X-direction. The displacement, Ox, is realized by actuator 147 moving the cylindrical pin 151 back into alignment with the beam. The displacement, ∂z, is realized by actuators 150A-C moving the cylindrical pin in the Z-direction to align the axis of rotation 153 in plane with the central axis of the cylindrical pin in the Z-direction. Starting at an initial estimate, w0, the recursion of equation (6) will converge to a point where the axis of rotation 153 is aligned to the cylindrical pin 151. In general, equation (6) does not need to be applied exactly. The values of AΘ and ∂x/∂F may be approximated numerically. In other examples, other matrices may be used, provided the iteration is stable and converges to the correct value. In general, transmitted flux may be measured at any three or more different angles of incidence to determine values of displacements required to align the axis of rotation 153 with the knife-edge 151 in the X and Z directions. The selection of any three different angles of incidence results in a linear of system of equations that can be directly inverted. The selection of four efor more different angles of incidence results in an overdetermined linear system of equations that can be solved with a pseudoinverse algorithm to determine values of displacements required to align the axis of rotation 153 with the knife-edge 151 in the X and Z directions. The terms of the matrices illustrated in equations (4) and (5) depend on the selected angles of incidence. Thus, the terms will differ from equations (4) and (5) in examples where different angles of incidence are selected. For purposes of alignment of the axis of rotation 153, a knife edge may be considered to be infinitesimally thin in the Z-direction with a vertical edge in the Y-direction. However, in practice, a knife edge does have a finite thickness. The additional absorption due to the longer path length at large angles of incidence may be modeled to compensate for this effect. In other embodiments where a cylindrical occlusion element is employed, the recursion described in equation (6) is applied, however, upon convergence, the radius, ρ, of the cylindrical occlusion element is subtracted from the offset in the X-direction to arrive at the correct alignment. For an idealized beam occlusion element and axis of rotation, it would be sufficient to have only one beam occlusion element for beam calibration. Depending on the requirements of the system, however, multiple beam occlusion may be required. By aligning edges of multiple occlusion elements, it is possible to deduce any deviation of the axis of rotation from the nominal YNF axis. Also, multiple identical occlusion elements allow the calibration of an edge from the right and the left, or up and down, helping eliminate systematic errors in the imaged edges (i.e., imaged by alignment camera 154) and the apparent edge deduced from the occluded flux change. In some other embodiments, the calibration of the axis of rotation of the stage reference frame is achieved by locating a high-resolution x-ray camera having a focal plane aligned with the wafer plane of the wafer stage. The position of the illumination spot at the wafer plane is measured by the high resolution x-ray camera while the stage reference frame is rotated over a large range of angles of incidence. A mapping of the position of the illumination spot at the wafer plane as a function of the angle of incidence is generated based on the measurements. During measurements, the wafer stage is commanded to move in accordance with the mapping to maintain the same position of the illumination spot for all angles of incidence. In some other embodiments, the calibration of the axis of rotation of the stage reference frame is achieved by locating a small target (i.e., on the order of the illumination spot size) on a wafer having a high diffraction efficiency. The strength of the diffracted orders is measured by detector 119 while the stage reference frame is rotated over a large range of angles of incidence. The strength of the diffracted orders is indicative of the misalignment between the illumination spot and the target as a function of angle of incidence. A mapping of the misalignment as a function of the angle of incidence is generated based on the measurements. During measurements, the wafer stage is commanded to move in accordance with the mapping to maintain the same position of the illumination spot for all angles of incidence. In another aspect, a precise measurement of an AOI offset value between the normal (i.e., zero) angle of incidence of the illumination beam relative to the wafer surface and the zero angle of incidence as measured by the specimen positioning system (i.e., stage coordinates) is determined. In some embodiments, the AOI offset value is determined based on absorption measurements over a range of AOIs. In one embodiment, an unpatterned area on wafer 101 is subjected to a scatterometry measurement by system 100 over a range of angles of incidence. The relative absorption of the wafer is determined based on the measured intensities of any or all of the diffraction orders as a function of the angle of incidence. Absorption follows Beer's exponential law, α=e−2βk0L, where L is the absorption length, β is the index of extinction of the material, and k0 is the wavenumber. Furthermore, the absorption length is a geometric function of angle of incidence, L=T cos θ, where T is the thickness of the measured wafer. In some examples, a model of the expected response (e.g., Beer's law) is fit to the measured flux data to determine the offset between the AOI measured by stage metrology (e.g., a rotary encoder corresponding to actuator 146) and the measured AOI at detector 119. The offset is applied by stage positioning system 140 to correctly position wafer 101 at a desired measurement AOI based on stage metrology. In some embodiments, the AOI offset value is determined based on measurements of diffraction orders scattered from a calibration grating at two azimuth angles separated by 180 degrees. FIG. 9A depicts a grating structure 171 measured by T-SAXS system 100 at an azimuth angle of zero. FIG. 9B depicts the same grating structure 171 measured by T-SAXS system 100 at an azimuth angle of 180 degrees. As illustrated in FIGS. 9A and 9B, the grating structure itself is tilted at an oblique angle □, with respect to the surface of the wafer. Although the illumination beam 116 is incident on the surface of the wafer at the same AOI for both azimuth angles, the illumination beam is incident on the tilted grating structures at different angles. Thus, by measuring the target at complimentary angles (i.e., azimuth angles separated by 180 degrees), the AOI offset induced by the specimen positioning system is maintained, while the AOI offset due to tilt of the grating structure is inverted. FIG. 10 depicts a plot indicative of measured intensity of one diffraction order detected by detector 119 for a measurement 172 performed at a zero azimuth angle and another measurement 173 performed at an azimuth angle of 180 degrees. As depicted in FIG. 10, the symmetry point of measurements 172 and 173 indicates the AOI offset of the stage positioning system 140. The offset is applied by stage positioning system 140 to correctly position wafer 101 at a desired measurement AOI based on stage metrology. In addition, the difference between the peak value of each scattering curve and the symmetry point is indicative of the tilt angle, α, associated with the measured structure. In this manner, calibration of an AOI offset is decoupled from an angular offset of the target structure itself by measuring one or more diffraction orders of a sample over a range of angles of incidence and two azimuth angles separated by 180 degrees. In general, any combination of scattered orders could be used to separate angular offsets associated with tilt of the measured structure from angular offsets associated with the specimen positioning system. Utilizing more orders increases measurement accuracy and robustness. This set of measurements provides an estimate of a value of a critical metrology parameter (e.g., etch hole tilt) without prior knowledge of the specific structure and is robust to systematic variation due to the differential nature of the measurement. Additional examples of robust and accurate x-ray based measurements are described in U.S. Patent Publication No. 2015/0117610 by Andrei Veldman, the contents of which are incorporated by reference herein in their entirety. In another aspect, a precise measurement of an azimuth offset value between the zero azimuth angle of the wafer surface with respect to the illumination beam and the zero azimuth angle as measured by the specimen positioning system (i.e., stage coordinates) is determined. In addition, a precise measurement of an offset value between the center of the wafer surface and the center of rotation of rotary stage 158 is determined. In some embodiments, alignment camera 154 captures images of known points (e.g., fiducials) located at different locations on wafer 101. Wafer 101 is moved by X actuator 147, Y actuator 148, and rotational actuator 149 to the different locations of the known points. From the images of the known points and the stage metrology coordinates associated with each of the images, a simple rigid body model is computed that determines the center point of rotation in wafer coordinates. In addition, an azimuth angle offset that characterizes the misalignment between zero azimuth in wafer coordinates and zero azimuth in stage coordinates is also determined based on the rigid body model. The azimuth angle offset is applied to rotary actuator 149 by stage positioning system 140 to correctly position wafer 101 at a desired azimuth angle based on stage metrology. In some other embodiments, a low resolution camera estimates the center of rotation of images that have been rotated and translated by a known amount using well-known image registration techniques. In another aspect, a precise calibration of the azimuth angle offset between wafer coordinates and stage coordinates is based on the position of the diffraction orders associated with measurements of a calibration grating at one or more azimuth angles. The calibration grating has a known grating direction. In one example, the diffraction pattern is measured by detector 119 for different azimuth angles. The azimuth angle is adjusted until the expected diffraction pattern is measured at detector 119. The azimuth angle associated with this measurement is the azimuth angle offset. If the detector is aligned with the stage, one incidence angle is sufficient to calibrate the azimuth angle of the wafer relative to the stage. In another aspect, the detector is calibrated with respect to the stage and the azimuth angle is calibrated with respect to stage simultaneously using multiple, calibrated angles of incidence along with well-known formulae for conical diffraction. The detected diffraction orders move along a prescribed manifold as AOI is changed for a given azimuth angle at the stage. But, the rotational offset of the detector will appear as a constant offset. In the depicted embodiments, beam shaping slit mechanism 120 is configured to rotate about the beam axis in coordination with the orientation of the specimen to optimize the profile of the incident beam for each angle of incidence, azimuth angle, or both. In this manner, the beam shape is matched to the shape of the metrology target. As depicted in FIG. 5, rotary actuator 122 rotates frame 120 and all attached mechanisms, actuators, sensors, and slits about the axis of illumination beam 116. Unfortunately, imperfections in rotary actuator 120 cause beam shaping slit mechanism 120 to precess about the axis of illumination beam 116 as the beam shaping slit mechanism 120 rotates with respect to flight tube 118. This causes the location of incidence of illumination beam 116 to drift for different azimuth angles and corresponding beam slit angles. In a further aspect, a calibration map of X-Y stage offsets is determined based on measurements of the location of incidence of illumination beam 116 for a range of azimuth angles and corresponding beam slit angles. In some embodiments, the measurements are performed by an x-ray camera having a focal plane at the location of the wafer surface. As the azimuth angle and corresponding beam slit angle is changed the location of incidence of illumination beam 116 is recorded. Based on the functional relationship between azimuth angle and incidence location, a calibration map is generated that provides X-Y stage offsets that maintain the same incidence location for any azimuth angle. In some other embodiments, a calibration map of X-Y stage offsets is determined based on measurements of a small target (i.e., on the order of the illumination spot size) by detector 119 for a range of azimuth angles and corresponding beam slit angles. The target has high diffraction efficiency. The measured intensity of the diffraction orders is indicative of misalignment between the target and the location of incidence of illumination beam 116 for each azimuth angle and corresponding beam slit angle. Based on the functional relationship between azimuth angle and the measured misalignment, a calibration map is generated that provides X-Y stage offsets that maintain the same incidence location for any azimuth angle. In another aspect, the shape of the surface of the wafer in the Z-direction is mapped using any of the alignment camera, an optical proximity sensor, a capacitive proximity sensor, or any other suitable proximity sensor. In some examples, the wafer surface is mapped on the front side (i.e., patterned side) of the wafer. In some other examples, the wafer surface is mapped on the back side of the wafer, provided the thickness of the wafer is sufficiently uniform or well modeled. In some examples, the wafer map is modeled using a number of standard interpolators (e.g., polynomial basis functions, rational functions, neural networks, etc.). Furthermore, it is possible to couple the lateral displacements and the height displacements using an analytical or numerical bending model of the wafer. In a further aspect, the Z-actuators 150A-C are controlled to adjust the Z-position, Rx orientation, Ry orientation, or any combination thereof, in response to the shape of the surface of the wafer at the location of incidence of illumination beam 116. In one example, the tilt of the wafer is corrected by Z-actuators 150A-C. The tilt correction may be based on a map of wafer tilt or a value of tilt measured locally. In another further aspect, the Z-actuators 150A-C are controlled to adjust the Z-position, Rx orientation, Ry orientation, or any combination thereof, to align the axis of rotation in azimuth with the stage reference frame 143. In one example, Z-actuators 150A-C are adjusted such that a specific target remains in focus of the alignment camera 154 over a range of azimuth angles. To perform this calibration, the wafer stage translates wafer 101 in the X and Y directions to maintain the target in the field of view of the alignment camera 154 for all azimuth angles. In general, it is not possible to calibrate for all offset effects. Calibration to remove the largest deviation is typically chosen and remaining offsets are either ignored or handled by stage maps that account for non-idealities in the wafer and stage. In addition, changes in temperature and air pressure or any other ambient condition may have an effect on the positioning of the illumination beam. In some embodiments, beam motion is correlated to these variables the position of the beam is adjusted based on measured temperature and pressure and the correlation model. In general, specimen positioning system 140 may include any suitable combination of mechanical elements to achieve the desired linear and angular positioning performance, including, but not limited to goniometer stages, hexapod stages, angular stages, and linear stages. In general, the focal plane of the illumination optics system is optimized for each measurement application. In this manner, system 100 is configured to locate the focal plane at various depths within the specimen depending on the measurement application. In one example, the specimen positioning system 140 is configured to move specimen 101 in the z-direction to locate the wafer within the focal plane of the optical system at the desired depth within specimen 101. In some embodiments, x-ray illumination source 110, focusing optics 111, slits 112 and 113, or any combination thereof, are maintained in the same atmospheric environment as specimen 101 (e.g., gas purge environment). However, in some embodiments, the optical path length between and within any of these elements is long and X-ray scattering in air contributes noise to the image on the detector. Hence in some embodiments, any of x-ray illumination source 110, focusing optics 111, and slits 112 and 113 are maintained in a localized, vacuum environment separated from one another and the specimen (e.g., specimen 101) by vacuum windows. Similarly, in some embodiments, x-ray detector 119 is maintained in the same atmospheric environment as specimen 101 (e.g., gas purge environment). However, in some embodiments, the distance between specimen 101 and x-ray detector 119 is lengthy and X-ray scattering in air contributes noise to the detected signals. Hence in some embodiments, one or more of the x-ray detectors is maintained in a localized, vacuum environment separated from the specimen (e.g., specimen 101) by a vacuum window. FIG. 11 is a diagram illustrative of a vacuum chamber 160 containing x-ray illumination source 110, vacuum chamber 162 containing focusing optics 111, and vacuum chamber 163 containing slits 112 and 113. The openings of each vacuum chamber are covered by vacuum windows. For example, the opening of vacuum chamber 160 is covered by vacuum window 161. Similarly, the opening of vacuum chamber 163 is covered by vacuum window 164. The vacuum windows may be constructed of any suitable material that is substantially transparent to x-ray radiation (e.g., Kapton, Beryllium, etc.). A suitable vacuum environment is maintained within each vacuum chamber to minimize scattering of the illumination beam. A suitable vacuum environment may include any suitable level of vacuum, any suitable purged environment including a gas with a small atomic number (e.g., helium), or any combination thereof. In this manner, as much of the beam path as possible is located in vacuum to maximize flux and minimize scattering. In some embodiments, the entire optical system, including specimen 101, is maintained in vacuum. However, in general, the costs associated with maintaining specimen 101 in vacuum are high due to the complexities associated with the construction of specimen positioning system 140. In another further aspect, beam shaping slit mechanism 120 is mechanically integrated with vacuum chamber 163 to minimize the beam path length subject to the atmospheric environment. In general, it is desirable to encapsulate as much of the beam as possible in vacuum before incidence with specimen 101. In some embodiments, the vacuum beam line extends into a hollow, cylindrically shaped cavity at the input of beam shaping slit mechanism 120. Vacuum window 164 is located at the output of vacuum chamber 163 within beam shaping slit mechanism 120 such that incoming beam 115 remains in vacuum within a portion of beam shaping slit mechanism 120, then passes through vacuum window 164 before interaction with any of slits 126-129 and specimen 101. In the embodiment depicted in FIG. 1, focusing optics 111, slits 112 and 113, and beam shaping slit mechanism 120 are maintained in a controlled environment (e.g., vacuum) within a flight tube 118. In another further aspect, computing system 130 is configured to generate a structural model (e.g., geometric model, material model, or combined geometric and material model) of a measured structure of a specimen, generate a T-SAXS response model that includes at least one geometric parameter from the structural model, and resolve at least one specimen parameter value by performing a fitting analysis of T-SAXS measurement data with the T-SAXS response model. The analysis engine is used to compare the simulated T-SAXS signals with measured data thereby allowing the determination of geometric as well as material properties such as electron density of the sample. In the embodiment depicted in FIG. 1, computing system 130 is configured as a model building and analysis engine configured to implement model building and analysis functionality as described herein. FIG. 12 is a diagram illustrative of an exemplary model building and analysis engine 180 implemented by computing system 130. As depicted in FIG. 12, model building and analysis engine 180 includes a structural model building module 181 that generates a structural model 182 of a measured structure of a specimen. In some embodiments, structural model 182 also includes material properties of the specimen. The structural model 182 is received as input to T-SAXS response function building module 183. T-SAXS response function building module 183 generates a T-SAXS response function model 184 based at least in part on the structural model 182. In some examples, the T-SAXS response function model 184 is based on x-ray form factors,F({right arrow over (q)})=∫ρ({right arrow over (r)})e−i{right arrow over (q)}·{right arrow over (r)}d{right arrow over (r)}  (7)where F is the form factor, q is the scattering vector, and ρ(r) is the electron density of the specimen in spherical coordinates. The x-ray scattering intensity is then given byI(q{right arrow over ( )})=F*F.  (8)T-SAXS response function model 184 is received as input to fitting analysis module 185. The fitting analysis module 185 compares the modeled T-SAXS response with the corresponding measured data to determine geometric as well as material properties of the specimen. In some examples, the fitting of modeled data to experimental data is achieved by minimizing a chi-squared value. For example, for T-SAXS measurements, a chi-squared value can be defined as χ SAXS 2 = 1 N SAXS ⁢ ∑ j N SAXS ⁢ ( S j SAXS ⁢ ⁢ model ⁡ ( v 1 , … ⁢ , v L ) - S j SAXS ⁢ ⁢ experiment ) 2 σ SAXS , j 2 ( 9 ) Where, SjSAXS experiment is the measured T-SAXS signals 126 in the “channel” j, where the index j describes a set of system parameters such as diffraction order, energy, angular coordinate, etc. SjSAXS model(v1, . . . , vL) is the modeled T-SAXS signal Sj for the “channel” j, evaluated for a set of structure (target) parameters v1, . . . , vL, where these parameters describe geometric (CD, sidewall angle, overlay, etc.) and material (electron density, etc.). σSAXS,j is the uncertainty associated with the jth channel. NSAXS is the total number of channels in the x-ray metrology. L is the number of parameters characterizing the metrology target. Equation (9) assumes that the uncertainties associated with different channels are uncorrelated. In examples where the uncertainties associated with the different channels are correlated, a covariance between the uncertainties, can be calculated. In these examples a chi-squared value for T-SAXS measurements can be expressed as χ SAXS 2 = 1 N SAXS ⁢ ( S -> j SAXS . ⁢ model ⁡ ( v 1 , … ⁢ , v M ) - S -> j SAXS . ⁢ experiment ) T ⁢ V SAXS - 1 ⁡ ( S -> j SAXS . ⁢ model ⁡ ( v 1 , … ⁢ , v M ) - S -> j SAXS . ⁢ experiment ) ( 10 ) where, VSAXS is the covariance matrix of the SAXS channel uncertainties, and T denotes the transpose. In some examples, fitting analysis module 185 resolves at least one specimen parameter value by performing a fitting analysis on T-SAXS measurement data 135 with the T-SAXS response model 184. In some examples, χSAXS2 is optimized. As described hereinbefore, the fitting of T-SAXS data is achieved by minimization of chi-squared values. However, in general, the fitting of T-SAXS data may be achieved by other functions. The fitting of T-SAXS metrology data is advantageous for any type of T-SAXS technology that provides sensitivity to geometric and/or material parameters of interest. Specimen parameters can be deterministic (e.g., CD, SWA, etc.) or statistical (e.g., rms height of sidewall roughness, roughness correlation length, etc.) as long as proper models describing T-SAXS beam interaction with the specimen are used. In general, computing system 130 is configured to access model parameters in real-time, employing Real Time Critical Dimensioning (RTCD), or it may access libraries of pre-computed models for determining a value of at least one specimen parameter value associated with the specimen 101. In general, some form of CD-engine may be used to evaluate the difference between assigned CD parameters of a specimen and CD parameters associated with the measured specimen. Exemplary methods and systems for computing specimen parameter values are described in U.S. Pat. No. 7,826,071, issued on Nov. 2, 2010, to KLA-Tencor Corp., the entirety of which is incorporated herein by reference. In some examples, model building and analysis engine 180 improves the accuracy of measured parameters by any combination of feed sideways analysis, feed forward analysis, and parallel analysis. Feed sideways analysis refers to taking multiple data sets on different areas of the same specimen and passing common parameters determined from the first dataset onto the second dataset for analysis. Feed forward analysis refers to taking data sets on different specimens and passing common parameters forward to subsequent analyses using a stepwise copy exact parameter feed forward approach. Parallel analysis refers to the parallel or concurrent application of a non-linear fitting methodology to multiple datasets where at least one common parameter is coupled during the fitting. Multiple tool and structure analysis refers to a feed forward, feed sideways, or parallel analysis based on regression, a look-up table (i.e., “library” matching), or another fitting procedure of multiple datasets. Exemplary methods and systems for multiple tool and structure analysis is described in U.S. Pat. No. 7,478,019, issued on Jan. 13, 2009, to KLA-Tencor Corp., the entirety of which is incorporated herein by reference. In another further aspect, an initial estimate of values of one or more parameters of interest is determined based on T-SAXS measurements performed at a single orientation of the incident x-ray beam with respect to the measurement target. The initial, estimated values are implemented as the starting values of the parameters of interest for a regression of the measurement model with measurement data collected from T-SAXS measurements at multiple orientations. In this manner, a close estimate of a parameter of interest is determined with a relatively small amount of computational effort, and by implementing this close estimate as the starting point for a regression over a much larger data set, a refined estimate of the parameter of interest is obtained with less overall computational effort. In another aspect, metrology tool 100 includes a computing system (e.g., computing system 130) configured to implement beam control functionality as described herein. In the embodiment depicted in FIG. 1, computing system 130 is configured as a beam controller operable to control any of the illumination properties such as intensity, divergence, spot size, polarization, spectrum, and positioning of the incident illumination beam 116. As illustrated in FIG. 1, computing system 130 is communicatively coupled to detector 119. Computing system 130 is configured to receive measurement data 135 from detector 119. In one example, measurement data 135 includes an indication of the measured response of the specimen (i.e., intensities of the diffraction orders). Based on the distribution of the measured response on the surface of detector 119, the location and area of incidence of illumination beam 116 on specimen 101 is determined by computing system 130. In one example, pattern recognition techniques are applied by computing system 130 to determine the location and area of incidence of illumination beam 116 on specimen 101 based on measurement data 135. In some examples, computing system 130 communicates command signals 137 to x-ray illumination source 110 to select the desired illumination wavelength, or redirect the x-ray emission. In some examples, computing system 130 communicates command signals 136 to beam shaping slit mechanism 120 to change the beam spot size such that incident illumination beam 116 arrives at specimen 101 with the desired beam spot size and orientation. In one example, command signals 136 cause rotary actuator 122, depicted in FIG. 5, to rotate beam shaping slit mechanism 120 to a desired orientation with respect to specimen 101. In another example, command signals 136 cause actuators associated with each of slits 126-129 to change position to reshape the incident beam 116 to a desired shape and size. In some other examples, computing system 130 communicates a command signal to wafer positioning system 140 to position and orient specimen 101 such that incident illumination beam 116 arrives at the desired location and angular orientation with respect to specimen 101. In a further aspect, T-SAXS measurement data is used to generate an image of a measured structure based on the measured intensities of the detected diffraction orders. In some embodiments, a T-SAXS response function model is generalized to describe the scattering from a generic electron density mesh. Matching this model to the measured signals, while constraining the modelled electron densities in this mesh to enforce continuity and sparse edges, provides a three dimensional image of the sample. Although, geometric, model-based, parametric inversion is preferred for critical dimension (CD) metrology based on T-SAXS measurements, a map of the specimen generated from the same T-SAXS measurement data is useful to identify and correct model errors when the measured specimen deviates from the assumptions of the geometric model. In some examples, the image is compared to structural characteristics estimated by a geometric, model-based parametric inversion of the same scatterometry measurement data. Discrepancies are used to update the geometric model of the measured structure and improve measurement performance. The ability to converge on an accurate parametric measurement model is particularly important when measuring integrated circuits to control, monitor, and trouble-shoot their manufacturing process. In some examples, the image is a two dimensional (2-D) map of electron density, absorptivity, complex index of refraction, or a combination of these material characteristics. In some examples, the image is a three dimensional (3-D) map of electron density, absorptivity, complex index of refraction, or a combination of these material characteristics. The map is generated using relatively few physical constraints. In some examples, one or more parameters of interest, such as critical dimension (CD), sidewall angle (SWA), overlay, edge placement error, pitch walk, etc., are estimated directly from the resulting map. In some other examples, the map is useful for debugging the wafer process when the sample geometry or materials deviate outside the range of expected values contemplated by a parametric structural model employed for model-based CD measurement. In one example, the differences between the map and a rendering of the structure predicted by the parametric structural model according to its measured parameters are used to update the parametric structural model and improve its measurement performance. Further details are described in U.S. Patent Publication No. 2015/0300965, the content of which is incorporated herein by reference it its entirety. Additional details are described in U.S. Patent Publication No. 2015/0117610, the content of which is incorporated herein by reference it its entirety. In a further aspect, model building and analysis engine 180 is employed to generate models for combined x-ray and optical measurement analysis. In some examples, optical simulations are based on, e.g., rigorous coupled-wave analysis (RCWA) where Maxwell's equations are solved to calculate optical signals such as reflectivities for different polarizations, ellipsometric parameters, phase change, etc. Values of one or more parameters of interest are determined based on a combined fitting analysis of the detected intensities of the x-ray diffraction orders at the plurality of different angles of incidence and detected optical intensities with a combined, geometrically parameterized response model. The optical intensities are measured by an optical metrology tool that may or may not be mechanically integrated with an x-ray metrology system, such as systems 100 depicted in FIG. 1. Further details are described in U.S. Patent Publication No. 2014/0019097 and U.S. Patent Publication No. 2013/0304424, the contents of each are incorporated herein by reference it their entirety. In general, a metrology target is characterized by an aspect ratio defined as a maximum height dimension (i.e., dimension normal to the wafer surface) divided by a maximum lateral extent dimension (i.e., dimension aligned with the wafer surface) of the metrology target. In some embodiments, the metrology target under measurement has an aspect ratio of at least twenty. In some embodiments, the metrology target has an aspect ratio of at least forty. It should be recognized that the various steps described throughout the present disclosure may be carried out by a single computer system 130 or, alternatively, a multiple computer system 130. Moreover, different subsystems of the system 100, such as the specimen positioning system 140, may include a computer system suitable for carrying out at least a portion of the steps described herein. Therefore, the aforementioned description should not be interpreted as a limitation on the present invention but merely an illustration. Further, the one or more computing systems 130 may be configured to perform any other step(s) of any of the method embodiments described herein. In addition, the computer system 130 may be communicatively coupled to the x-ray illumination source 110, beam shaping slit mechanism 120, specimen positioning system 140, and detector 119 in any manner known in the art. For example, the one or more computing systems 130 may be coupled to computing systems associated with the x-ray illumination source 110, beam shaping slit mechanism 120, specimen positioning system 140, and detector 119, respectively. In another example, any of the x-ray illumination source 110, beam shaping slit mechanism 120, specimen positioning system 140, and detector 119 may be controlled directly by a single computer system coupled to computer system 130. The computer system 130 may be configured to receive and/or acquire data or information from the subsystems of the system (e.g., x-ray illumination source 110, beam shaping slit mechanism 120, specimen positioning system 140, detector 119, and the like) by a transmission medium that may include wireline and/or wireless portions. In this manner, the transmission medium may serve as a data link between the computer system 130 and other subsystems of the system 100. Computer system 130 of the metrology system 100 may be configured to receive and/or acquire data or information (e.g., measurement results, modeling inputs, modeling results, etc.) from other systems by a transmission medium that may include wireline and/or wireless portions. In this manner, the transmission medium may serve as a data link between the computer system 130 and other systems (e.g., memory on-board metrology system 100, external memory, or external systems). For example, the computing system 130 may be configured to receive measurement data (e.g., signals 135) from a storage medium (i.e., memory 132 or 190) via a data link. For instance, spectral results obtained using detector 119 may be stored in a permanent or semi-permanent memory device (e.g., memory 132 or 190). In this regard, the measurement results may be imported from on-board memory or from an external memory system. Moreover, the computer system 130 may send data to other systems via a transmission medium. For instance, specimen parameter values 186 determined by computer system 130 may be stored in a permanent or semi-permanent memory device (e.g., memory 190). In this regard, measurement results may be exported to another system. Computing system 130 may include, but is not limited to, a personal computer system, mainframe computer system, workstation, image computer, parallel processor, or any other device known in the art. In general, the term “computing system” may be broadly defined to encompass any device having one or more processors, which execute instructions from a memory medium. Program instructions 134 implementing methods such as those described herein may be transmitted over a transmission medium such as a wire, cable, or wireless transmission link. For example, as illustrated in FIG. 1, program instructions stored in memory 132 are transmitted to processor 131 over bus 133. Program instructions 134 are stored in a computer readable medium (e.g., memory 132). Exemplary computer-readable media include read-only memory, a random access memory, a magnetic or optical disk, or a magnetic tape. FIG. 13 illustrates a method 200 suitable for implementation by the metrology system 100 of the present invention. In one aspect, it is recognized that data processing blocks of method 200 may be carried out via a pre-programmed algorithm executed by one or more processors of computing system 130. While the following description is presented in the context of metrology system 100, it is recognized herein that the particular structural aspects of metrology system 100 do not represent limitations and should be interpreted as illustrative only. In block 201, a diffraction grating disposed on a semiconductor wafer is positioned in a path of an x-ray illumination beam at a plurality of angles of incidence at a first azimuth angle and also at the plurality of angles of incidence at a second azimuth angle. The first azimuth angle and the second azimuth angle are separated by one hundred and eighty degrees. In block 202, a diffraction order response signal associated with a measurement of the diffraction grating at the plurality of angles of incidence is detected at both the first azimuth angle and the second azimuth angle. In block 203, an angle of incidence (AOI) offset value is determined. The AOI offset is the angle between a normal angle of incidence of the x-ray illumination beam relative to the wafer surface and a value of zero angle of incidence as measured by a wafer positioning system that positions the diffraction grating in the path of the x-ray illumination beam. The AOI offset value is the value of angle of incidence measured by the wafer positioning system at a point of symmetry associated with an intersection of the detected diffraction order response signal associated with the first azimuth angle and the second azimuth angle. In some embodiments, scatterometry measurements as described herein are implemented as part of a fabrication process tool. Examples of fabrication process tools include, but are not limited to, lithographic exposure tools, film deposition tools, implant tools, and etch tools. In this manner, the results of a T-SAXS analysis are used to control a fabrication process. In one example, T-SAXS measurement data collected from one or more targets is sent to a fabrication process tool. The T-SAXS measurement data is analyzed as described herein and the results used to adjust the operation of the fabrication process tool. Scatterometry measurements as described herein may be used to determine characteristics of a variety of semiconductor structures. Exemplary structures include, but are not limited to, FinFETs, low-dimensional structures such as nanowires or graphene, sub 10 nm structures, lithographic structures, through substrate vias (TSVs), memory structures such as DRAM, DRAM 4F2, FLASH, MRAM and high aspect ratio memory structures. Exemplary structural characteristics include, but are not limited to, geometric parameters such as line edge roughness, line width roughness, pore size, pore density, side wall angle, profile, critical dimension, pitch, thickness, overlay, and material parameters such as electron density, composition, grain structure, morphology, stress, strain, and elemental identification. In some embodiments, the metrology target is a periodic structure. In some other embodiments, the metrology target is aperiodic. In some examples, measurements of critical dimensions, thicknesses, overlay, and material properties of high aspect ratio semiconductor structures including, but not limited to, spin transfer torque random access memory (STT-RAM), three dimensional NAND memory (3D-NAND) or vertical NAND memory (V-NAND), dynamic random access memory (DRAM), three dimensional FLASH memory (3D-FLASH), resistive random access memory (Re-RAM), and phase change random access memory (PC-RAM) are performed with T-SAXS measurement systems as described herein. As described herein, the term “critical dimension” includes any critical dimension of a structure (e.g., bottom critical dimension, middle critical dimension, top critical dimension, sidewall angle, grating height, etc.), a critical dimension between any two or more structures (e.g., distance between two structures), and a displacement between two or more structures (e.g., overlay displacement between overlaying grating structures, etc.). Structures may include three dimensional structures, patterned structures, overlay structures, etc. As described herein, the term “critical dimension application” or “critical dimension measurement application” includes any critical dimension measurement. As described herein, the term “metrology system” includes any system employed at least in part to characterize a specimen in any aspect, including critical dimension applications and overlay metrology applications. However, such terms of art do not limit the scope of the term “metrology system” as described herein. In addition, the metrology systems described herein may be configured for measurement of patterned wafers and/or unpatterned wafers. The metrology system may be configured as a LED inspection tool, edge inspection tool, backside inspection tool, macro-inspection tool, or multi-mode inspection tool (involving data from one or more platforms simultaneously), and any other metrology or inspection tool that benefits from the measurement techniques described herein. Various embodiments are described herein for a semiconductor processing system (e.g., an inspection system or a lithography system) that may be used for processing a specimen. The term “specimen” is used herein to refer to a wafer, a reticle, or any other sample that may be processed (e.g., printed or inspected for defects) by means known in the art. As used herein, the term “wafer” generally refers to substrates formed of a semiconductor or non-semiconductor material. Examples include, but are not limited to, monocrystalline silicon, gallium arsenide, and indium phosphide. Such substrates may be commonly found and/or processed in semiconductor fabrication facilities. In some cases, a wafer may include only the substrate (i.e., bare wafer). Alternatively, a wafer may include one or more layers of different materials formed upon a substrate. One or more layers formed on a wafer may be “patterned” or “unpatterned.” For example, a wafer may include a plurality of dies having repeatable pattern features. A “reticle” may be a reticle at any stage of a reticle fabrication process, or a completed reticle that may or may not be released for use in a semiconductor fabrication facility. A reticle, or a “mask,” is generally defined as a substantially transparent substrate having substantially opaque regions formed thereon and configured in a pattern. The substrate may include, for example, a glass material such as amorphous SiO2. A reticle may be disposed above a resist-covered wafer during an exposure step of a lithography process such that the pattern on the reticle may be transferred to the resist. One or more layers formed on a wafer may be patterned or unpatterned. For example, a wafer may include a plurality of dies, each having repeatable pattern features. Formation and processing of such layers of material may ultimately result in completed devices. Many different types of devices may be formed on a wafer, and the term wafer as used herein is intended to encompass a wafer on which any type of device known in the art is being fabricated. In one or more exemplary embodiments, the functions described may be implemented in hardware, software, firmware, or any combination thereof. If implemented in software, the functions may be stored on or transmitted over as one or more instructions or code on a computer-readable medium. Computer-readable media includes both computer storage media and communication media including any medium that facilitates transfer of a computer program from one place to another. A storage media may be any available media that can be accessed by a general purpose or special purpose computer. By way of example, and not limitation, such computer-readable media can comprise RAM, ROM, EEPROM, CD-ROM or other optical disk storage, magnetic disk storage or other magnetic storage devices, or any other medium that can be used to carry or store desired program code means in the form of instructions or data structures and that can be accessed by a general-purpose or special-purpose computer, or a general-purpose or special-purpose processor. Also, any connection is properly termed a computer-readable medium. For example, if the software is transmitted from a website, server, or other remote source using a coaxial cable, fiber optic cable, twisted pair, digital subscriber line (DSL), or wireless technologies such as infrared, radio, and microwave, then the coaxial cable, fiber optic cable, twisted pair, DSL, or wireless technologies such as infrared, radio, and microwave are included in the definition of medium. Disk and disc, as used herein, includes compact disc (CD), laser disc, XRF disc, digital versatile disc (DVD), floppy disk and blu-ray disc where disks usually reproduce data magnetically, while discs reproduce data optically with lasers. Combinations of the above should also be included within the scope of computer-readable media. Although certain specific embodiments are described above for instructional purposes, the teachings of this patent document have general applicability and are not limited to the specific embodiments described above. Accordingly, various modifications, adaptations, and combinations of various features of the described embodiments can be practiced without departing from the scope of the invention as set forth in the claims.
051026180
summary
This invention relates to an improved vapour phase catalytic exchange (VPCE) reactor for catalytic equilibration of hydrogen isotopic concentrations between water and hydrogen gas. In a water-moderated nuclear power plant, heavy or light water serves as a moderator to slow down high speed neutrons, as a reflector to deflect slowed-down neutrons back towards the fuel, and also as a coolant. Heavy water is the preferred moderator as it is about 300 times less absorbent to neutrons than light water and so the critical quantity of uranium is reduced. Whereas enriched uranium must be used in the case of a light water moderator, heavy water permits the use of naturally occurring uranium. In a heavy water reactor tritium is produced in the course of time by the absorption of neutrons by the deuterium nuclei, with consequent depletion of the heavy water. It is necessary to remove the highly radioactive tritium gas, and in addition it is necessary to remove the light water component continuously to prevent dilution of the heavy water content. In order to remove the tritium and excess light water, a combined tritium and hydrogen extraction plant was constructed at Grenoble, France. The plant was designed to extract tritium at the rate 160,000 Ci annually, and light water at the rate 160 l. annually. The plant employs the vapour phase catalytic exchange process, which process has been described in various papers. For example, Damiani et al described the VPCE process, and the design and construction of the plant at Grenoble in considerable detail [Sulzer Technical Review No. 4, 1972 - "Tritium and Hydrogen Extraction Plants for Atomic Power Reactors".] Sood and Kveton described another tritium removal system for use with a CANDU reactor [Ontario Hydro--"Tritium Removal System for Pickering", 1981]. Davidson et al described the commissioning and operating experience at a tritium removal plant ["Fusion Technology" 1988, pp 1373-1380]. In these systems the vapour phase catalytic exchange process has proved effective. In this process, which is a multistage process for the catalytic equilibration of hydrogen isotopic concentrations between water and hydrogen gas, the two exchange media, hydrogen and water, flow countercurrently from stage to stage and within each stage are first mixed with co-current contact in the presence of a platinum catalyst, then separated in a condenser for countercurrent advancement to opposite contacting stages. Each stage comprises essentially four components, namely, an evaporator in which tritium-rich water is converted into steam, a superheater in which the mixture of vapour and hydrogen is heated to approximately 200.degree. C., a catalytic reactor in which isotopic equilibration is completed, and a condenser from which water flows to the subsequent stage for contact with leaner hydrogen and the enriched hydrogen flows to the preceding stage for contact with richer water. A number of such stages may be cascaded. Thus, each stage of the vapour phase catalytic exchange process has four major components. The four components are necessarily interconnected by piping and valves, and in a multistage system the stages are themselves interconnected. The space taken up by the various components is very considerable. Furthermore, the extensive piping requires a large number of pipe joints which are potential sources of leaks. To reduce the likelihood of leakage of radioactive gas and vapour, stringent safety measures have to be taken, and in consequence the space occupied by the system is further increased. The present invention provides an improved system which occupies considerably less space than a conventional system of the same capacity. This is achieved by integrating the components of each stage of a multistage apparatus into a single structure.
claims
1. An electromagnetic-wave suppressing device including an electromagnetic-wave suppressing material, the electromagnetic-wave suppressing material comprising:an ionic liquid; andnanometer-order particles mixed with the ionic liquid, wherein10 wt % or more of the nanometer-order particles is mixed with respect to 100 wt % of the ionic liquid. 2. The electromagnetic-wave suppressing device according to claim 1, whereina sealing member is sealed with the electromagnetic-wave suppressing material. 3. The electromagnetic-wave suppressing device according to claim 1, wherein the electromagnetic-wave suppressing material is in sheet form. 4. The electromagnetic-wave suppressing device according to claim 1, whereinthe electromagnetic-wave suppressing material is in bulk form. 5. The electromagnetic-wave suppressing device according to claim 1, whereinan insulating material is laminated on the electromagnetic-wave suppressing material. 6. The electromagnetic-wave suppressing device according to claim 1, wherein the ionic liquid is a mixture of an anionic ion X− and one of an imidazolium salt of 1-ethyl-3-methyl imidazolium, a pyridinium salt of 3-butyl pyridium and an aliphatic quaternary ammonium salt. 7. The electromagnetic-wave suppressing device according to claim 6, wherein the anionic ion X− is (CF3SO2)2N−,BF4−,PF6−. 8. The electromagnetic-wave suppressing device according to claim 6, wherein the nanometer-order particles is one of a dielectric material with a specific permittivity of 10 or more at 1 kHz at room temperature and a magnetic material with a relative magnetic permeability of 100 or more at 100 MHz at room temperature. 9. An electronic apparatus comprising:an integrated circuit device;a wiring line; andan electromagnetic-wave suppressing device located in the vicinity of at least one of the integrated circuit device and the wiring line, whereinthe electromagnetic-wave suppressing device including an ionic liquid and nanometer-order particles mixed with the ionic liquid, where 10 wt % or more of the nanometer-order particles is mixed with respect to 100 wt % of the ionic liquid. 10. The electronic apparatus according to claim 9, wherein the ionic liquid is a mixture of an anionic ion X− and one of an imidazolium salt of 1-ethyl-3-methyl imidazolium, a pyridinium salt of 3-butyl pyridium and an aliphatic quaternary ammonium salt. 11. The electronic apparatus according to claim 10, wherein the anionic ion X− is (CF3SO2)2N−,BF4−,PF6−. 12. The electronic apparatus according to claim 10, wherein the nanometer-order particles is one of a dielectric material with a specific permittivity of 10 or more at 1 kHz at room temperature and a magnetic material with a relative magnetic permeability of 100 or more at 100 MHz at room temperature.
summary
051125668
summary
BACKGROUND OF THE INVENTION The present invention relates to measurement devices in general and more particularly to a device which utilizes articulated sensors for dimensionally characterizing elongate components. There are numerous components in industrial and utility installations that require on-site inspection to verify continued serviceability. Such inspections may be required before the component is placed in service or it may be part of a maintenance program to ascertain whether the component can continue to be used. Component inspections frequently include dimensional measurements. A notable example, for present purposes, is the on-site inspection of components of a nuclear reactor, especially replaceable components such as control rods, fuel elements, and fuel channels. For example, a typical fuel assembly having a removable and reusable flow channel is shown in U.S. Pat. No. 3,689,358. Such channel is an elongate square, tubular member often formed of a Zircaloy alloy. It is necessary to assure that the four major exterior surfaces of such channels are sufficiently flat so as to not interfere with the insertion of control rods between fuel assemblies during operation in the nuclear reactor core. Dimensions of interest include face bulge, channel width, non-squareness, longitudinal bow, and twist. Dimensional tolerances over the length of such channel may be on the order of about 0.127 mm. Since channels and other reactor components which have been in service are radioactive, it is necessary that the measuring device be remotely operable under water to protect the equipment operator from exposure to radiation. Also, it is desirable that the measuring device be sufficiently portable for its repositioning within a water pool, for movement from one pool to another, and for removal to dry storage when not in use. Examples of prior art measuring devices can be found in U.S. Pat. Nos. 4,197,652 and 4,274,205. BROAD STATEMENT OF THE INVENTION One aspect of the present invention is a device for measuring dimensional characteristics of an elongate component which preferably is a nuclear reactor fuel channel. The device comprises an elongate rigid support that bears (i) an upper platform upon which is mounted a drive; (ii) a clamp mechanism for securing said component about its proximal at a reference position; and (iii) a lower platform which supports a pedestal for securing said component about its distal end in a reference position. Said device also has a sensor carriage movably mounted to said rigid support and operatively connected to said drive for movement along the elongate extent of said support and which has an opening penetrating therethrough for receiving said elongate component when it is secured by and substantially parallel to the rigid support. The sensor carriage comprises another aspect of the present invention. The sensor carrier bears a plurality of pivotally-mounted bell cranks spaced about said opening. Each bell crank has a wheel for riding on said component during movement of the carrier and being in contact with a sensor capable of providing a signal correlative to displacement of the bell crank during movement of the carrier along the elongate component. Preferred sensors comprise linear variable differential transformers that are mounted substantially parallel with the component and rigid support. As another aspect of the present invention there is a grapple assembly provided for securing the component for its placement in the device and removal from the device. The grapple assembly includes a grapple body and an actuator shaft secured thereto. Preferably, the actuator shaft is secured both at the upper platform and just above the grapple body. The grapple body and pedestal both desirably contain spring-biased bearing block assemblies that press on the inner walls of the elongate component for placing it in a reference position. Advantages of the present invention include a device that is portable and easily set-up at remote locations for providing dimensional characterization of elongate components, especially nuclear reactor fuel channels. Another advantage is a device that readily is mounted under water and easily operable by an operator remotely distanced therefrom. Other advantages include a unique grapple assembly for moving the elongate component and for securing it to the device. Further advantages include a unique carrier assembly which permits all exterior sides of the elongate component to be dimensionally characterized simultaneously. Yet another advantage are unique centering mechanisms that precisely and reproducably locate the elongate component in a position within the device. These and other advantages will be readily apparent to those skilled in the art based upon the disclosure contained herein.
claims
1. A beam optical component comprising a charged particle lens for focusing a charged particle beam, the charged particle lens comprising:a first electrode having a first opening defining a first space through which the charged particle beam can propagate;a second electrode having a second opening defining a second space through which the charged particle beam can propagate; andfirst driving means coupled to at least one out of the first electrode and the second electrode for aligning the first opening with respect to the second opening;wherein the first and the second electrodes are separated by a distance;whereby at least one out of the first and second electrodes is shaped to comprise multiple openings for focusing the charged particle beam, and wherein the multiple openings of an electrode may be replaced by each other without breaking vacuum, whereby the multiple openings replace one another as an active opening that is in line with the charged particle beam. 2. The beam optical component according to claim 1, whereby the charged particle lens comprises a third element having a third opening for focusing the charged particle beam. 3. The beam optical component according to claim 2, whereby the geometry of the first, second or third opening defines a respective first, second or third central axis. 4. The beam optical component according to claim 3, whereby the first driving means are capable of aligning the first and second central axes to a common symmetry axis. 5. The beam optical component according to claim 1, whereby the first driving means are capable of aligning the first and second electrodes to be coaxially or parallel aligned. 6. The beam optical component according to claim 3, whereby the charged particle lens comprises second driving means coupled to at least one of the second electrode and the third element for aligning the second opening with respect to the third opening. 7. The beam optical component according to claim 6, whereby the second driving means are capable of aligning the second and third central axes to a common symmetry axis. 8. The beam optical component according to claim 1, comprising a charged particle beam source. 9. The beam optical component according to claim 8, whereby the charged particle beam source comprises an extracting electrode for extracting charged particles into a vacuum. 10. The beam optical component according to claim 2, whereby the third element is an electrode for focusing the charged particle beam. 11. The beam optical component according to claim 10, whereby the third electrode is positioned such as to serve as an extracting electrode. 12. The beam optical component according to claim 8, whereby at least one out of the first and second electrodes and third element or electrode is shaped and positioned with respect to the charged particle beam source to serve as a beam aperture for the charged particle beam. 13. The beam optical component according to claim 6, whereby at least one out of the first and second driving means is capable of moving the respective first or second electrode in a first direction laterally to their respective first or second central axes. 14. The beam optical component according to claim 13, whereby at least one out of the first and second driving means is capable of moving the respective first or second electrode in a second direction perpendicular to the respective first or second central axis and, preferably, perpendicular to the first direction. 15. The beam optical component according to claim 6, whereby at least one out of the first and second driving means is capable of moving the respective first or second electrode into the directions of the respective first or second central axis. 16. The beam optical component according to claim 6, whereby at least one out of the first and second driving means is capable of moving the respective first or second electrode at a spatial resolution of better than 10 micrometers, preferably better than 1 micrometer and even more preferred of better than 100 nm. 17. The beam optical component according to claim 2, whereby the charged particle lens comprises first and second measuring means to measure the actual location of the respective first or second opening with respect to the second or third opening. 18. The beam optical component according to claim 17, whereby the charged particle lens comprises electronic connections between at least one out of the first and second measuring means and the respective first or second driving means for feeding information of the measured location of the first or second opening to the first or second driving means to adjust the actual location to a desired location. 19. The beam optical component according to claim 18, whereby at least one out of the first and second driving means are remotely controllable. 20. The beam optical component according to claim 19, whereby at least one out of the first and second driving means comprises a precision motor drive, a stepping motor, a DC-motor, or a piezo-motor. 21. A beam optical component comprising a charged particle lens for focusing a charged particle beam, the charged particle lens comprising:a first electrode having a first opening defining a first space through which the charged particle beam can propagate;a second electrode having a second opening defining a second space through which the charged particle beam can propagate; andfirst driving means coupled to at least one out of the first electrode and the second electrode for aligning the first opening with respect to the second opening;wherein the first and the second electrodes are separated by a distance;whereby at least one out of the first and second electrodes is shaped to comprise multiple openings for focusing the charged particle beam, whereby at least one out of the first and second electrodes is shaped and positioned to provide that the distance of at least one of the multiple openings to an opening of an adjacent electrode in axial direction is larger by at least ten percent compared to the distance in axial direction of at least one of the other of the multiple openings to said opening. 22. The beam optical component according to claim 21, whereby at least one out of the first and second electrodes is shaped such that a thickness of the rim of at least one of the multiple openings is larger by at least a factor of two compared to a thickness of the rim of at least one of the other multiple openings of said adjacent electrode. 23. The beam optical component according to claim 21, whereby at least two of the multiple openings of the first or second electrode have essentially the same size. 24. The beam optical component according to claim 2, whereby at least one out of the first, second and third openings is rotationally symmetric with respect to its central axes. 25. The beam optical component according to claim 24, whereby at least one out of the first, second and third openings is rectangularly shaped. 26. The beam optical component according to claim 2, whereby the charged particle lens comprises at least one distance piece between the second electrode and the third element to provide for a minimum distance between said second electrode and said third element. 27. The beam optical component according to claim 26, whereby the charged particle lens comprises at least one holding piece for abutting the second electrode to the at least one distance piece, whereby the first holding piece is attached to the at least one distance piece. 28. The beam optical component according to claim 27, whereby the distance piece is spherical. 29. The beam optical component according to claim 6, whereby the first or second driving means are connected to at least two of the first and second electrodes and third element. 30. The beam optical component according to claim 21, whereby the charged particle lens comprises more than three elements or electrodes that each have an opening for focusing the charged particle beam. 31. The beam optical component according to claim 30, whereby the charged particle lens comprises more than two driving means that are connected with the third element or one of the more than three elements. 32. The beam optical component according to claim 2, whereby at least one out of the first and second openings is smaller than the third opening by a factor of two, preferably by a factor of ten and even more preferred by a factor of 50. 33. A charged particle beam device for focusing a charged particle beam onto a specimen, comprising a beam optical component according to claim 1. 34. The charged particle beam device according to claim 33, comprising in addition:a specimen holder to hold the specimen for inspecting or structuring the specimen; anda beam aperture to limit the aperture angle of the charged particle beam for inspecting or structuring the specimen. 35. The charged particle beam device according to claim 34 whereby the beam optical component is positioned between the specimen holder and the beam aperture to focus the charged particle beam onto the specimen. 36. The charged particle beam device according to claim 33 comprising an objective lens and a charged particle beam source. 37. The charged particle beam device according to claim 36 whereby the beam optical component is positioned between the objective lens and the charged particle beam source. 38. Method of aligning a first opening of a first element with respect to a second opening of a second element for focusing a charged particle beam onto a specimen, comprising:providing a beam optical component according to claim 1;first scanning the charged particle beam across the specimen to generate a first image of the specimen with a first set of voltages applied to the first electrode and the second electrode of the beam optical component;second scanning the charged particle beam across the specimen to generate a second image of the specimen with a second set of voltages applied to at least one out of the first electrode and the second electrode of the beam optical component;moving the first electrode with respect to the second electrode; andrepeating the second scanning the charged particle beam until at least one structure element of the specimen identified in the first image is identified in the second image. 39. The method according to claim 38 comprising moving the second electrode with respect to a third element until at least one structure element of the specimen identified in the first image is identified in the second image. 40. The method according to claim 38 whereby the first set of voltages and the second set of voltages are the same for at least one of the first, second and third elements. 41. The method according to claim 38, whereby a charged particle beam is generated by the charged particle beam device.
summary
description
The present invention relates to an electron beam irradiating apparatus with monitoring device particularly to such an electron beam irradiating apparatus equipped with such a monitoring device as is suitable for keeping track of the irradiation state of a materials, which is under irradiation of electron beam emitted from the electron beam irradiation means, to decide causes of electron beam abnormalities individually when occurred. Electron beam irradiation apparatuses mostly use monitoring devices to check electron beam irradiation state for uniform irradiation of target objects for correct sterilization. As a conventional art for keeping track of state of electron beam irradiation, JP 08-265738 A1 (Patent Literature 1) has described an invention, in which lights emitted on irradiation of an irradiation target with electron beam is photographed and the photographed lights are image processed for its intensity distribution to see the electron beam irradiation state. As an art that decides abnormality in the electron beam irradiation state by detecting broken filament, JP 11-84099 A1 (Patent Literature 2) has described an invention, in which plural filaments grouped into two are arranged so that direction of current flow through each group will be mutually opposite and the difference between these currents is measured with a current transformer checking for balancing state of currents; and thereby the state is judged to be broken filament in the event when the current balance is lost. Further, JP 08-313700 A1 (Patent Literature 3) has described another invention for an electron beam source. The invented electron beam source has a state detector that detects temperature of the irradiation window thereof while the source is in operation. The life of an irradiation window is diagnosed based on the data of the state of the irradiation window loaded by the state detector. Information derived from the detected temperature rise and temperature distribution of the irradiation window to track the dose and irradiation distribution of the electron beam is fed-back to the electron gun control circuit and electromagnet for regulating irradiation area through a feedback circuitry to permit the electron beam source to keep running within the tolerance free from the breakage of the irradiation window. In addition to the above, an invention for a method of deciding the abnormality in an image processing system has been described in JP 2005-121925 A1 (Patent Literature 4). In the invented method, image data is binarized into a bright part and a dark part and into which range among the plural ranges of threshold values the luminance of a specific position falls is examined to decide the cause of the abnormality in the illumination lighting source or imaging apparatus of the image processing system. Although the art defined in Patent Literature 1 judges whether the electron beam irradiation is normal or abnormal, features related to determination of cause of abnormality when the electron beam irradiation is judged abnormal is not disclosed. For example, how to decide whether the abnormality is caused from either the broken filament or attributable to the vacuum window is not disclosed. Therefore, when the state is judged abnormal in the art defined in Patent Literature 1, the operation of the electron beam irradiation equipment must be stopped to undergo checking all the abnormality-questionable sections before resuming operation. This means it is likely that the checking will consume much time. With the art defined in Patent Literature 2, the broken filament is detected instantly. However, the Literature does not disclose features related to detection of abnormality due to unusualness of the vacuum window or axis deviation. This means that detection of electron beam irradiation abnormality is difficult in the art defined in Patent Literature 2 even though unusualness of the vacuum window or axis deviation occurs, unless the broken filaments; and consequently that the irradiation target is likely to finish sterilization process without knowing dose is insufficient. The art defined in Patent Literature 3 diagnose the life of an irradiation window based on the temperature rise and temperature distribution thereof derived from the measurements of the temperature of the irradiation window. This means that the art does not consider any cause of abnormality attributable to those other than the irradiation window, although the abnormality of the irradiation window can be detected. To enable decision of causes of abnormality resulted from broken filament or axis deviation, it is necessary to provide another detector for such purpose separately. Therefore, a system by the art has involved such a problem that rigging additional detector may invite an anxiety of the system being complicated. The art defined in Patent Literature 4 decides the cause of abnormality by applying threshold value processing to the image data, in which the determination handles abnormalities of the lights source lamp for illuminating imaging objects and the imaging apparatus. Therefore, the art is not such a technique as observes luminance of lights emitted from an object under irradiation with electron beam, performs threshold value processing, and decides the cause of the abnormality in the state of the electron beam irradiation. In other words, the defined art does not specifically identify which section of the electron beam irradiation means has the cause of the abnormality. In view of above stated problems, the present invention aims to provide an electron beam irradiating apparatus with monitoring device. The invented apparatus is capable of not only deciding whether the electron beam irradiation is normal or abnormal but also identifying the causes of abnormalities when occurred; the apparatus thereby shortens the time required to perform a check operation. The apparatus is further capable of deciding the causes for plural abnormalities with single device relying on luminance of images stored in a storage means. An electron beam irradiating apparatus with monitoring device pertinent to claim 1 has an electron beam irradiation means irradiating materials in an irradiation chamber with electron beam, the electron beam being generated by accelerating thermal electrons, the thermal electrons being emitted from a plurality of filaments; a photographing means capturing the lights emitted by the irradiated materials; a storage means storing states of electron beam irradiation in advance; a calculating means processing the image captured by the photographing means to decide the state of electron beam irradiation stored in the storage means. The storage means stores luminance of the images that correspond to the states of electron beam irradiation, and stores at least three states of electron beam irradiation selected from a group consisting of normal, axis deviation, broken filament, and vacuum window deterioration. The calculating means loads the image captured by the photographing means to compare the loaded image with the luminance of the image stored in the storage means, reads the states of electron beam irradiation related to the luminance of images stored in the storage means, and thereby decides state of electron beam irradiation. The states of electron beam irradiation stored in the storage means are decided by selecting optional three states of electron beam irradiation from the group consisting of normal, axis deviation, broken filament, and vacuum window deterioration. As the luminance of the image that corresponds to the state of electron beam irradiation stored in the storage means, the threshold values defined by quantifying the luminance data and the emitted light luminance each corresponding to at least three states of electron beam irradiation are used. In the calculating means, the stored image is compared with the image captured by the photographing means to decide the state of electron beam irradiation by finding a matched data from among stored luminance data of images. When a threshold value is used for the luminance of the image, the calculating means compares the value of the emitted light luminance with the threshold value and decides the state of electron beam irradiation according to the comparison result: the value of the emitted light luminance being above or below the threshold value. On processing the captured image, the calculating means is to make necessary correction depending on the installation position of the photographing means. An electron beam irradiating apparatus with monitoring device pertinent to claim 2 has an electron beam irradiation means irradiating materials in an irradiation chamber with electron beam, the electron beam being generated by accelerating thermal electrons, the thermal electrons being emitted from a plurality of filaments; a photographing means capturing the lights emitted by the irradiated materials; a storage means storing states of electron beam irradiation in advance; a calculating means processing the image captured by the photographing means to decide the state of electron beam irradiation stored in the storage means. The storage means stores a first threshold value that is set at the maximum value of the emitted light luminance when the electron beam is irradiated normally; a second threshold value that is set at the minimum value of the emitted light luminance when the electron beam is irradiated normally, and is set at higher value than the emitted light luminance when the electron beam is irradiated with axis deviation; a third threshold value that is set at lower than the second threshold value, is set at higher value than the emitted light luminance when the electron beam is irradiated with broken filament, and is set to the minimum value of the emitted light luminance when the electron beam is irradiated with axis deviation; and at least three states of electron beam irradiation selected from a group consisting of normal, axis deviation, broken filament, and vacuum window deterioration are stored, and the each state corresponds to state areas of the storage means that are divided by the three threshold values. The calculating means loads the value of the emitted light luminance of the image captured by the photographing means to compare the loaded luminance value with each of the threshold values stored in the storage means, reads the state of electron beam irradiation stored in the storage means when the loaded luminance value is equal to or higher than the second threshold value and equal to or lower than the first threshold value, and decides that the state of electron beam irradiation is normal; reads the state of electron beam irradiation stored in the storage means when the loaded luminance value is lower than the second threshold value and equal to or higher than the third threshold value, and decides that the state of electron beam irradiation is axis deviation; and decides that the state of electron beam irradiation is broken filament among the states of electron beam irradiation stored in the storage means when the loaded luminance value is lower than the third threshold value. At least three states of electron beam irradiation stored in the storage means are decided by selecting optional three states of electron beam irradiation from the state representing group consisting of normal, axis deviation, broken filament, and vacuum window deterioration. Where at least the “vacuum window deterioration” is included in the selected three states of electron beam irradiation and when the emitted light luminance of the image captured is higher than the first threshold value, the calculating means reads in the state of electron beam irradiation stored in the storage means and decisions that the state of electron beam irradiation is being vacuum window deterioration. The electron beam irradiating apparatus with monitoring device pertinent to claim 3 is the apparatus according to claim 2, in which the storage means stores a first threshold value that is set at the maximum value of the emitted light luminance when the electron beam is irradiated normally, and is set at lower value than the emitted light luminance when the electron beam is irradiated with the state of vacuum window deterioration, and the storage means also stores the states of electron beam irradiation each of which represents normal, axis deviation, broken filament, and vacuum window deterioration. The calculating means reads the state of electron beam irradiation stored in the storage means when the value of the emitted light luminance of the image captured by the photographing means is higher than the first threshold value and decides that the state of electron beam irradiation is vacuum window deterioration. The states of electron beam irradiation stored in the storage means are “normal”, “axis deviation”, “broken filament”, and “vacuum window deterioration”. The electron beam irradiating apparatus with monitoring device pertinent to claim 4 is the apparatus according to claim 3, in which the electron beam irradiation means has a constant current controlled filament power supply and a voltmeter, the constant current controlled filament power supply being connected to a plurality of the filaments, the voltmeter measuring the filament voltage. The storage means stores a voltage setting that is higher than the filament voltage of the vacuum window deterioration and is equal to or lower than the filament voltage of the filament deterioration, and the storage means also stores the states of electron beam irradiation each of which represents normal, axis deviation, broken filament, vacuum window deterioration, and filament deterioration. The calculating means loads the filament voltage from the voltmeter when the value of the emitted light luminance of the image captured by the photographing means is higher than the first threshold value to compare with the voltage setting stored in the storage means and decides that the state of electron beam irradiation is the filament deterioration when the loaded filament voltage is equal to or higher than the voltage setting. The states of electron beam irradiation stored in the storage means are “normal”, “axis deviation”, “broken filament”, and “vacuum window deterioration”. The electron beam irradiating apparatus with monitoring device pertinent to claim 5 is the apparatus according to claim 3, in which electron beam irradiation means has a constant voltage controlled filament power supply and an ammeter, the constant voltage controlled filament power supply being connected to a plurality of the filaments, the ammeter measuring the filament current. The storage means stores a voltage setting that is equal to or larger than the filament current of the filament deterioration and is smaller than the filament current of the axis deviation, and the storage means also stores the state of electron beam irradiation each of which represents normal, axis deviation, broken filament, vacuum window deterioration, and filament deterioration. The calculating means loads the filament current from the ammeter when the value of the emitted light luminance of the image captured by the photographing means is lower than the second threshold value and equal to or higher than the third threshold value to compare with the current setting stored in the storage means and decides that the state of electron beam irradiation is the filament deterioration when the loaded current is equal to or smaller than the current setting. The states of electron beam irradiation stored in the storage means are “normal”, “axis deviation”, “broken filament”, and “vacuum window deterioration”. The electron beam irradiating apparatus with monitoring device pertinent to claim 6 is the apparatus according to claim 3, in which electron beam irradiation means has a constant current controlled filament power supply, a voltmeter, a grid, and a control means, the constant current controlled filament power supply being connected to a plurality of the filaments, the voltmeter measuring the filament voltage, the grid being connected to a grid power supply oppositely facing the filament, and the control means controlling the amount of thermal electrons emitted from the filament by regulating the voltage of the grid power supply. The storage means stores a voltage setting that is higher than the filament voltage of the normal and is equal to or lower than the filament voltage of the filament deterioration, and the storage means also stores the states of electron beam irradiation each of which represents normal, axis deviation, broken filament, vacuum window deterioration, and filament deterioration. The calculating means loads the filament voltage from the voltmeter when the value of the emitted light luminance of the image captured by the photographing means is equal to or higher than the second threshold value and equal to or lower than the first threshold value to compare with the voltage setting stored in the storage means and decides that the state of electron beam irradiation is being filament deterioration when the loaded voltage is equal to or higher than the voltage setting. The states of electron beam irradiation stored in the storage means are “normal”, “axis deviation”, “broken filament”, and “vacuum window deterioration”. The electron beam irradiating apparatus with monitoring device pertinent to claim 7 is the apparatus according to claim 3, in which electron beam irradiation means has a constant voltage controlled filament power supply an ammeter, a grid, and a control means, the constant voltage controlled filament power supply being connected to a plurality of the filaments, the ammeter measuring the filament current, the grid being connected to a grid power supply oppositely facing the filament, the control means controlling the amount of thermal electrons emitted from the filament by regulating the voltage of the grid power supply; and a control means for controlling the amount of thermal electrons emitted from the filament by regulating the voltage of the grid power supply. The storage means stores a current setting that is equal to or larger than the filament current of the filament deterioration and smaller than the filament current of the normal, and the storage means also stores the states of electron beam irradiation each of which represents normal, axis deviation, broken filament, vacuum window deterioration, and filament deterioration. The calculating means loads the filament current from the ammeter when the value of the emitted light luminance of the image captured by the photographing means is higher than the first threshold value to compare with the current setting stored in the storage means and decides that the state of electron beam irradiation is filament deterioration when the loaded current is equal to or smaller than the current setting. The states of electron beam irradiation stored in the storage means are “normal”, “axis deviation”, “broken filament”, and “vacuum window deterioration”. The electron beam irradiating apparatus with monitoring device pertinent to claim 8 is the apparatus according to claim 4 or claim 6, in which voltage setting stored in the storage means is set 1.1 times the initial filament voltage. Where setting the voltage setting encounters difficulty, setting at the value 1.1 times the initial filament voltage makes calculation of the voltage setting eased. The electron beam irradiating apparatus with monitoring device pertinent to claim 9 is the apparatus according to claim 5 or claim 7, in which the current setting stored in the storage means is set 0.9 times the initial filament current. Where setting the current setting encounters difficulty, setting at the value 0.9 times the initial filament current makes calculation of the current setting eased. The electron beam irradiating apparatus with monitoring device pertinent to claim 10 is the apparatus according to any one of claims 1 to 9, in which the calculating means divides the image captured by the photographing means into a plurality of segments and compares the emitted light luminance of the each segment with the threshold value stored in the storage means. According to the present invention, it is available to decide whether the state of electron beam irradiation in an electron beam irradiation apparatus is normal or abnormal, and further, in case of the state is abnormal, it is practicable to decide for at least two causes of the abnormality, thereby, more details of the causes can be identified. Thus, the identifying of the cause of abnormality in detail permits recognizing the abnormal section in an electron beam irradiation apparatus connecting to reduction of the operation outage time with the time required to perform a check operation shortened. Further according to the present invention, it is not necessary to provide monitoring devices for each of the causes of the abnormality because at least two causes of the abnormality are decided on occurrence the abnormality; consequently thereby single monitoring device can decide plural causes of abnormality. Thus, the monitoring device can be simplified and providing an electron beam irradiation apparatus with a monitoring device having broad utility becomes realistic. Still further according to the present invention, using the luminance of the image stored in the storage means in a form of threshold value permits comparing the emitted light luminance of the captured image with the threshold value to decide the state of electron beam irradiation for each of the state areas divided by the threshold values with the processing in the calculating means expedited. The following explains the electron beam irradiation apparatus with monitoring device by the present invention referring to drawings. FIG. 1 and FIG. 2 illustrate a part of the processing line that transfers continuously a series of materials, in which an electron beam irradiation means 4 is arranged above a carrier path 9 isolated from the outside and the materials on being transfer is irradiated with electron beam emitted from the electron beam irradiation means 4 for sterilization. FIG. 1 illustrates a plastic film 1 for food packing material as an explanatory example of the materials. The plastic film 1 is conveyed by rollers 2, which are provided to pinch the plastic film 1, from the right side to the left side in FIG. 1. The plastic film 1 being conveyed passes through the carrier path 9, having a hollow box-shape, of metal such as stainless steel to undergo sterilization. The carrier path 9 has the electron beam irradiation means 4 and has an irradiation chamber 5, where electron beam irradiates the plastic film 1, and decompression chambers 3 on front and on rear of the irradiation chamber 5. To the decompression chamber 3, an evacuation pump P is connected to keep the inside of the irradiation chamber 5 at a certain level of pressure-reduced state below the atmospheric pressure. This makes efficiency of the sterilization by the electron beam irradiation improved and permits use of an electron beam generation device that works on a low acceleration voltage. The rollers 2 provided on the carry-in and the carry-out sides are enveloped with a partition wall 10 so that the inside of the irradiation chamber 5 will maintain a reduced-pressure state. On the carrier path 9, an observation window 7 is provided at the position when the irradiation state of the plastic film 1 can be observed. In the configuration illustrated in FIG. 1, the observation window 7 is secured on a metal structure of such as stainless steel and a space for accommodating a photographing means 6 is reserved inside the observation window 7. To permit accommodating the photographing means 6, the observation window 7 and the upper part of the space enveloped by the metal such as stainless steel are configured upwardly removable. As the photographing means 6, a CCD camera having a luminance sensor is used. The CCD camera should preferably have a storage means and a calculating means for image processing. Where a CCD camera that has no storage means or calculating means is to be used, it is practicable to connect the camera to a personal computer (not illustrated) having a storage means and a calculating means. The CCD camera is connected to a display means 8 that displays the results decided by the calculating means. As the display means 8, the display device of a personal computer, an electric signboard, or a display unit of a control console of a controller of a power supply unit is applicable. It is preferable to provide an alarm-sounding function on the display means 8 to warn on displaying the cause of abnormality. FIG. 2, the sectional view sectioned along the line A-A in FIG. 1, illustrates an aspect where the plastic film 1 is irradiated in the irradiation chamber 5 with electron beam emitted from the electron beam irradiation means 4. Except the area where the plastic film 1 travels, the inside of the hollow box-shaped carrier path 9 is a closed space forming a dark chamber. When electron beam irradiates the plastic film 1 in the irradiation chamber having such configuration, the irradiated surface thereof emits lights of which wavelength and intensity are dependent on the energy of electron beam radiated. By observing the luminance of the emitted lights with the photographing means 6, the state of electron beam irradiation is decided. FIG. 3 is a detailed illustration of the electron beam irradiation means 4. The electron beam irradiation means 4 has a cathode 13 that emits electron beam and an anode 15 that accelerates electron beam emitted from the cathode 13 in vacuated area in an electron beam generating chamber 11, which is a highly vacuated chamber with a turbo-molecular pump TMP or other similar device for evacuation. The cathode 13 has a filament 12 that emits thermal electrons and a grid 14 that controls the thermal electrons emitted from the filament 12. The filament 12 is arranged in a manner, in which for example, 20 to 30 of filaments are arrayed in one row at a predetermined spacing and the arrayed filaments are configured into 5 sets of filament group each consisting of 5 filaments, and then the filaments in a group are connected in series. With this filament arrangement, even when only one filament is broken, the current does not flow to the remaining 4 filaments; consequently, no emission of thermal electron will occur from the group that has broken filament. Thus, no lights emission will be observed on a part of the plastic film 1 when no emission of thermal electrons is given. Therefore, the difference of the emitted light luminance can be easily identified. The filament 12 is connected to a filament power supply 18b through a cable 17. The filament power supply 18b makes the filament 12 heat to allow the thermal electron emission. Between the filament 12 and the grid 14, a grid power supply 18c is connected through the cable 17 to apply a voltage therebetween for controlling the thermal electron emission. Between the grid 14 and a vacuum window 16, a high-voltage direct current power supply 18a is connected through the cable 17 to apply the acceleration voltage. The filament 12 heats with the alternating current fed from the filament power supply 18b to emit thermal electron, among which only those passed the grid 14 are taken out as the usably emitted electron beam. The electron beam thus emitted is accelerated by the acceleration voltage applied by a high-voltage direct current power supply and penetrates the vacuum window 16 to irradiate the materials. FIG. 4, which illustrates an example in which the threshold value is used as the value for representing the luminance of image stored in a storage means 21, indicates the flow of processing steps from image capturing by the photographing means 6 to deciding the state of electron beam irradiation in a block diagram style. FIG. 4 explains an example in which at least three states of electron beam irradiation: “normal”, “axis deviation”, and “broken filament”, are selected. The decision of the state of electron beam irradiation may be performed by storing in advance in the storage means 21 the luminance data of images corresponding to at least three states of electron beam irradiation as the luminance of the image to be stored in the storage means in addition to the threshold values followed by comparison of the image captured by the photographing means 6 with the luminance data of images stored in the storage means 21. This processing manner requires that a lot of luminance data of images must be stored in the storage means 21. Therefore, use of threshold values is preferable from the viewpoint of the processing speed of a calculating means 20. The photographing means 6 captures the emitted lights produced by the irradiating of the materials and stores temporarily the captured image in a memory (not shown) provided in the photographing means 6. The image stored in the memory is transferred to the calculating means 20 to be taken therein as an emitted light luminance K. The calculating means 20, taking in the emitted light luminance K, reads in predetermined threshold values S1, S2, and S3 stored in advance in the storage means 21. The calculating means 20 compares the threshold values S1, S2, and S3 thus read in with the emitted light luminance K to decide to which state among at least three states of electron beam irradiation stored in the storage means 21 the irradiation state belongs, based on the judgment into which state area divided by the threshold values S1, S2, and S3 the emitted light luminance K falls. The threshold value S1, a first threshold value, is set at the maximum of the emitted light luminance when the electron beam is irradiated normally. This maximum is the highest value among the emitted light luminance recorded in advance for a certain period of time during the electron beam is irradiated normally. The threshold value S2, a second threshold value, is set at such a value as is the minimum of the emitted light luminance when the electron beam is irradiated normally but higher than the emitted light luminance which the electron beam irradiating with axis deviation. Similarly, this minimum is the lowest value among the emitted light luminance recorded for a certain period of time during the electron beam ion is irradiated normally. The value higher than the emitted light luminance which the electron beam irradiating with axis deviation is such a value as is slightly higher than the highest value among the emitted light luminance recorded for a certain period of time during the electron beam irradiation is working with axis deviation. It is preferable that this minimum accords with the value that the axis deviation. However if not, it is preferable to give the priority to the recorded minimum. The threshold value S3, a third threshold value, is set at such a value as is lower than the second threshold value S2 but higher than the emitted light luminance which the electron beam irradiating with broken filament and is equal to the minimum of the emitted light luminance which the electron beam irradiating with axis deviation. The luminance higher than the emitted light luminance which the electron beam irradiating with broken filament is such a value as is slightly higher than the highest value among the emitted light luminance recorded in advance for a certain period of time during the electron beam irradiation is working with a condition in which one filament among plural filaments is broken. The minimum of the emitted light luminance which the electron beam irradiation working with axis deviation is such a value as is the lowest value among the emitted light luminance recorded in advance for a certain period of time during the electron beam irradiation is working with axis deviation. It is preferable that the value in the case of the broken filament accords with the value that the axis deviation. However if not, it is referable to give the priority to the value with the broken filament. The state of electron beam irradiation is defined in state categories: “normal” when the electron beam is irradiated normally; “axis deviation” when the electron beam is irradiated abnormally with axis deviation; and “broken filament”. “Normal” means a state in which the electron beam is irradiating the materials uniformly with specified dose. “Axis deviation” means a state in which the holes on the anode 15 and the grid 14, which are illustrated in FIG. 3, are not in alignment. If the electron beam is irradiated under this deviated condition, thermal electrons do not smoothly pass through the vacuum window 16 resulting in insufficient irradiation over the materials developing possibly into the cause of the irradiation omission. “Broken filament” means a state in which at least one filament among plural filaments 12 is broken causing no current flow. If the electron beam is irradiated under this condition, no irradiation will be applied to the materials on the portion thereof that faces the broken filament developing into the irradiation omission. The state of electron beam irradiation as a result of decision by the calculating means 20 is transferred to the display means 8 to permit outputting. FIG. 5 is a flowchart indicating details of the processing steps in the calculating means 20. The calculating means 20 first loads the emitted light luminance K from the image captured by the photographing means 6 (S1). After acquiring the emitted light luminance K, the calculating means 20 further loads the first threshold value S1 stored in advance in the storage means 21 to compare with the emitted light luminance K of the image captured (S2). In the comparison, it is compared whether or not the emitted light luminance K is equal to or lower than the first threshold value S1 (S3). When the emitted light luminance K is equal to or lower than the first threshold value S1, the calculating means 20 successively loads the second threshold value S2 from the storage means 21 to compare the second threshold value S2 with the emitted light luminance K (S4). In the comparison, it is compared whether or not the emitted light luminance K is equal to or higher than the second threshold value S2 (S5). When, in contrast, the emitted light luminance K is higher than the first threshold value S1, the calculating means 20 ends further decision and ceases processing. In this case, the state may be decided abnormal because the emitted light luminance K is higher than the first threshold value S1 that is the maximum of the emitted light luminance when the electron beam is irradiated normally. When “vacuum window deterioration” is included in the group of at least three states of electron beam irradiation, the state should be decided to be the vacuum window deterioration if the emitted light luminance K is higher than the first threshold value S1. When the emitted light luminance K is equal to or higher than the second threshold value S2 in the processing under S5, the calculating means 20 reads in “normal” from among at least three states of electron beam irradiation stored in advance in the storage means and decides that the state of electron beam irradiation is normal. When, in contrast, the emitted light luminance K is lower than the second threshold value S2, the calculating means 20 loads the third threshold value S3 from the storage means 21 to compare the third threshold value S3 with the emitted light luminance K (S6). In the comparison, it is compared whether or not the emitted light luminance K is equal to or higher than the third threshold value S3 (S7). When the emitted light luminance K is equal to or higher than the third threshold value S3, the calculating means 20 reads in “axis deviation” from among at least three states of electron beam irradiation stored in advance in the storage means 21 and decides that the state of electron beam irradiation is being axis deviation. When, in contrast, the emitted light luminance K is lower than the third threshold value S3, the calculating means 20 reads in “broken filament” from among at least three states of electron beam irradiation stored in advance in the storage means 21 and decides that the state of electron beam irradiation is being broken filament. Embodiment 2 is an example in which the vacuum window deterioration is added to the states of electron beam irradiation described in Embodiment 1. Explanation follows referring to the block diagram indicated in FIG. 6. The elements same as those in FIG. 4 are assigned the same signs used in FIG. 4 and explanation is omitted for those portions that have appeared in FIG. 4. In Embodiment 2, a first threshold value stored in the storage means 21 is set, to permit decision of vacuum window deterioration, at such a value as is the maximum of the emitted light luminance when the electron beam is irradiated normally but lower than the emitted light luminance which appears when the vacuum window is deteriorated. This value, which is lower than the emitted light luminance which appears when the vacuum window is deteriorated, is set at such a value as is lower than the lowest value among the emitted light luminance recorded for a certain period of time during the electron beam irradiating with vacuum window being deteriorated. It is preferable that this value accords with the maximum of the emitted light luminance under the normal state. However if not, it is preferable to give the priority to the maximum of the emitted light luminance under the normal state. In the storage means 21, the fourth state of electron beam irradiation, vacuum window deterioration, is stored. “Vacuum window deterioration” is a state in which the electron beam irradiation means 4 emits electron beam in an amount beyond necessity because of the reduction in thickness of the vacuum window made of such as graphite due to long-year use. In this event, an excessive amount of electron beam is irradiated to the materials possibly developing into deterioration of the object or generation of ozone with smell. Further, the excessive irradiation of electron beam makes the emitted light luminance intensive more than in the normal irradiation. Thus, Embodiment 2 decides the vacuum window deterioration capturing such intensive luminance. In the decision of the vacuum window deterioration, the calculating means 20 loads the emitted light luminance K of the image captured by the photographing means 6 to compare with the first threshold value S1. When the emitted light luminance K is higher than the first threshold value S1, the calculating means 20 reads in the state of electron beam irradiation of vacuum window deterioration stored in the storage means 21 to decide that the state of electron beam irradiation is being vacuum window deterioration. Then, the result of the decision is transferred to the display means 8 to permit outputting. Explanation follows referring to FIG. 7, a flowchart indicating details of processing steps in the calculating means 20. The elements same as those in FIG. 5 are assigned the same signs used in FIG. 5 and explanation is omitted for those portions that have appeared in FIG. 5. As indicated in FIG. 7, when the process S3 finds the emitted light luminance K is higher than the first threshold value S1, the calculating means 20 reads in “vacuum window deterioration” from among states of electron beam irradiation stored in advance in the storage means 21 to decide that the state of electron beam irradiation is being vacuum window deterioration. Other processing is the same as those described in the explanation of Embodiment 1. Embodiment 3 is an example in which the filament power supply 18b uses a constant current controlled filament power supply, in which the filament deterioration is added to the states of electron beam irradiation described in Embodiment 2. Explanation follows referring to the block diagram indicated in FIG. 8. The elements same as those in FIG. 4 or FIG. 6 are assigned the same signs used in such figures and explanation is omitted for those portions that have appeared in FIG. 4 or FIG. 6. As indicated in FIG. 8, the storage means 21 stores a voltage setting V0 and the fifth state of electron beam irradiation, filament deterioration, is stored. The voltage setting V0 is set at such a value as is higher than the filament voltage that causes the vacuum window deterioration but is equal to or lower than the filament voltage that causes the filament deterioration. In setting the voltage setting V0, it is preferable to make the filament voltages in the vacuum window deterioration and in the filament deterioration grasped. It is feasible to set the voltage setting V0 at a value 1.1 times the initial voltage of the filament. The “filament deterioration” is a state in which the resistance of the filament is increased because of the reduction in filament thickness due to long-year use. In this embodiment, a constant current controlled filament is used; therefore, the filament current is kept always constant even though the filament resistance increases. Consequently, the filament voltage increases corresponding to increase in the resistance. As a result of this, if the electron beam is irradiated with the filament deteriorated, the filament voltage increases causing such a state that an excessive amount of electron beam is irradiated to the materials possibly developing into deterioration of the object or generation of ozone with smell. In view of this problem, a voltmeter 22 is installed between the filament and the filament power supply to decide these modes of filament deterioration. The voltmeter 22 measures a filament voltage V of the filament. It is preferable to arrange the voltmeter 22 so that total of the filament voltages V across plural filaments will be measured. Where the voltmeter 22 measures the total of the filament voltages V across plural filaments, the voltage setting V0 to be stored in the storage means 21 is set at a value decided considering the total value over plural filaments. The filament voltage V measured with the voltmeter 22 is taken into the calculating means 20 when the measurement is as specified. In the decision of the filament deterioration, the calculating means 20 loads the emitted light luminance K of the image captured by the photographing means 6 to compare with the first threshold value S1. When the emitted light luminance K is higher than the first threshold value S1, the calculating means 20 loads the filament voltage V from the voltmeter 22 to compare the loaded filament voltage V with the voltage setting V0 stored in the storage means 21. When the comparison indicates that the filament voltage V is lower than the voltage setting V0, the calculating means 20 reads in the state of the vacuum window deterioration stored in the storage means 21 to decide that the state of electron beam irradiation is being vacuum window deterioration. When, in contrast, the filament voltage V is equal to or higher than the voltage setting V0, the calculating means 20 reads in the state of filament deterioration stored in the storage means 21 to decide that the state of electron beam irradiation is being filament deterioration. Then, the result of the decision is transferred to the display means 8 to permit outputting. Explanation follows referring to FIG. 9, a flowchart indicating details of processing steps in the calculating means 20. The elements same as those in FIG. 5 or FIG. 7 are assigned the same signs used in such figures and explanation is omitted for those portions that have appeared in FIG. 5 or FIG. 7. When the calculating means 20 finds in the processing step S3 that the emitted light luminance K is not equal to nor lower than the first threshold value S1, the calculating means 20 loads the filament voltage V from the voltmeter 22 (S8). Then, the calculating means 20 decides whether or not the loaded filament voltage V is equal to or higher than the voltage setting V0 stored in the storage means 21 (S9). When the filament voltage V is equal to or higher than the voltage setting V0, the calculating means 20 reads in the state of “filament deterioration” from among states of electron beam irradiation stored in advance in the storage means 21 to decide that the state of electron beam irradiation is being filament deterioration. When, in contrast, the filament voltage V is not equal to nor higher than the voltage setting V0, the calculating means 20 reads in “vacuum window deterioration” from among the states of filament deterioration stored in advance in the storage means 21 to decide that the state of electron beam irradiation is being vacuum window deterioration. Embodiment 4 is an example in which the filament power supply 18b uses a constant voltage controlled filament power supply, in which the filament deterioration is added to the states of electron beam irradiation similarly to the addition in Embodiment 3. Explanation follows referring to the block diagram indicated in FIG. 10. The elements same as those in FIG. 4, 6 or 8 are assigned the same signs used in such figures and explanation is omitted for those portions that have appeared in FIG. 4, 6, or 8. As indicated in FIG. 8, the storage means 21 stores a current setting I0 and the fifth state of electron beam irradiation, filament deterioration, is stored. The current setting I0 is set at such a value as is equal to or larger than the filament current that causes the filament deterioration but smaller than the filament current that causes the filament deterioration. In setting the current setting I0, it is preferable to make the filament currents in the filament deterioration and in the axis deviation grasped. It is feasible to set the current setting I0 at a value 0.9 times the initial current of the filament. In this embodiment, a constant voltage controlled filament power supply is used; therefore, the filament voltage is kept always constant even though the filament resistance increases due to long-year use deterioration. Consequently, the filament current decreases corresponding to increase in the resistance. As a result of this, the amount of thermal electrons is decreased. Accordingly, if the electron beam is irradiated with the filament being deteriorated, the materials will not be irradiated sufficiently developing possibly into the cause of the irradiation omission. Further, the emitted light luminance reduces compared to the luminance in “normal” state since the materials is not irradiated with sufficient amount of electron beam. An ammeter 23 is installed between the filament and the filament power supply. The ammeter 23 measures a filament current I of the filament. Since the filament is used in plurality, it is preferable to arrange the ammeter 23 so that total of the filament currents I flow through plural filaments will be measured. In this arrangement, the current setting I0 to be stored in the storage means 21 is set at a value decided considering the total value over plural filaments. The filament current I measured with the ammeter 23 is taken into the calculating means 20 when the measurement is as specified. In the decision of the filament deterioration, the calculating means 20 loads the emitted light luminance K of the image captured by the photographing means 6 to compare with the second threshold value S2. When the emitted light luminance K is equal to or higher than the third threshold value S3 and lower than the second threshold value S2, the calculating means 20 loads the filament current I from the ammeter 23 to compare the loaded filament current I with the current setting I0 stored in the storage means 21. When the comparison indicates that the filament current I is equal to or smaller than the current setting I0, the calculating means 20 reads in the state of the filament deterioration stored in the storage means 21 to decide that the state of electron beam irradiation is being filament deterioration. When, in contrast, the filament current I is equal to or larger than the current setting I0, the calculating means 20 reads in the state of axis deviation stored in the storage means 21 to decide that the state of electron beam irradiation is being axis deviation. Then, the result of the decision is transferred to the display means 8 to permit outputting. Explanation follows referring to FIG. 11, a flowchart indicating details of processing steps in the calculating means 20. The elements same as those in FIG. 5, 7, or 9 are assigned the same signs used in such figures and explanation is omitted for those portions that have appeared in FIG. 5, 7, or 9. When the calculating means 20 finds in the processing step S7 that the emitted light luminance K is equal to or higher than the third threshold value S3, the calculating means 20 loads the filament current I from the ammeter 23 (S10). Then, the calculating means 20 decides whether or not the loaded filament current I is equal to or smaller than the current setting I0 stored in the storage means 21 (S11). When the filament current I is equal to or smaller than the current setting I0, the calculating means 20 reads in the state of “filament deterioration” from among states of electron beam irradiation stored in advance in the storage means 21 to decide that the state of electron beam irradiation is being filament deterioration. When, in contrast, the filament current I is not equal to nor smaller than the current setting I0, the calculating means 20 reads in “axis deviation” from among the states of filament deterioration stored in advance in the storage means 21 to decide that the state of electron beam irradiation is being axis deviation. Embodiment 5 is an example in which a feedback control means (not shown) is provided additionally to the configuration described in Embodiment 3 to control the amount of thermal electrons that the filament emits to be constant by regulating the grid voltage. With this control means, electron beam irradiation can continue its performance within a normal state by the regulating of the grid voltage even when the amount of emission of the thermal electrons is in excess of the normal amount range. Explanation of an example that uses this control means follows referring to the block diagram indicated in FIG. 12. The elements same as those in FIG. 4, 6, 8, or 10 are assigned the same signs used in such figures and explanation is omitted for those portions that have appeared in these figures. As indicated in FIG. 12, the storage means 21 stores the state of filament deterioration and the voltage setting V0. The voltage setting V0 is set at such a value as is higher than the filament voltage that appears when the electron beam is irradiated normally but equal to or lower than the filament voltage that causes the filament deterioration. In setting the voltage setting V0, it is preferable to make the filament voltages under the normal state and in the filament deterioration grasped. It is feasible to set the voltage setting V0 at a value 1.1 times the initial voltage of the filament. In this embodiment, the constant current controlled filament power supply is used similarly to Embodiment 3. Therefore, an excessive electron beam irradiation occurs when the filament deteriorates due to long-year use since the deterioration causes the increased resistance of the filament and consequently invites increase in the filament voltage. Further, this embodiment employs a control means; therefore, the electron beam irradiation same as being under the normal state can be maintained by regulating the grid voltage with the control means even when the filament deterioration occurs more or less. When the filament deterioration develops into a degree that the control means cannot control, the calculating means 20 decides that the state is being filament deterioration based on the result of comparison with the voltage setting V0. In the decision of the filament deterioration, the calculating means 20 loads the emitted light luminance K of the image captured by the photographing means 6 to compare with the first threshold value S1 and the second threshold value S2. When the emitted light luminance K is equal to or higher than the second threshold value S2 and equal to or lower the first threshold value S1, the calculating means 20 loads the filament voltage V from the voltmeter 22 to compare the loaded filament voltage V with the voltage setting V0 stored in the storage means 21. When the comparison indicates that the filament voltage V is equal to or higher than the voltage setting V0, the calculating means 20 reads in the state of the filament deterioration stored in the storage means 21 to decide that the state of electron beam irradiation is being filament deterioration. When, in contrast, the filament voltage V is lower than the voltage setting V0, the calculating means 20 reads in the state of normal stored in the storage means 21 to decide that the state of electron beam irradiation is normal. Then, the result of the decision is transferred to the display means 8 to permit outputting. Explanation follows referring to FIG. 13, a flowchart indicating details of processing steps in the calculating means 20. The elements same as those in FIG. 5, 7, 9, or 11 are assigned the same signs used in such figures and explanation is omitted for those portions that have appeared in these figures. When the calculating means 20 finds in the processing step S5 that the emitted light luminance K is equal to or higher than the second threshold value S2, the calculating means 20 loads the filament voltage V from the voltmeter 22 (S12). Then, the calculating means 20 decides whether or not the loaded filament voltage V is equal to or higher than the voltage setting V0 stored in the storage means 21 (S13). When the filament voltage V is equal to or higher than the voltage setting V0, the calculating means 20 reads in the state of “filament deterioration” from among states of electron beam irradiation stored in advance in the storage means 21 to decide that the state of electron beam irradiation is being filament deterioration. When, in contrast, the filament voltage V is not equal to nor higher than the voltage setting V0, the calculating means 20 reads in “normal” from among the states of filament deterioration stored in advance in the storage means 21 to decide that the state of electron beam irradiation is normal. Embodiment 6 is an example in which a feedback control means (not shown) similar to that in Embodiment 5 is provided additionally to the configuration described in Embodiment 4 to control the amount of thermal electrons that the filament emits to be constant by regulating the grid voltage. With this control means, electron beam irradiation can continue its performance within a normal state by the regulating of the grid voltage even when the amount of emission of the thermal electrons is in excess of the normal amount range. Explanation of an example that uses the constant voltage controlled filament power supply and this control means follows referring to the block diagram indicated in FIG. 14. The elements same as those in FIG. 4, 6, 8, 10, or 12 are assigned the same signs used in such figures and explanation is omitted for those portions that have appeared in these figures. As indicated in FIG. 14, the storage means 21 stores the state of filament deterioration and the current setting I0. The current setting I0 is set at such a value as is equal to or larger than the filament current that causes the filament deterioration but smaller than the filament current that appears when the electron beam is irradiated normally. In setting the current setting I0, it is preferable to make the filament currents in the filament deterioration and under the normal state grasped. It is feasible to set the current setting I0 at a value 0.9 times the initial current of the filament. In this embodiment, the constant voltage controlled filament power supply is used. Therefore, an insufficient electron beam irradiation occurs when the filament deteriorates due to long-year use since the deterioration causes the increased resistance of the filament and consequently invites decrease in the filament current. Further, this embodiment employs a control means; therefore, the electron beam irradiation same as under the normal state can be maintained by regulating the grid voltage with the control means even when the filament deterioration occurs more or less. When the filament deterioration develops into a degree that the control means cannot control, the calculating means 20 decides that the state is being filament deterioration based on the result of comparison with the current setting I0. In this event, the emitted light luminance becomes dark compared with the state under the normal working order because the filament deterioration reduces amount of thermal electrons that could have been emitted although the grid voltage is regulated to its available maximum by the control means. In the decision of the filament deterioration, the calculating means 20 loads the emitted light luminance K of the image captured by the photographing means 6 to compare with the first threshold value S1 and the second threshold value S2. When the emitted light luminance K is equal to or higher than the second threshold value S2 and is equal to or lower the first threshold value S1, the calculating means 20 loads the filament current I from the ammeter 23 to compare the loaded filament current I with the current setting I0 stored in the storage means 21. When the comparison indicates that the filament current I is equal to or smaller than the current setting I0, the calculating means 20 reads in the state of the filament deterioration stored in the storage means 21 to decide that the state of electron beam irradiation is being filament deterioration. When, in contrast, the filament current I is larger than the current setting I0, the calculating means 20 reads in the state of normal stored in the storage means 21 to decide that the state of electron beam irradiation is normal. Then, the result of the decision is transferred to the display means 8 to permit outputting. Explanation follows referring to FIG. 15, a flowchart indicating details of processing steps in the calculating means 20. The elements same as those in FIG. 5, 7, 9, 11, or 13 are assigned the same signs used in such figures and explanation is omitted for those portions that have appeared in these figures. When the calculating means 20 finds in the processing step S5 that the emitted light luminance K is equal to or higher than the second threshold value S2, the calculating means 20 loads the filament current I from the ammeter 23 (S14). Then, the calculating means 20 decides whether or not the loaded filament current I is equal to or smaller than the current setting I0 stored in the storage means 21 (S15). When the filament current I is equal to or smaller than the current setting I0, the calculating means 20 reads in the state of “filament deterioration” from among states of electron beam irradiation stored in advance in the storage means 21 to decide that the state of electron beam irradiation is being filament deterioration. When, in contrast, the filament current I is not equal to nor smaller than the current setting I0, the calculating means 20 reads in “normal” from among the states of filament deterioration stored in advance in the storage means 21 to decide that the state of electron beam irradiation is normal. Embodiment 7 is an example in which the calculating means 20 divides the image captured by the photographing means 6 into plural segments and loads the emitted light luminance of each segment. FIG. 16 is a plane view of the plastic film 1 captured by the photographing means 6, in which the image is divided by the calculating means 20 into 12 segments. The plastic film 1 is conveyed in the arrow-indicated direction illustrated in FIG. 16 and, above the plastic film 1 that is divided into segments, the electron beam irradiation means 4 (not shown) is provided. The calculating means 20 loads the emitted light luminance K from each of the segments and compares with the threshold values stored in the storage means 21 to permit grasping the emitted light luminance K of every segment. Thus, it is enabled to keep track of the location of irregularity in detail in correspondence with each of the segments on occurrence of abnormality in the state of electron beam irradiation. The shaded portion in FIG. 16 denotes the emitted light luminance K when a broken filament occurs expressing that the filament above such segment is broken. In this embodiment, the number of segments is 12, which is an explanatory example. The number of segments can be varied properly according to the width or conveying speed of the plastic film 1. Embodiment 8 is an example of arrangement of the photographing means 6. Explanation of this example follows referring to FIGS. 17 to 19. The elements same as those in FIG. 1 and FIG. 2 are assigned the same signs used in such figures and explanation is omitted for those portions that have appeared in these figures. As illustrated in FIG. 17, the observation window 7 and the space enveloped by metal such as stainless steel, which are provided inside the irradiation chamber 5 for accommodating the photographing means 6, are provided outside the irradiation chamber 5, i.e., on near side of the illustration. FIG. 18 is a vertical sectional view of the apparatus illustrated in FIG. 17 sectioned along the line B-B in FIG. 17. The figure illustrates the aspect in which the plastic film 1 is irradiated in the irradiation chamber with electron beam emitted from the electron beam irradiation means 4. As illustrated in FIG. 18, the space for accommodating the photographing means 6 is arranged in a position parallel to the side face of the carrier path 9. In the arrangement illustrated in FIG. 1, the photographing means 6 captures the emitted light through the observation window 7 from the position that fronts the conveying direction of the plastic film 1. In the arrangement illustrated in FIG. 18 in contrast, the photographing means 6 captures the emitted light through the observation window 7 from the position that faces perpendicularly to the conveying direction of the plastic film 1. Providing the accommodation space for the photographing means 6 in this position makes installation of the accommodation space for the photographing means 6 easy compared to providing the accommodation space for the photographing means 6 inside the irradiation chamber 5 under a reduced-pressure state, because it is enough to consider the airtightness of only the observation window 7. It is preferable to install the photographing means 6 on the position obliquely above the plastic film 1 to permit capturing the entire width of the plastic film 1. Where width of the plastic film 1 is broad, image capturing across its width will encounter difficulty. In this event, it is more preferable to provide a mirror 24 in a manner as illustrated in FIG. 19. When the mirror 24 is used, the photographing means 6 directs its lens toward the mirror 24 through the observation window 7 to capture the emitted lights reflected at the mirror 24. In this case, the mirror 24 is installed tilted so that the emitted lights from the plastic film 1 can be captured.
description
This application claims the benefit of U.S. Provisional Patent Application No. 60/578,957, filed Jun. 12, 2004, which is hereby incorporated by reference herein in its entirety for all purposes. Process control systems, like those used in chemical, petroleum or other processes, typically include one or more centralized or decentralized process controllers communicatively coupled to at least one host or operator workstation and to one or more process control and instrumentation devices such as, for example, field devices, via analog, digital or combined analog/digital buses. Field devices, which may be, for example, valves, valve positioners, switches, transmitters, and sensors (e.g., temperature, pressure, and flow rate sensors), are located within the process plant environment, and perform functions within the process such as opening or closing valves, measuring process parameters, increasing or decreasing fluid flow, etc. Smart field devices such as field devices conforming to the well-known FOUNDATION™ Fieldbus (hereinafter “Fieldbus”) protocol or the HART® protocol may also perform control calculations, alarming functions, and other control functions commonly implemented within the process controller. The process controllers, which are typically located within the process plant environment, receive signals indicative of process measurements or process variables made by or associated with the field devices and/or other information pertaining to the field devices, and execute controller applications. The controller applications implement, for example, different control modules that make process control decisions, generate control signals based on the received information, and coordinate with the control modules or blocks being performed in the field devices such as HART and Fieldbus field devices. The control modules in the process controllers send the control signals over the communication lines or signal paths to the field devices, to thereby control the operation of the process. Information from the field devices and the process controllers is typically made available to one or more other hardware devices such as, for example, operator workstations, maintenance workstations, personal computers, handheld devices, data historians, report generators, centralized databases, etc. to enable an operator or a maintenance person to perform desired functions with respect to the process such as, for example, changing settings of the process control routine, modifying the operation of the control modules within the process controllers or the smart field devices, viewing the current state of the process or of particular devices within the process plant, viewing alarms generated by field devices and process controllers, simulating the operation of the process for the purpose of training personnel or testing the process control software, diagnosing problems or hardware failures within the process plant, etc. While a typical process plant has many process control and instrumentation devices such as valves, transmitters, sensors, etc. connected to one or more process controllers, there are many other supporting devices that are also necessary for or related to process operation. These additional devices include, for example, power supply equipment, power generation and distribution equipment, rotating equipment such as turbines, motors, etc., which are located at numerous places in a typical plant. While this additional equipment does not necessarily create or use process variables and, in many instances, is not controlled or even coupled to a process controller for the purpose of affecting the process operation, this equipment is nevertheless important to, and ultimately necessary for proper operation of the process. As is known, problems frequently arise within a process plant environment, especially a process plant having a large number of field devices and supporting equipment. These problems may take the form of broken or malfunctioning devices, logic elements, such as software routines, being in improper modes, process control loops being improperly tuned, one or more failures in communications between devices within the process plant, etc. These and other problems, while numerous in nature, generally result in the process operating in an abnormal state (i.e., the process plant being in an abnormal situation) which is usually associated with suboptimal performance of the process plant. Many diagnostic tools and applications have been developed to detect and determine the cause of problems within a process plant and to assist an operator or a maintenance person to diagnose and correct the problems, once the problems have occurred and been detected. For example, operator workstations, which are typically connected to the process controllers through communication connections such as a direct or wireless bus, Ethernet, modem, phone line, and the like, have processors and memories that are adapted to run software or firmware, such as the DeltaV™ and Ovation control systems, sold by Emerson Process Management which includes numerous control module and control loop diagnostic tools. Likewise, maintenance workstations, which may be connected to the process control devices, such as field devices, via the same communication connections as the controller applications, or via different communication connections, such as OPC connections, handheld connections, etc., typically include one or more applications designed to view maintenance alarms and alerts generated by field devices within the process plant, to test devices within the process plant and to perform maintenance activities on the field devices and other devices within the process plant. Similar diagnostic applications have been developed to diagnose problems within the supporting equipment within the process plant. Thus, for example, the Asset Management Solutions (AMS™) application (at least partially disclosed in U.S. Pat. No. 5,960,214 entitled “Integrated Communication Network for use in a Field Device Management System”) sold by Emerson Process Management, enables communication with and stores data pertaining to field devices to ascertain and track the operating state of the field devices. In some instances, the AMS™ application may be used to communicate with a field device to change parameters within the field device, to cause the field device to run applications on itself such as, for example, self-calibration routines or self-diagnostic routines, to obtain information about the status or health of the field device, etc. This information may include, for example, status information (e.g., whether an alarm or other similar event has occurred), device configuration information (e.g., the manner in which the field device is currently or may be configured and the type of measuring units used by the field device), device parameters (e.g., the field device range values and other parameters), etc. Of course, this information may be used by a maintenance person to monitor, maintain, and/or diagnose problems with field devices. Similarly, many process plants include equipment monitoring and diagnostic applications such as, for example, RBMware provided by CSI Systems, or any other known applications used to monitor, diagnose, and optimize the operating state of various rotating equipment. Maintenance personnel usually use these applications to maintain and oversee the performance of rotating equipment in the plant, to determine problems with the rotating equipment, and to determine when and if the rotating equipment must be repaired or replaced. Similarly, many process plants include power control and diagnostic applications such as those provided by, for example, the Liebert and ASCO companies, to control and maintain the power generation and distribution equipment. It is also known to run control optimization applications such as, for example, real-time optimizers (RTO+), within a process plant to optimize the control activities of the process plant. Such optimization applications typically use complex algorithms and/or models of the process plant to predict how inputs may be changed to optimize operation of the process plant with respect to some desired optimization variable such as, for example, profit. These and other diagnostic and optimization applications are typically implemented on a system-wide basis in one or more of the operator or maintenance workstations, and may provide preconfigured displays to the operator or maintenance personnel regarding the operating state of the process plant, or the devices and equipment within the process plant. Typical displays include alarming displays that receive alarms generated by the process controllers or other devices within the process plant, control displays indicating the operating state of the process controllers and other devices within the process plant, maintenance displays indicating the operating state of the devices within the process plant, etc. Likewise, these and other diagnostic applications may enable an operator or a maintenance person to retune a control loop or to reset other control parameters, to run a test on one or more field devices to determine the current status of those field devices, to calibrate field devices or other equipment, or to perform other problem detection and correction activities on devices and equipment within the process plant. While these various applications and tools are very helpful in identifying and correcting problems within a process plant, these diagnostic applications are generally configured to be used only after a problem has already occurred within a process plant and, therefore, after an abnormal situation already exists within the plant. Unfortunately, an abnormal situation may exist for some time before it is detected, identified and corrected using these tools, resulting in the suboptimal performance of the process plant for the period of time during which the problem is detected, identified and corrected. In many cases, a control operator will first detect that some problem exists based on alarms, alerts or poor performance of the process plant. The operator will then notify the maintenance personnel of the potential problem. The maintenance personnel may or may not detect an actual problem and may need further prompting before actually running tests or other diagnostic applications, or performing other activities needed to identify the actual problem. Once the problem is identified, the maintenance personnel may need to order parts and schedule a maintenance procedure, all of which may result in a significant period of time between the occurrence of a problem and the correction of that problem, during which time the process plant runs in an abnormal situation generally associated with the sub-optimal operation of the plant. Additionally, many process plants can experience an abnormal situation which results in significant costs or damage within the plant in a relatively short amount of time. For example, some abnormal situations can cause significant damage to equipment, the loss of raw materials, or significant unexpected downtime within the process plant if these abnormal situations exist for even a short amount of time. Thus, merely detecting a problem within the plant after the problem has occurred, no matter how quickly the problem is corrected, may still result in significant loss or damage within the process plant. As a result, it is desirable to try to prevent abnormal situations from arising in the first place, instead of simply trying to react to and correct problems within the process plant after an abnormal situation arises. One technique that may be used to collect data that enables a user to predict the occurrence of certain abnormal situations within a process plant before these abnormal situations actually arise, with the purpose of taking steps to prevent the predicted abnormal situation before any significant loss within the process plant takes place. This procedure is disclosed in U.S. patent application Ser. No. 09/972,078, entitled “Root Cause Diagnostics” (based in part on U.S. patent application Ser. No. 08/623,569, now U.S. Pat. No. 6,017,143). The entire disclosures of both of these applications are hereby incorporated by reference herein. Generally speaking, this technique places statistical data collection and processing blocks or statistical processing monitoring (SPM) blocks, in each of a number of devices, such as field devices, within a process plant. The statistical data collection and processing blocks collect, for example, process variable data and determine certain statistical measures associated with the collected data, such as a mean, a median, a standard deviation, etc. These statistical measures may then sent to a user and analyzed to recognize patterns suggesting the future occurrence of a known abnormal situation. Once a particular suspected future abnormal situation is detected, steps may be taken to correct the underlying problem, thereby avoiding the abnormal situation in the first place. Other techniques have been developed to monitor and detect problems in a process plant. One such technique is referred to as Statistical Process Control (SPC). SPC has been used to monitor variables, such as quality variables, associated with a process and flag an operator when the quality variable is detected to have moved from its “statistical” norm. With SPC, a small sample of a variable, such as a key quality variable, is used to generate statistical data for the small sample. The statistical data for the small sample is then compared to statistical data corresponding to a much larger sample of the variable. The variable may be generated by a laboratory or analyzer, or retrieved from a data historian. SPC alarms are generated when the small sample's average or standard deviation deviates from the large sample's average or standard deviation, respectively, by some predetermined amount. An intent of SPC is to avoid making process adjustments based on normal statistical variation of the small samples. Charts of the average or standard deviation of the small samples may be displayed to the operator on a console separate from a control console. Another technique analyzes multiple variables and is referred to as multivariable statistical process control (MSPC). This technique uses algorithms such as principal component analysis (PCA) and projections to latent structures (PLS) which analyze historical data to create a statistical model of the process. In particular, samples of variables corresponding to normal operation and samples of variables corresponding to abnormal operation are analyzed to generate a model to determine when an alarm should be generated. Once the model has been defined, variables corresponding to a current process may be provided to the model, which may generate an alarm if the variables indicate an abnormal operation. With model-based performance monitoring system techniques, a model is utilized, such as a correlation-based model or a first-principle model, that relates process inputs to process outputs. The model may be calibrated to the actual plant operation by adjusting internal tuning constants or bias terms. The model can be used to predict when the process is moving into an abnormal region and alert the operator to take action. An alarm may be generated when there is a significant deviation in actual versus predicted behavior or when there is a big change in a calculated efficiency parameter. Model-based performance monitoring systems typically cover as small as a single unit operation (e.g. a pump, a compressor, a heater, a column, etc.) or a combination of operations that make up a process unit (e.g. crude unit, fluid catalytic cracking unit (FCCU), reformer, etc.) Proportional-Integral-Derivative (PID) loop monitoring systems (e.g. DeltaV Inspect from Emerson Process Management, Loop Doctor from Matrikon, and Loop Scout from Honeywell) generate statistical data associated with a control loop. With PID loop monitoring systems, the generated statistical data is used to detect problems with the control loop such as high variability, limited control action, incorrect controller mode, and bad inputs. Also, PID loop tuning systems calculate process and controller gains, time constants and tuning factors and can be used to detect and correct problems with the control loop. Further, techniques have been developed for analyzing the performance and detecting problems with various field devices. In one technique, for example, a “signature” of a valve is captured when the valve is first commissioned. For instance, the system may stroke the valve from 0 to 100% and record the amount of air pressure required to move the valve through its full cycle. This “signature” is then used to monitor the actual air pressure against the signature air pressure and alert a maintenance technician when the deviation is too great. Systems and methods for monitoring control loops in a process plant are disclosed. Process gain data associated with a control loop may be collected. The collected process gain data may be used to determine an expected process gain behavior. For example, expected values of a process variable for given values of a load variable may be determined. As another example, expected changes in a process variable for given changes in a load variable may be determined. Then, during operation of the control loop, the process gain may be monitored. If the monitored process gain substantially deviates from the expected behavior, this may indicate an abnormal situation associated with the control loop. It may be determined if the monitored process gain substantially deviates from the expected behavior by determining if a process variable falls outside of a confidence interval, for example. As another example, it may be determined if the monitored process gain substantially deviates from the expected behavior by determining if a calculated process gain falls outside of a confidence interval. In some implementations, it may be helpful to provide a common set of criteria for a plurality of similar unit operations (e.g., a plurality of heaters, a plurality of distillation columns, a plurality of compressors, etc.) in the process plant, the criteria for determining if an abnormal situation exists based on process gain behavior. For each particular unit operation, however, expected process gain behavior could be determined individually. Then, process gains for the unit operations could be monitored based on the expected process gain behaviors, and abnormal situations could be detected based on the common set of criteria. Referring now to FIG. 1, an example process plant 10 in which an abnormal situation prevention system may be implemented includes a number of control and maintenance systems interconnected together with supporting equipment via one or more communication networks. In particular, the process plant 10 of FIG. 1 includes one or more process control systems 12 and 14. The process control system 12 may be a traditional process control system such as a PROVOX or RS3 system or any other control system which includes an operator interface 12A coupled to a controller 12B and to input/output (I/O) cards 12C which, in turn, are coupled to various field devices such as analog and Highway Addressable Remote Transmitter (HART) field devices 15. The process control system 14, which may be a distributed process control system, includes one or more operator interfaces 14A coupled to one or more distributed controllers 14B via a bus, such as an Ethernet bus. The controllers 14B may be, for example, DeltaV™ controllers sold by Emerson Process Management of Austin, Tex. or any other desired type of controllers. The controllers 14B are connected via I/O devices to one or more field devices 16, such as for example, HART or Fieldbus field devices or any other smart or non-smart field devices including, for example, those that use any of the PROFIBUS®, WORLDFIP®, Device-Net®, AS-Interface and CAN protocols. As is known, the field devices 16 may provide analog or digital information to the controllers 14B related to process variables as well as to other device information. The operator interfaces 14A may store and execute tools available to the process control operator for controlling the operation of the process including, for example, control optimizers, diagnostic experts, neural networks, tuners, etc. Still further, maintenance systems, such as computers executing the AMS™ application or any other device monitoring and communication applications may be connected to the process control systems 12 and 14 or to the individual devices therein to perform maintenance and monitoring activities. For example, a maintenance computer 18 may be connected to the controller 12B and/or to the devices 15 via any desired communication lines or networks (including wireless or handheld device networks) to communicate with and, in some instances, reconfigure or perform other maintenance activities on the devices 15. Similarly, maintenance applications such as the AMS™ application may be installed in and executed by one or more of the user interfaces 14A associated with the distributed process control system 14 to perform maintenance and monitoring functions, including data collection related to the operating status of the devices 16. The process plant 10 also includes various rotating equipment 20, such as turbines, motors, etc. which are connected to a maintenance computer 22 via some permanent or temporary communication link (such as a bus, a wireless communication system or hand held devices which are connected to the equipment 20 to take readings and are then removed). The maintenance computer 22 may store and execute known monitoring and diagnostic applications 23 provided by, for example, CSI (an Emerson Process Management Company) or other any other known applications used to diagnose, monitor and optimize the operating state of the rotating equipment 20. Maintenance personnel usually use the applications 23 to maintain and oversee the performance of rotating equipment 20 in the plant 10, to determine problems with the rotating equipment 20 and to determine when and if the rotating equipment 20 must be repaired or replaced. In some cases, outside consultants or service organizations may temporarily acquire of measure data pertaining to the equipment 20 and use this data to perform analyses for the equipment 20 to detect problems, poor performance or other issues effecting the equipment 20. In these cases, the computers running the analyses may not be connected to the rest of the system 10 via any communication line or may be connected only temporarily. Similarly, a power generation and distribution system 24 having power generating and distribution equipment 25 associated with the plant 10 is connected via, for example, a bus, to another computer 26 which runs and oversees the operation of the power generating and distribution equipment 25 within the plant 10. The computer 26 may execute known power control and diagnostics applications 27 such a as those provided by, for example, Liebert and ASCO or other companies to control and maintain the power generation and distribution equipment 25. Again, in many cases, outside consultants or service organizations may use service applications that temporarily acquire or measure data pertaining to the equipment 25 and use this data to perform analyses for the equipment 25 to detect problems, poor performance or other issues effecting the equipment 25. In these cases, the computers (such as the computer 26) running the analyses may not be connected to the rest of the system 10 via any communication line or may be connected only temporarily. As illustrated in FIG. 1, a computer system 30 implements at least a portion of an abnormal situation prevention system 35, and in particular, the computer system 30 stores and implements a configuration and data collection application 38, a viewing or interface application 40, which may include statistical collection and processing blocks, and a rules engine development and execution application 42 and, additionally, stores a statistical process monitoring database 43 that stores statistical data generated within certain devices within the process. Generally speaking, the configuration and data collection application 38 configures and communicates with each of a number of statistical data collection and analysis blocks (not shown in FIG. 1) located in the field devices 15, 16, the controllers 12B, 14B, the rotating equipment 20 or its supporting computer 22, the power generation equipment 25 or its supporting computer 26 and any other desired devices and equipment within the process plant 10, to thereby collect statistical data (or in some cases, process variable data) from each of these blocks with which to perform abnormal situation prevention. The configuration and data collection application 38 may be communicatively connected via a hardwired bus 45 to each of the computers or devices within the plant 10 or, alternatively, may be connected via any other desired communication connection including, for example, wireless connections, dedicated connections which use OPC, intermittent connections, such as ones which rely on handheld devices to collect data, etc. Likewise, the application 38 may obtain data pertaining to the field devices and equipment within the process plant 10 via a LAN or a public connection, such as the Internet, a telephone connection, etc. (illustrated in FIG. 1 as an Internet connection 46) with such data being collected by, for example, a third party service provider. The application 38 may generally store the collected data in the database 43. Once the statistical data (or process variable data) is collected, the viewing application 40 may be used to process this data and/or to display the collected or processed statistical data (e.g., as stored in the database 43) in different manners to enable a user, such as a maintenance person, to better be able to determine the existence of or the predicted future existence of an abnormal situation and to take preemptive corrective actions. Thus, the term “abnormal situation prevention” may include detecting an abnormal situation in its early stages in order to allow corrective or mitigating actions to be taken before more serious and/or expensive actions need to be taken and/or before the abnormal situation develops into a more serious and/or expensive situation. As a simple example, early detection of a valve problem may allow inexpensive corrective action to be taken before an entire batch is ruined or before a process unit must be shut down for safety reasons. The rules engine development and execution application 42 may use one or more rules stored therein to analyze the collected data to determine the existence of, or to predict the future existence of an abnormal situation within the process plant 10. Additionally, the rules engine development and execution application 42 may enable an operator or other user to create additional rules to be implemented by a rules engine to detect or predict abnormal situations. FIG. 2 illustrates a portion 50 of the example process plant 10 of FIG. 1 for the purpose of describing one manner in which statistical data collection may be performed by the abnormal situation prevention system 35. While FIG. 2 illustrates communications between the abnormal situation prevention system applications 38, 40 and 42 and the database 43 and one or more data collection blocks within HART and Fieldbus field devices, it will be understood that similar communications can occur between the abnormal situation prevention system applications 38, 40 and 42 and other devices and equipment within the process plant 10, including any of the devices and equipment illustrated in FIG. 1. The portion 50 of the process plant 10 illustrated in FIG. 2 includes a distributed process control system 54 having one or more process controllers 60 connected to one or more field devices 64 and 66 via input/output (I/O) cards or devices 68 and 70, which may be any desired types of I/O devices conforming to any desired communication or controller protocol. The field devices 64 are illustrated as HART field devices and the field devices 66 are illustrated as Fieldbus field devices, although these field devices could use any other desired communication protocols. Additionally, the field devices 64 and 66 may be any types of devices such as, for example, sensors, valves, transmitters, positioners, etc., and may conform to any desired open, proprietary or other communication or programming protocol, it being understood that the I/O devices 68 and 70 must be compatible with the desired protocol used by the field devices 64 and 66. In any event, one or more user interfaces or computers 72 and 74 (which may be any types of personal computers, workstations, etc.) accessible by plant personnel such as configuration engineers, process control operators, maintenance personnel, plant managers, supervisors, etc. are coupled to the process controllers 60 via a communication line or bus 76 which may be implemented using any desired hardwired or wireless communication structure, and using any desired or suitable communication protocol such as, for example, an Ethernet protocol. In addition, a database 78 may be connected to the communication bus 76 to operate as a data historian that collects and stores configuration information as well as on-line process variable data, parameter data, status data, and other data associated with the process controllers 60 and field devices 64 and 66 within the process plant 10. Thus, the database 78 may operate as a configuration database to store the current configuration, including process configuration modules, as well as control configuration information for the process control system 54 as downloaded to and stored within the process controllers 60 and the field devices 64 and 66. Likewise, the database 78 may store historical abnormal situation prevention data, including statistical data collected by the field devices 64 and 66 within the process plant 10 or statistical data determined from process variables collected by the field devices 64 and 66. While the process controllers 60, I/O devices 68 and 70, and field devices 64 and 66 are typically located down within and distributed throughout the sometimes harsh plant environment, the workstations 72 and 74, and the database 78 are usually located in control rooms, maintenance rooms or other less harsh environments easily accessible by operators, maintenance personnel, etc. Generally speaking, the process controllers 60 store and execute one or more controller applications that implement control strategies using a number of different, independently executed, control modules or blocks. The control modules may each be made up of what are commonly referred to as function blocks, wherein each function block is a part or a subroutine of an overall control routine and operates in conjunction with other function blocks (via communications called links) to implement process control loops within the process plant 10. As is well known, function blocks, which may be objects in an object-oriented programming protocol, typically perform one of an input function, such as that associated with a transmitter, a sensor or other process parameter measurement device, a control function, such as that associated with a control routine that performs PID, fuzzy logic, etc. control, or an output function, which controls the operation of some device, such as a valve, to perform some physical function within the process plant 10. Of course, hybrid and other types of complex function blocks exist, such as model predictive controllers (MPCs), optimizers, etc. It is to be understood that while the Fieldbus protocol and the DeltaV™ system protocol use control modules and function blocks designed and implemented in an object-oriented programming protocol, the control modules may be designed using any desired control programming scheme including, for example, sequential function blocks, ladder logic, etc., and are not limited to being designed using function blocks or any other particular programming technique. As illustrated in FIG. 2, the maintenance workstation 74 includes a processor 74A, a memory 74B and a display device 74C. The memory 74B stores the abnormal situation prevention applications 38, 40 and 42 discussed with respect to FIG. 1 in a manner that these applications can be implemented on the processor 74A to provide information to a user via the display 74C (or any other display device, such as a printer). Additionally, as shown in FIG. 2, some (and potentially all) of the field devices 64 and 66 include data collection and processing blocks 80 and 82. While, the blocks 80 and 82 are described with respect to FIG. 2 as being advanced diagnostics blocks (ADBs), which are known Foundation Fieldbus function blocks that can be added to Fieldbus devices to collect and process statistical data within Fieldbus devices, for the purpose of this discussion, the blocks 80 and 82 could be or could include any other type of block or module located within a process device that collects device data and calculates or determines one or more statistical measures or parameters for that data, whether are not these blocks are located in Fieldbus devices or conform to the Fieldbus protocol. While the blocks 80 and 82 of FIG. 2 are illustrated as being located in one of the devices 64 and in one of the devices 66, these or similar blocks could be located in any number of the field devices 64 and 66, could be located in other devices, such as the controller 60, the I/O devices 68, 70 or any of the devices illustrated in FIG. 1. Additionally, the blocks 80 and 82 could be in any subset of the devices 64 and 66. Generally speaking, the blocks 80 and 82 or sub-elements of these blocks, collect data, such a process variable data, within the device in which they are located and perform statistical processing or analysis on the data for any number of reasons. For example, the block 80, which is illustrated as being associated with a valve, may have a stuck valve detection routine which analyzes the valve process variable data to determine if the valve is in a stuck condition. In addition, the block 80 includes a set of four statistical process monitoring (SPM) blocks or units SPM1-SPM4 which may collect process variable or other data within the valve and perform one or more statistical calculations on the collected data to determine, for example, a mean, a median, a standard deviation, etc. of the collected data. The term statistical process monitoring (SPM) block is used herein to describe functionality that performs statistical process monitoring on at least one process variable or other process parameter, and may be performed by any desired software, firmware or hardware within the device or even outside of a device for which data is collected. It will be understood that, because the SPMs are generally located in the devices where the device data is collected, the SPMs can acquire quantitatively more and qualitatively more accurate process variable data. As a result, the SPM blocks are generally capable of determining better statistical calculations with respect to the collected process variable data than a block located outside of the device in which the process variable data is collected. As another example, the block 82 of FIG. 2, which is illustrated as being associated with a transmitter, may have a plugged line detection unit that analyzes the process variable data collected by the transmitter to determine if a line within the plant is plugged. In addition, the block 82 includes a set of four SPM blocks or units SPM1-SPM4 which may collect process variable or other data within the transmitter and perform one or more statistical calculations on the collected data to determine, for example, a mean, a median, a standard deviation, etc. of the collected data. If desired, the underlying operation of the blocks 80 and 82 may be performed or implemented as described in U.S. Pat. No. 6,017,143 referred to above. While the blocks 80 and 82 are illustrated as including four SPM blocks each, the blocks 80 and 82 could have any other number of SPM blocks therein for collecting and determining statistical data. Likewise, while the blocks 80 and 82 are illustrated as including detection software for detecting particular conditions within the plant 10, they need not have such detection software. Still further, while the SPM blocks discussed herein are illustrated as being sub-elements of ADBs, they may instead be stand-alone blocks located within a device. Also, while the SPM blocks discussed herein may be known Foundation Fieldbus SPM blocks, the term statistical process monitoring (SPM) block is used herein to refer to any type of block or element that collects data, such as process variable data, and performs some statistical processing on this data to determine a statistical measure, such as a mean, a standard deviation, etc. As a result, this term is intended to cover software or firmware or other elements that perform this function, whether these elements are in the form of function blocks, or other types of blocks, programs, routines or elements and whether or not these elements conform to the Foundation Fieldbus protocol, or some other protocol, such as Profibus, HART, CAN, etc. protocol. The term “process gain” may be used to refer to a change in a process variable (PV) resulting from some change in a load variable (LV) associated with the PV. For instance, a process gain may be a final, steady-state change in the PV resulting from a 0.1% change, a 1% change, a 5% change, a 10% change, etc., in the LV. The term “process gain” also may be used to refer to a ratio of a steady-state value of the PV at a given value of the LV. The PV could be an input to or an output from a controller (e.g., a PID controller), for example. The LV could be some other process variable associated with the PV, or it could be a control output (OP), for example. For many control loops and controllers, the actual process gain can be expected to vary over the range of the LV. For example, valve linearization techniques are often applied to approximate a linear gain from closed to fully open so that the PID algorithm (a linear controller) will work properly across the full range of a valve. Some controllers may utilize a Proportional-Integral-Derivative (PID) control algorithm to generate the OP. For example, typical continuous or batch processes in various industries are controlled using PID control algorithms to manipulate valves that control process flows of some kind. A typical PID algorithm is tuned to respond to a deviation in a PV input to the algorithm from a setpoint (SP) or target input. FIG. 3 illustrates an example heat exchanger control loop 150 that employs a PID algorithm 154. The control loop 150 may be implemented in a process plant such as the process plant 10 of FIG. 1, and/or by a portion of a process plant such as the portion 50 of FIG. 2. The control loop 150 includes a heat exchanger 158 having a plurality of inputs and outputs. The control loop 150 also includes a valve field device 162 to vary an input to the heat exchanger 158 and a temperature sensor field device 166 to monitor a temperature of an output of the heat exchanger 158. In the example control loop 150, the PID algorithm 154 adjusts an OP (which is used to control the valve 162) in response to deviations in the PV input (the output of the temperature sensor 166) from a SP input. Referring to FIG. 2, the PID algorithm may be implemented by one or more of the controller 60, a field device 64, and a field device 66, for example. Referring again to FIG. 3, the PID algorithm 154 may be implemented by one or more of a controller (not shown in FIG. 3), the valve field device 162, the temperature sensor field device 166, or some other field device not shown in FIG. 3, for example. In the control loop 150 of FIG. 3, a process gain may be determined as a final, steady-state change in the PV input to the PID algorithm 154 resulting from some change in the control OP of the PID algorithm 154. The process gain of a control loop need not be based on a PV input to a PID algorithm. Rather, the process gain could be based on some other PV in the control loop. FIG. 4 illustrates an example level control loop 200 that employs a PID algorithm 204. The control loop 200 may be implemented in a process plant such as the process plant 10 of FIG. 1, and/or by a portion of a process plant such as the portion 50 of FIG. 2. The control loop 200 includes a vessel 208 having a plurality of inputs and outputs. The control loop 200 also includes a valve field device 212 to vary an output of the vessel 208 and a flow rate sensor field device 216 to sense a flow rate into the vessel 208. The flow rate sensor field device 216 may generate a process variable (PV2) indicative of the flow rate into the vessel 208. The control loop 200 may further include a level sensor field device 220 to sense a level of material in the vessel 208. In the example control loop 200, the PID algorithm 204 adjusts an OP (which is used to control the valve 212) in response to deviations in a process variable input (PV1) (which is the output of the level sensor 220) from a SP input. Referring to FIG. 2, the PID algorithm may be implemented by one or more of the controller 60, a field device 64, and a field device 66, for example. Referring again to FIG. 4, the PID algorithm 204 may be implemented by one or more of a controller (not shown in FIG. 4), the valve field device 212, the flow rate sensor field device 216, the level sensor field device 220, or some other field device not shown in FIG. 4, for example. In the control loop 200 of FIG. 4, a process gain may be determined as a final, steady-state change in OP resulting from some change in PV2. The process variable PV2 may also be referred to as a LV. In this example, the OP is the independent process variable (PV) that is a function of the LV. The control loops illustrated in FIGS. 3 and 4 are merely examples of control loops with which methods, systems, and techniques that will be described in more detail below can be utilized. One of ordinary skill in the art will recognize that many other types of control loops can be utilized as well. SPM blocks can be used to generate statistical data associated with a process gain of a control loop. This statistical data may be useful in detecting, predicting, preventing, mitigating, etc., an abnormal situation associated with the control loop. The statistical data associated with the control loop that may be generated based on data generated by SPM blocks may, for example, be compared to an expected PV for a given OP or LV, an expected OP for a given PV or LV, an expected change in the PV for a given change in the OP or LV, an expected change in the OP for a given change in the PV or LV, etc. Many other types of statistical data associated with the control loop may also be generated using the SPM blocks and may be compared to many-different types of data. The statistical data generated using the SPM blocks can be used to detect, predict, prevent, mitigate, etc., an abnormal situation. For example, if an actual PV or an actual change in the PV deviates from the expected PV or the expected change in the PV, respectively, by some degree or amount, an alarm or alert may be generated, further analysis may be performed, a control parameter may be adjusted, etc. One technique that may be used to determine data associated with the process gain of a control loop may include operating the control loop across an operating region and collecting data points associated with the process gain. With a control loop including a valve for example, PV data could be collected as an OP signal is varied to cycle a valve from a fully closed position to a fully open position (or vice versa). Then, the collected data could be used to generate expected PV values for different OP values. As just one example, any of a variety of suitable algorithms (e.g., a least squares algorithm) could be used to fit a curve to the collected data of actual PV values for different OP values. The relationship of expected PV values for given OP values (or vice versa) for a control loop may be referred to as the signature of the control loop. FIG. 5 is a flow diagram of an example method 300 that may be used to generate process gain data for a control loop. The example method 300 will be described with reference to FIG. 6. At a block 304, the control loop may be put into a mode in which PV vs. LV data may be collected over different segments of operation of the control loop and for some period of time. This mode of operation may be referred to as a “Learn Mode” and may be performed, for example, at startup or some other suitable time. At a bloc 308, PV vs. LV data may be collected during the Learn Mode. FIG. 6 illustrates an example graph 318 in which collected PV vs. LV data for a control loop, such as the control loop of FIG. 3, collected during a Learn Mode, is shown. Each dot 320 may represent a collected PV, LV data pair. Referring again to FIG. 5, at a block 312, a signature of the loop may be generated based on the data collected at the block 308. As just one example, a curve may be fitted to the collected data in an operating region of the loop using any of various suitable algorithms. The fitted curve may comprise the signature of the loop in the operating region. In the example graph 318, a curve 322 has been fit to the collected data using a least squares algorithm. One of ordinary skill in the art will recognize that other curve fitting techniques may be used as well. At a block 316, confidence intervals may be generated for the Signature. The generated confidence intervals may be indicative of a 95% confidence level, for example. Other confidence levels may be employed as well such as 90%, 99%, 99.9%, etc. Any of various suitable algorithms may be used to generate the confidence intervals using the data collected at the block 308. In the example graph 318, lines 324a and 324b indicate a confidence interval corresponding to the signature 322. The lines 324a and 324b may indicate a 95% confidence level, as an example. The line 326 indicates a slope of the signature 322 at the point 328. This slope may indicate the process gain of the control loop corresponding to the PV and LV at the point 328. In some implementations, the line 322 may indicate the process gain of the control loop. Namely, the line 322 indicates for each value of LV an expected value of PV. In other implementations, intervals different than confidence intervals may be used. As just one example, an operator could select an interval to be used based, for instance, on the operator's knowledge of the operation of the control loop. FIG. 7 is a block diagram of an example subsystem 350 that may be used to generate a signature and confidence interval for a control loop such as the control loop of FIG. 3. The subsystem 350 may be implemented in whole or in part, for example, by one or more data collection and processing blocks similar to the blocks 80 and 82 of FIG. 2. Further, the subsystem 350 may be implemented in whole or in part by one or more of field devices associated with the control loop, a controller associated with the control loop, field devices and/or controllers not associated with the control loop, a workstation, etc. The subsystem 350 may comprise an OP generator 354 to cause the OP to vary during the Learn mode. The OP generator 354 may be implemented using the PID 154 (FIG. 3), for instance. As just one example, the SP input to the PID 154 could be varied to cause the OP to vary. Thus, the OP generator 354 may comprise a SP generator and a PID, for example. The subsystem 350 may also comprise a data collector 358 to collect PV and OP data during the Learn mode. A data store 362 may store the data collected by the data collector 358. Optionally, the data may be processed by the data collector 358 prior to storing in the data store 362. For example, the data could be filtered, averaged, etc. A signature generator 366 may use the data from the data store to generate a signature for the control loop. Similarly, an interval generator 370 may use the data from the data store to generate an interval for the signature such as a confidence interval. The signature generator 366 and the interval generator 370 may optionally use other data as well. The signature generator 366 and the interval generator 370 may be implemented as separate components or as a single component, for example. FIG. 8 is a block diagram of an example subsystem 380 that may be used to generate a signature and a confidence interval for a control loop such as the control loop of FIG. 4. The subsystem 380 is similar to the subsystem 350 of FIG. 7 and may include some of the same elements. The subsystem 380 may comprise a control signal generator 354 that causes the PV and/or the LV to vary during the Learn mode. The control signal generator 354 could comprise a SP generator and a PID, for example. The subsystem 380 may also comprise a data collector 388 to collect PV and LV data during the Learn mode. Expected values of the process gain vs. different values of a PV or an LV may be generated based on the generated signature for a control loop. Further, confidence intervals or some other type of interval may be generated for the process gain. Any of a variety of suitable techniques may be used to generate expect values and intervals for a process gain of a control loop. FIG. 9 is an example graph 390 of expected values of a process gain of a control loop versus an LV of a control loop. In particular, a line 392 indicates the expected values of the process gain, and the lines 394a and 394b may indicate an interval such as a confidence interval. Referring again to FIGS. 7 and 8, in some implementations it may be preferable to collect PV, LV, process gain, etc., data during normal operation of the control loop (i.e., without directly causing the OP, SP, PV, LV, etc., to vary beyond that due to normal control loop operation). Thus, it may be preferable in some implementations to omit the OP generator 354 and the control signal generator 384. Or, the OP generator 354 and the control signal generator 384 each may merely comprise a normally operating PID algorithm implemented by a controller. FIG. 10 is a flow diagram of an example method 400 that may be implemented during operation of a control loop to help detect, predict, mitigate, and/or prevent an abnormal situation. At a block 404, PV and LV statistical data may be generated during operation of the control loop. For example, SPM blocks may be used to generate mean, standard deviation, etc., data for the PV and LV. The statistical data (e.g., mean, standard deviation) may be generated over some small number of samples of the PV or the LV, such as 8-12 samples. Smaller or larger sample sizes (including significantly larger sample sizes) could also be used. Also, a process gain may be generated based on the measured PV and LV data, PV and LV statistical data (e.g., means), etc. The SPM blocks could be implemented, for example, by one or more of field devices, a controller, or a workstation. At a block 408, rules may be applied to some or all of the statistical data generated at the block 404 to determine if a statistically significant process gain deviation has occurred. For example, statistical data generated at the block 404 may be compared with a control loop signature and/or confidence intervals. Rules, such as rules similar to SPC rules, may be applied. For example, it may be determined if a mean of a PV fell outside of a confidence interval. Also, it may be determined if a particular number of PV mean values fell below the signature, or if a particular number of PV mean values fell above the signature. As further examples, it may be determined if a process gain fell outside of a confidence interval, a particular number of process gain values fell below the signature, or if a particular number of process gain values fell above the signature. At a block 412, an alert may be generated if it is determined at the block 408 that a statistically significant process gain deviation has occurred. Additionally or alternatively, some action may be taken such as making process plant adjustments, adjusting control signal values, shutting equipment down, initializing additional diagnostic procedures, etc. FIG. 11 is an example graph 420 illustrating various values during an interval of operation of a control loop and may help illustrate the operation of the method 400 of FIG. 10. In the graph 420, a median 422 of a PV during an interval of operation of the control loop is shown. Also, a median 423 of an LV is shown. These medians may be calculated at the block 404 of FIG. 10, for example. Further, the graph shows an expected value 424 of the PV and the confidence interval 426a, 426b during the interval of operation. As can be seen in the graph 420, the expect value 424 of the PV varies as the median 423 of the LV varies. In a region generally indicated by the circle 429, the median 422 of the PV falls below the lower bound 426b of the confidence interval and continuously falls below the expected value 424 for a period of time. Thus an alert may be generated (blocks 408 and 412 of FIG. 10) or some other action may be taken. FIG. 12 is another example graph 430 illustrating various values during an interval of operation of another control loop. In the graph 430, an actual process gain 432 during an interval of operation of the control loop is shown. The actual process gain 432 may be calculated based on collected PV and LV values for example. Also shown is a median 433 of an LV. The process gain and the median may be calculated at the block 404 of FIG. 10, for example. Further, the graph shows the expected value 434 of the process gain and the confidence intervals 435a, 435b over time. As can be seen in the graph 430, the expected process gain 434 may vary as the median 433 of the LV varies. An oval 437 generally indicates a region in which the actual process gain 433 continuously falls below the expected process gain 434 for a period of time and also falls below the lower bound 435b of the confidence interval. Thus an alert may be generated or some other action may be taken. FIG. 13 is another example graph 440 showing a stick-slip condition associated with a control loop. In this example, a process gain may be determined based on a change in a PV as a result of a given change in an OP. The graph 440 includes a PV 442, a SP 443, an OP 444, and an actual process gain 446. As can be seen in the graph 440, the stick-slip condition causes spikes 448 in the actual process gain 446. Applied rules may result in the spikes causing an alert to be generated or some other action to be taken. It is believed that the detection of statistically significant process gain related deviations, such as those described above, may provide an early indicator of process problems. Early knowledge about a process gain change may be able to provide time for the operator to analyze the problem before it becomes critical. Additionally, detection of statistically significant process gain related deviations may help with root cause analysis and/or provide an inference tool to base recommended operator responses. For example, if a unit feed valve is open more than expected, this may indicate that an extra pressure drop exists in the system caused by, for example, an obstruction either upstream or downstream of the valve. An alert to an operator could be generated indicating that a unit feed flow valve is too far open: “FIC-xxx valve appears too far open. Check pump xxx strainer”. A help screen associated with the alert could be provided that would lead the operator to a historical trend of the PV vs. OP showing actual, expected loop signature and confidence intervals with statistical alarm points shown (e.g., in a different color). Referring again to FIG. 10, the method 400 may be implemented via a rules-based expert engine, for example. FIG. 14 is a block diagram of an example rules system 450 that may be used to implement the method 400. The rules system 450 may include a rules engine 454, which may be any type of rules based expert engine and a set of rules 458 which may be stored in a database (such as within one or more memories associated with one or more field devices, a controller, a workstation 14, etc.) accessible by the rules engine 454. The rules engine 454 analyzes statistical parameters associated with process variables (e.g., PV, LV, etc.), which may be generated, for example, by one or more SPM blocks. The rules engine 454 may also analyze other data such as measured or generated process variables (e.g., PV, LV, etc.). The rules engine 454 may also analyze signature and confidence interval data such as the signature and confidence interval data generated at the blocks 312 and 316 of FIG. 5. The rules engine 454 applies the rules 458 to the statistical parameters, the signature and confidence interval data, and, optionally, other data to determine if an abnormal situation exists that indicates, according to at least one of the rules 458, that an alert or alarm should sent to a user, for example. Of course, if desired, the rules engine 454 may take other actions, in addition to or instead of providing or setting an alarm, if a rule indicates that a problem exists. Such actions may include, for example, shutting down one or more components of the process, switching or adjusting control parameters to alter the control of the process, initializing additional diagnostic procedures, etc. Optionally, a rules development application or routine 462 may enable a user to develop one or more expert system rules (e.g., to be used as one of the rules 458) based on statistical data patterns and their correlations, to thereby detect abnormal situations associated with the control loop. Thus, while at least some of the rules 458 used by the rules engine 454 may be preset or preconfigured, the rules development application 462 enables a user to create other rules based on experiences within the process plant being monitored. For example, if a user knows that a certain combination of process gain conditions or events indicates a certain problem with the control loop, the user can use the rules development application 462 to create an appropriate rule to detect this condition and/or, if desired, to generate an alarm or alert or to take some other action based on the detected existence of this condition. U.S. Provisional Patent Application No. 60/549,796, filed Mar. 3, 2004, and entitled “ABNORMAL SITUATION PREVENTION IN A PROCESS PLANT” and U.S. patent application Ser. No. 10/971,361, filed Oct. 22, 2004, and entitled “ABNORMAL SITUATION PREVENTION IN A PROCESS PLANT,” describe example rules development applications and configuration screens that may be used to create rules for detecting abnormal situations and/or, if desired, for generating alarms, alerts, or for taking some other action based on the detected existence of abnormal situations. Similar or different rules development applications may be used as well to develop the rules 458. The above-referenced patent applications are hereby incorporated by reference herein in their entireties for all purposes. Of course, during operation of the process plant, the rules engine 454, which may be configured to receive the SPM data, for example, (and any other needed data), applies the rules 458 to determine if any of the rules are matched. If an abnormal situation associated with the process gain of the control loop is detected based on one or more of the rules 458, an alert can be displayed to a plant operator, or sent to another appropriate person, or some other action may be taken. The rules engine 454 may be implemented, at least partially, by one or more field devices associated with a control loop. Additionally or alternatively, the rules engine 454 may be implemented, at least partially, by some other device such as one or more other a controller, a workstation, etc. Additionally, some of the data that may be used by the rules engine 454 may be generated by SPM blocks in field device. In this case, the rules engine 454 may be a client system or may be part of a client system that reads the SPM parameters and conditions from the field device via, for example, one or more of a communications link, a controller, etc. Referring again to FIG. 10, the method 400 may need not be implemented via a rules-based expert engine. FIG. 15 is a block diagram of another example system 480 that may be used to implement the method 400. A process gain evaluator 484 may receive process gain data such as measured or processed PV's, and/or LV's, measured or processed process gains, etc. For example, the process gain evaluator could receive measured PV values, mean PV values, and/or median PV values. Additionally, the process gain evaluator 484 could receive process gain signature data and, optionally, interval data. The process gain evaluator 484 could be configured to generate an indicator when a PV, LV, or calculated process gain substantially deviates from an expected behavior. For example, the process gain evaluator 484 could be configured to determine an expected value of a PV based on an LV corresponding to a process gain and based on the received process gain signature data. Additionally, the process gain evaluator 484 could be configured to keep track of time periods in which an actual PV value or a processed PV value (e.g., a mean, median, etc. of the PV) continuously stayed above the expected values or continuously stayed below the expected values. Also, the process gain evaluator 484 could be configured to determine if an actual PV value or a processed PV value fell outside of an interval indicated by the received interval data. Additionally, the process gain evaluator 480 could be configured to keep track of time periods in which an actual PV value or a processed PV value continuously fell outside of an interval indicated by the received interval data. Further, the process gain evaluator 484 could be configured to generate process gains based on received measured or processed PV's and/or LV's. Then, the process gain evaluator 484 could determine if the process gain substantially deviated from a process gain signature. The system 480 could also comprise an abnormal situation detector 488. The abnormal situation detector 488 could receive one or more indicators when the PV, LV, or calculated process gain substantially deviates from the expected behavior. The abnormal situation detector 488 could optionally receive other types of data such as actual values of process variables, statistical values of process variables, alerts, historical data, etc. Additionally, the abnormal situation detector 488 could receive outputs from other process gain evaluators such as process gain evaluators associated with different control loops. The abnormal situation detector 488 could be configured to detect one or more abnormal situations associated with a control loop and/or process plant entity associated with the control loop (e.g., a unit operation such as a heater, a compressor, a column, a drum, etc.) based at least on the indicator when the PV, LV, or calculated process gain substantially deviates from the expected behavior. The abnormal situation detector 488 could comprise an expert system, for example, or any other suitable system for detecting an abnormal situation in a process plant. Substantial deviation may generally indicate a statistically significant deviation. For example, a substantial deviation may occur if a PV, OP, a gain, falls below an expected value for a specified period of time (e.g., a specified time period, a specified number of samples, etc.). As another example, a substantial deviation may occur if a PV or OP falls above an expected value for a specified period of time. As yet another example, a substantial deviation may occur if a PV or OP falls outside of an interval about an expected value (e.g., a confidence interval). In some implementations, a system may be provided to permit a user to easily apply the above techniques to a plurality of control loops in a process plant or a portion of the process plant. For example, the system may permit auto or semiauto-configuration of SPM blocks associated with a PID-based control loop using configuration information from a PIBD block itself. As another example, the system may permit a user to jointly operate a group of process gain analysis subsystems associated with an item of equipment or a process unit. FIG. 16 is an example screen display 500 associated with a heater. The display 500 includes a graphical depiction 504 of a heater in a process plant. In this example, a steady state operation of the heater has been detected. In response, an operator may be prompted whether to turn on a process gain analysis via a window 506. If the operator selects, via a button 508, to turn on process gain analysis, process gain analysis for one or more control loops associated with the heater unit may commence. As another example, an operator may be prompted and/or may be able to easily turn learning mode ON or OFF for all or particular process gain analysis subsystems associated with a specific piece of equipment or process unit (e.g., a heater unit). In some implementations, a system may provide standard criteria (e.g., expert system rules) that may be used to identify similar problems for multiple control loops associated with similar process control systems. For example, if a process plant includes multiple heater units, a common set of criteria associated with monitoring process gains could be provided for each similar control loop in the heater units. But signatures and/or confidence intervals for each control loop in the heater units could be determined individually. Optionally, a user could modify or customize the common set of criteria as applied to some subset of the heater units. Also, the system may allow an authorized user to define specific messages, guidance and rule-based, “if-then-else” logic behind any loop's process gain alerts. Referring again to FIG. 5, a control loop signature may be determined over some region or regions of operation of the control loop. During operation of the control loop, it may be determined that the control loop is operating in a region for which a signature has not yet been determined. Under this condition, the system may generate expected values for this new region of operation based on the signature previously generated for the other regions of operation. Additionally or alternatively, an operator may be prompted as to whether a new Learn mode should be initiated to obtain data including data for the new region of operation. Additionally, the operator may be prompted as to whether Learn mode should be “turned off” when sufficient data has been collected for a particular operating region. FIG. 17 is the example screen display 500 of FIG. 16 when a new region of operation of the heater has been detected. An operator may be prompted via a window 512 whether to turn on a Learn mode when the new region of operation is detected. If the operator selects, via a button 514, to turn on the Learn mode, determination of process gain related signatures and, optionally, intervals for one or more control loops associated with the heater unit may commence. For example, collection of process gain related data may begin. Optionally, a user could turn on Learn mode without being prompted. For example, at some later time, a user could turn on the Learn mode in order to add to Learn mode data previously obtained and/or to replace some or all of the previously obtained Learn mode data. In effect, this would modify the process gain signature. As another example, the user could recognize a new region of operation and turn the Learn mode on to obtain process gain data for that region. The user could turn Learn mode on for a particular control loop and/or all control loops associated with a process plant unit (e.g., all control loops associated with a distillation column). FIG. 18 is the example screen display 500 of FIG. 16 after the Learn mode has been operating for a selected period of time (e.g., 60 minutes). At that time, the operator may be prompted, via the window 520, whether to turn off the Learn mode. If the operator selects, via a button 522, to turn off the Learn mode, collection of process gain related data for determining signatures and/or intervals may stop. Further, the signatures and/or intervals could be determined based on the data collected up to that point, for example. The above discussed methods and systems can be used with a variety of control loops, equipment, units, etc., in process plants associated with various industries. As just one example, the above methods and techniques may be used with a feed heater with a control loop for adjusting furnace fuel. In this example, the temperature setpoint and the normal operating region for the temperature process variable may be relatively constant and independent of charge rates. The steady-state value for the temperature controller output (e.g., fuel flow) may be more related to unit charge rate than the temperature process variable. In this case, the process gain could be determined as being the heater charge (LV) in relation to the temperature controller output (OP). As just one example, a short-term process gain deviation might be of interest and may cause a process gain alert to be generated. FIG. 19 illustrates the example screen display 500 during a process gain analysis system for the heater. If a process gain deviation associated with the heater is detected, an alert could be generated. For instance, a window 530 could be displayed informing the operator that a process gain deviation had been detected. Further, a control loop, a piece of equipment, etc., corresponding to the process gain deviation could be highlighted within the portion 504 of the display 500. For example, a box 532 could be displayed around a piece of equipment in the portion 504. As another example, color of the equipment could be changed, a portion of the display could be highlighted, etc. Additionally or alternatively, more detailed information could be presented in the alert or in conjunction with the alert. For example, if a monitored process gain for a control loop associated with the heater falls below a confidence interval, an alert could be generated that indicates that the process gain for the loop is too low. A window 534 could be displayed that indicates the process gain appears to be too low. Additionally, the alert may provide a link, a help screen, help window, etc., that provides suggested actions. For example, a window 536 may display a suggestion to “Check heater pass for coking” and/or a window 538 may display a suggestion to “Check feed pump for low pressure.” Although the windows 530, 534, 536, and 538 are illustrated as separate windows, two or more of these windows may be combined. Similar alerts could be generated and/or window could be displayed when a process gain associated with the heater falls above a confidence interval, continuously falls below expected values for a selected period of time, falls above expected values for a selected period of time, etc. Process gain analysis may be used with a variety of control loops associated with a heater such as those involving pass flows, temperatures, fuel, total heater charge, airflow, O2, etc. As another example, process gain analysis may be provided for a compressor. FIG. 20 illustrates an example screen display 600 that may be used in a process gain analysis system for a compressor. The display 600 includes a portion 604 that graphically depicts the compressor. If a process gain deviation associated with the compressor is detected, an alert could be generated. For instance, a window 608 could be displayed informing the operator that a process gain deviation had been detected. Further, a control loop, a piece of equipment, etc., corresponding to the process gain deviation could be highlighted within the portion 604 of the display 600. For example, a box 610 could be displayed around a piece of equipment in the portion 504. As another example, color of the equipment could be changed, a portion of the display could be highlighted, etc. Additionally or alternatively, more detailed information could be presented in the alert or in conjunction with the alert. For example, if a monitored process gain for a control loop associated with the compressor goes above a confidence interval, an alert could be generated that indicates that the process gain for the loop is too high. A window 612 could be displayed that indicates the process gain appears to be too high. Additionally, the alert may provide a link, a help screen, help window, etc., that provides suggested actions. For example, a window 614 may display a suggestion to “Check compressor discharge pressure” and/or a window 616 may display a suggestion to “Check recycle valve operation.” Although the windows 608, 612, 614, and 616 are illustrated as separate windows, two or more of these windows may be combined. Similar alerts could be generated and/or window could be displayed when a process gain associated with the compressor falls above a confidence interval, continuously falls below expected values for a selected period of time, falls above expected values for a selected period of time, etc. For example, if it is determined that a process gain associated with the compressor falls below a confidence level, additional information could be provided by or in conjunction with the alert such as suggestions to “Check discharge pressure sensor,” “Check recycle valve,” etc. Process gain analysis may be used with a variety of control loops associated with a compressor such as those involving inlet pressure, outlet pressure, RPM, temperatures, etc. As yet another example, process gain analysis may be provided for a drum. FIG. 21 illustrates an example screen display 650 that may be used in a process gain analysis system for a drum. The display 650 includes a portion 654 that graphically depicts the drum. For instance, a window 658 could be displayed informing the operator that a process gain deviation had been detected. Further, a control loop, a piece of equipment, etc., corresponding to the process gain deviation could be highlighted within the portion 654 of the display 600. For example, a box 660 could be displayed around a piece of equipment in the portion 654. As another example, color of the equipment could be changed, a portion of the display could be highlighted, etc. Additionally or alternatively, more detailed information could be presented in the alert or in conjunction with the alert. For example, if a monitored process gain for a control loop associated with the drum falls below a confidence interval, an alert could be generated that indicates that the process gain for the loop is too low. A window 662 could be displayed that indicates an observed gain is too low. Additionally, the alert may provide a link, a help screen, help window, etc., that provides suggested actions. For example, a window 664 may display a suggestion to “Check if level measurement is hung,” and/or a window 667 may display a suggestion to “Check if downstream line is blocked.” Although the windows 658, 662, 664, and 667 are illustrated as separate windows, two or more of these windows may be combined. As still another example, process gain analysis may be provided for a distillation column. FIG. 22 illustrates an example screen display 680 that may be used in a process gain analysis system for a column. The display 680 includes a portion 684 that graphically depicts the column. For instance, a window 688 could be displayed informing the operator of an alert associated with the column. Further, a control loop, a piece of equipment, measured or estimated values, etc., corresponding to the alert could be highlighted within the portion 684 of the display 680. For example, an oval 690 could be displayed around a group of measurements or estimated values in the portion 684. As another example, color of the values could be changed, a color of a background to the values could be changed, a portion of the display could be highlighted, etc. Additionally or alternatively, more detailed information could be presented in the alert or in conjunction with the alert. For example, if a temperature associated with the column falls outside of a confidence interval, an alert could be generated that indicates that the temperature is outside of a normal range. A window 692 could be displayed that indicates a temperature profile is outside of a normal range. Additionally, the alert may provide a link, a help screen, help window, etc., that provides suggested actions. For example, a window 694 may display a suggestion to “Check temperature sensor TI-2001,” a window 696 may display a suggestion to “Check sidedraw flow for obstruction,” and/or a window 698 may display a suggestion to “Check pumparound flow for obstruction.” Although the windows 688, 692, 694, 696, and 698 are illustrated as separate windows, two or more of these windows may be combined. Referring now to FIGS. 19-22, it may be useful to provide additional information to the user. For example, a context-sensitive trend chart could be displayed to the user. The trend chart could include data such as one or more of process variables associated with process gain, expected values of process variables, statistical values associated with process variables, confidence intervals, indications of statistically significant deviations of process gain, etc. Example messages to be displayed to a user were described with reference to FIGS. 16-22. It will be understood by those of ordinary skill in the art that these messages are merely examples and that different messages may be used in different implementations. In general, the messages displayed to a user may be designed to prompt a user whether to begin obtaining process gain signature data, to prompt the user whether to stop obtaining process gain signature data, to prompt the user whether to begin monitoring process gain data, to inform the user that a potential problem may exist, and/or to inform the user what potential problems may exist. Process gain analysis may be used with a variety of control loops associated with a column such as those involving pressures, temperatures, etc. As further examples, the above described systems and methods may be can be used with reactors and pumps. Process gain analysis may be used with a variety of control loops associated with a reactors and pumps such as those involving flows, pressures, temperatures, etc. A “learned signature” can also be applied to measurements around specific process unit operations, such as pumps, heaters, compressors, distillation columns, reactors, etc. For instance, the temperature points in a distillation column will typically move up and down together in relation to each other. In other words, their observed process gains should match over time. When one point moves out of synch with the others, the comparison of the expected gain may indicate a temperature deviation problem long before a High temperature alarm might be generated. In one implementation, a signature and an interval (e.g., a confidence interval) corresponding to a relationship between a process variable and one or more other process variables may be determined. For example, a signature and confidence interval corresponding to a relationship among a first temperature point and at least a second temperature point in a distillation column could be determined. Then, an alert could be generated if it is determined that the first temperature point substantially deviates from the signature. FIG. 23 is an example graph 700 illustrating signatures and confidence intervals for a plurality of pass outlet temperatures for a heater. The graph 700 includes an expected first temperature 704 and an associated confidence interval 706, an expected second temperature 710 and an associated confidence interval 712, and an expected third temperature 716 and an associated confidence interval 718. The signature for each temperature may be based on a relationship of one or more of the other temperatures. Similarly, FIG. 24 is an example graph 750 illustrating signatures and confidence intervals for a plurality of process variables associated with a compressor. The graph 750 includes an expected discharge pressure 754 and an associated confidence interval 756, an expected inlet flow 760 and an associated confidence interval 762, and an expected inlet pressure 766 and an associated confidence interval 768. The signature for each of the discharge pressure, the inlet flow, and the inlet pressure may be based on a relationship of one or more of the other of the discharge pressure, the inlet flow, and the inlet pressure, as well as rotations per minute (RPM) associated with the compressor. FIG. 25 is an example graph 800 of a plurality of pass outlet temperatures associated with a heater. In a time period generally indicated by the oval 804, one of the pass outlet temperatures 808 substantially deviates from expected values 810. This substantial deviation could cause an alert to be generated or some other action to be taken or initiated. The expected value 810 could be based on a relationship of the pass outlet temperature 808 to one or more of the other pass outlet temperatures, an OP associated with the heater, etc. FIG. 26 is an example graph 850 of a plurality of process variables associated with a heater. In particular, the graph 850 includes an air rate 854, a fuel rate 858, and a charge rate 862. In a time period generally indicated by the oval 866, the fuel rate 858 substantially deviates from expected values 870. This substantial deviation could cause an alert to be generated or some other action to be taken or initiated. The expected value 870 could be based on a relationship of the fuel rate to one or more of the air rate 854, the charge rate 862, an OP associated with the heater, etc. For example, if the fuel rate increases without a corresponding increase in the charge rate, this may indicate an abnormal situation with the heater. Some or all of the blocks of FIGS. 7, 8, 14, and 15 may be implemented in whole or in part using software, firmware, or hardware. Similarly, the example methods described with respect to FIGS. 5 and 10 may be implemented in whole or in part using software, firmware, or hardware. If implemented, at least in part, using a software program, the program may be configured for execution by a processor and may be embodied in software instructions stored on a tangible medium such as CD-ROM, a floppy disk, a hard drive, a digital versatile disk (DVD), or a memory associated with the processor, but persons of ordinary skill in the art will readily appreciate that the entire program or parts thereof could alternatively be executed by a device other than a processor, and/or embodied in firmware and/or dedicated hardware in a well known manner. Likewise, the software program may be delivered to a user, a process plant or an operator workstation using any known or desired delivery method including, for example, on a computer readable disk or other transportable computer storage mechanism or over a communication channel such as a telephone line, a satellite link, a radio-frequency link, the Internet, the World Wide Web, any other local area network or wide area network, etc. (which delivery is viewed as being the same as or interchangeable with providing such software via a transportable storage medium). Furthermore, this software may be provided directly without modulation or encryption or may be modulated and/or encrypted using any suitable modulation carrier wave and/or encryption technique before being transmitted over a communication channel. Referring to FIGS. 1 and 2, one or all of the blocks of FIGS. 7, 8, 14, and 15 may be implemented by one or more a controller such as the controller 12B, the controller 14B, and the controller 60, an I/O device such as the I/O card 12C, the I/O device 68, the I/O device 70, a field device such as a field device 15, a field device 16, a field device 64, a field device 66, an operator interface device such as the operator interface 12A, the operator interface 14A, the user interface 72, the user interface 74, other computers in the process plant such as the maintenance computer 22, the computer 26, the computer system 30, a data collection and/or processing block such as the block 80, the block 82, etc. While the invention is susceptible to various modifications and alternative constructions, certain illustrative embodiments thereof have been shown in the drawings and are described in detail herein. It should be understood, however, that there is no intention to limit the disclosure to the specific forms disclosed, but on the contrary, the intention is to cover all modifications, alternative constructions and equivalents falling within the spirit and scope of the disclosure.
052884350
summary
FIELD OF THE INVENTION The present invention relates to processes and process systems for incinerating and vitrifying radioactive waste materials. Specifically, the invention relates to the incorporation of radionuclides as ash into a glass matrix and in the case of waste materials containing sulfur compounds, the additional incorporation of the sulfur into a gypsum-based matrix. BACKGROUND OF THE INVENTION The effective disposal of hazardous radioactive waste materials is a continuing problem for industry. These waste materials can take a variety of forms including organic materials, which are combustible, and inorganic materials such as metal oxides, which are not combustible. Typically these wastes include ion exchange resins used in the nuclear industry and various articles of use such as clothing, paper and wood containers, rags, etc. The ion exchange resins are typically porous beads of polystyrene, cross-linked with divinyl benzene. These resins remove such compounds as borate, chloride, carbonate, and cesium ions and other fission and corrosion products from liquid streams. Early systems employed the use of incinerators to burn waste materials. These incinerators had problems associated with ashes, produced by incomplete combustion, entrained in the off-gas. These airborne ashes thus presented an environmental hazard if not properly treated by filtering systems. Also, problems existed with high amounts of noncombustible leachable inorganics left behind in the bottom ash. Various vitrification and incineration processes were employed to resolve the problems of the incineration processes. Such systems are set forth in U.S. Pat. Nos. 5,022,329; 4,666,490; 4,376,070; 4,424,149; 4,297,304; 4,299,611; 4,139,360; 4,020,004; 3,321,409 and in an article titled "Hazardous Waste: Where to Put It? Where Will It Go?", Mechanical Engineering, Sept. 1988, pgs. 70-75. These systems describe the vitrification of the ash product into a glass material to limit the leaching of the radionuclides from the ash using melters and cyclone furnaces. The cyclone furnace design in U.S. Pat. No. 5,022,329 requires the burning of fuels in order to combust the waste material instead of initiating combustion by contacting the waste material with a molten glass pool. The glass pool design is preferred in that the glass is heated by electrodes thereby reducing the dangers associated with the fuel heating of the cyclone furnace. The glass pool designs are deficient in that they do not ensure complete combustion in the plenum area above the glass pool, thus releasing combustible gases in the off-gas stream from the melter. The neutralization and solidification of combustible sulfur compounds which are present in various waste materials is not disclosed in any of these systems. The sulfur compounds that are present in the combustion off-gases pose an environmental problem and should preferably be removed prior to gaseous emission to the atmosphere. The sulfur compounds are a major concern when treating ion exchange waste materials. Other methods of treating ion exchange waste materials is by resin dewatering and resin drying; however, these processes do not attain high levels of volume reduction and the final waste form is not solidified. A need therefore exists to design a safe, efficient system for incinerating and vitrifrying radioactive waste materials. The system should also be designed to provide for proper disposal of sulfur compounds found within the waste material. SUMMARY OF THE INVENTION The present invention relates to processes and process systems for incinerating and vitrifying hazardous radioactive waste material within a melter having an extended heated plenum area designed to ensure combustion residence times of at least 3 seconds at temperatures of at least about 980.degree. C. (1800.degree. F.). The invention is preferably suited for handling radioactive wastes that contain sulfur compounds such as spent ion exchange resins. The process encases radionuclides found within the radioactive waste material into a glass substance and also into a gypsum by-product. Any remaining radionuclides are filtered out of the process off-gases using high efficiency particulate filters to a level acceptable for atmospheric emission. The basic process of the invention is carried out by feeding the waste material along with glass forming material into a melter. It is preferred to feed these materials as an aqueous slurry to decrease the amount of feed material entrained in the gases above the glass pool. The melter has a molten glass pool in its lower portion and an extended plenum area above the glass pool. The waste material enters the melter and contacts the molten glass forming a solid ash and waste gas. The solid ash is retained and incorporated into the glass pool. The waste gas is subjected to substantially complete combustion in the plenum area by contacting the waste gas with oxygen, preferably air, at elevated temperatures of at least about 980.degree. C. (1800.degree. F.). The residence time for the waste gas in the plenum area preferably is at least about 3 seconds, most preferably at least about 5 seconds. The long residence time is advantageous in that the danger of having hazardous uncombusted compounds leaving the process is deceased due to the achievement of a higher level of combustion. When a feed containing sulfur compounds is used, the combustion of the waste gas forms an off-gas that contains sulfur compounds, preferably sulfur dioxide. This off-gas is then contacted with an aqueous solution, preferably in a scrubber, to form sulfuric acid. The sulfuric acid is then contacted with calcium hydroxide to form gypsum and water. The gypsum thus contains a portion of the sulfur compounds originally found in the feed, and a portion of the radionuclides found within a radioactive feed containing sulfur. Various preferred embodiments of the inventive process are provided herein. The process can include the passing of the scrubber off-gas over a surface to allow water particles to collect, preferably in a mist eliminator. This process step helps remove suspended water particles from the scrubber off-gas in preparation for further filtering operations. The aqueous fluid from this step is preferably returned to the process at the scrubber. A further purification of the gaseous stream is accomplished by passing the mist eliminator off-gas through a filter system designed to remove at least about 98% by weight, and preferably at least about 99%, of all particles above about 0.6 microns. The water formed from the gypsum reaction can be advantageously recycled to be added to the feed materials. This embodiment creates a substantially closed loop system for the process water thereby limiting radioactive waste water disposal.
042808758
summary
The invention relates to a safety device for preventing unscrewing of cylinder-head or pressure vessel cover screws having an inner polygon, which extends through a first structural part or member behind the latter and clamps it against a second structural part or member in which they are anchored, the screw heads being disposed in respective receiving holes of the first structural part, preferably for securing the internal parts of reactor pressure vessels. Saftey devices against unscrewing cylinder-head screws with a polygonal recess, which include in particular those with a hexagonal recess, also called "Imbus screws", allen screws or socket head cap screws, must meet a number of requirements. Thus, the safety device must secure the screw aganst dropping out if the shank of the screw breaks. The unscrewing protection should be easy to establish after the screw is tightened but it must also be releasable if the structural parts which are clamped together by the rotation-secured screws are to be detached from each other again. The above-mentioned requirements are especially important in nuclear reactor engineering, and in this field for securing the internal parts of reactor pressure vessels. For changing fuel assemblies in pressurized- or boiling-water reactors, it is necessary to open the pressure vessel after the reactor is shut down. On this occasion, a number of inspections of parts of the reactor pressure vessel are performed, including the screw connections at internal parts of the pressure vessel. The above-mentioned requirements have not been satisfactorily met by the known devices. It is therefore an object of the invention of the instant application to provide a device for preventing unscrewing which avoids the foregoing disadvantages of the heretofore known devices of this general type and, furthermore, that it not only meets the aforementioned requirements but, in addition, can be released without destruction and preferably in such a manner that it can be used at least a second time and, preferably, several times more. With the foregoing and other objects in view, there is provided, in accordance with the invention, a safety device for preventing unscrewing of cylinder head screws fastening two structural members together, one of the structural members having receiving bores formed therein, the screws having heads disposed in the receiving bores and being formed with inner polygonal cutouts, the screws extending through the one structural member and being anchored in the other structural member, comprising circular grooves cut into the one structural member at the receiving bores, plastically deformable ring collars disposed in the circular grooves and spaced from the screw heads so as to form annular gaps therebetween, at least two arcuate or lemon-shaped recesses formed at equal distances from one another about the periphery of the screw heads, the ring collars having a first set of portions thereof pushable into the arcuate recesses in tightened condition of the screws. The advantages that can be achieved with the invention are seen particularly in the fact that the device for preventing unscrewing can be released quickly and by means of a remotely controlled tool, which is especially important in applications to internal parts of reactor pressure vessels where such devices must be released quickly and without much radiation exposure for the operating personnel, after the reactor pressure vessel is opened. In this connection, in accordance with another feature of the invention, the first set of portions of the ring collars are reformable after being pushed into the arcuate recesses, the ring collars having a thickness, as compared to the shape of the arcuate recesses, whereby the loosening torque of the screws is at least equal to the tightening torque thereof. In accordance with a further feature of the invention, there are provided additional sets of portions of the ring collars pushable into the arcuate recesses after reformation of the first set of portions, the screw heads having a plurality of pairs of arcuate recesses formed at different locations about the periphery thereof. In accordance with an added feature of the invention, there are provided additional sets of portions of the ring collars pushable into the arcuate recesses after reformation of the first set of portions, each screw having a pair of arcuate recesses formed at different locations about the periphery of the screw head relative to a given reference point. In accordance with an additional feature of the invention, the maximum width of the arcuate recesses, in radial direction of the screws, is substantially 2 to 4 times the thickness of the ring collars. In accordance with yet another feature of the invention, the depth of the arcuate recesses is less than the thickness of the screw head in axial direction of the screw, and including stop flanges integral with the screw head below the arcuate recesses, in axial direction of the screw. In accordance with yet an additional feature of the invention, the safety device is used for securing a core structure hold-down system of a nuclear reactor pressure vessel having a cover and an inner surface, wherein the one structural part is in the form of a ring flange holding an upper part of the core structure against a support flange disposed on the cover surface of the pressure vessel, and including pretensioned cup springs disposed between the hold-down plates and the ring flanges, a flange on the pressure vessel cover disposed above the hold-down plates, and t-shaped clamping studs partially extending through a hole formed in the hold-down plates for pushing the cup springs downward through the weight of the pressure vessel cover flange. In this application, the invention also makes it possible to exchange the cup spring stacks after extended insertion quickly and without exposing the installation personnel to much radiation. The subject of the invention is also a tool for the plastic deformation of the ring collar for the above-described device for preventing unscrewing. Therefore, in accordance with yet a further feature of the invention, there is provided a substantially cylindrical deforming punch having a lower surface of the deforming punch, the centering pin being centrally receivable in the inner polygonal cutouts of the screw heads, and two deforming plugs protruding from diametrically opposite locations of the lower surface of the deforming punch, the deforming plugs having deformation profiles for deforming the ring collar so as to correspond to desired impressions. In accordance with still another feature of the invention, the deforming plugs are provided with downwardly and outwardly extending rounded edges. In accordance with a concomitant feature of the invention, the centering pin is slidably received in a blind guide hole formed in the lower end of the deforming punch, and including a compression spring disposed between the closed end of the blind guide hole and an end of the centering pin, the centering pin having an elongated slot formed therein and a crosswise pin extending in radial direction of the centering pin through the elongated slot so as to prevent the centering pin from falling out of the deforming punch. Other features which are considered as characteristic for the invention are set forth in the appended claims. Although the invention is illustrated and described herein as embodied in safety device for preventing unscrewing of cylinder-head screws having an inner polygon, and plastic deformation tool for use with the device, it is nevertheless not intended to be limited to the details shown, since various modifications and structural changes may be made therein without departing from the spirit of the invention and within the scope and range of equivalents of the claims.
061577030
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention This invention pertains to the field of diagnostic x-ray imaging, and more specifically to x-ray beam hardening filters. 2. Background X-ray sources used in medical imaging are typically polychromatic, that is, the x-ray source produces x-ray photons with varying energies. For example, an x-ray source capable of producing a 120 keV photon will typically produce an x-ray beam having a mean energy of only one-third to one-half of the peak energy. Given that the mean energy is roughly one-half to one-third of the peak energy, many of the photons that comprise an x-ray beam will be characterized by energy levels below the mean energy. A problem with lower energy photons is that they do not contribute to the construction of the radiographic image. Many of the lower energy photons, for example those with energies less than 20 keV, may be absorbed in the object under investigation; these lower energy photons only contribute to harmful patient radiation. Therefore, it is desirable to filter the lower energy x-ray photons from the x-ray beam. It is known to use filters to remove lower energy photons from the x-ray beam. One form of filtration is inherent filtration. Inherent filtration results from the absorption of x-ray photons as they pass through the x-ray tube and its housing. Such filtration varies with the composition, or lining of the x-ray tube and housing, as well as the length of the x-ray tube and housing. Inherent filtration, which is measured in aluminum equivalents, typically varies between 0.5 and 1.0 mm aluminum equivalent. A second form of filtration is added filtration. Added filtration is achieved by placing an x-ray attenuator or filter in the path of the x-ray beam. Most materials have the property of attenuating the lower energy photons more strongly than higher energy photons. When lower energy x-ray beams strike the added filter they are absorbed. By adding a filter to the x-ray beam path, lower energy x-ray photons can be absorbed, thereby reducing the unnecessary radiation created by the lower energy x-ray photons. Because the lower energy x-ray photons are preferentially removed from the x-ray beam, the mean energy of the x-ray beam is increased. Increasing the mean energy of the x-ray beam is referred to as "hardening" of the x-ray beam. Objects to be x-rayed vary in thickness and composition. Thus, it is desirable to control the amount of filtration that occurs. Some x-ray systems, having a relatively small diameter x-ray source, often use a filter consisting of a thin sheet of aluminum or aluminum and copper. The filter is placed in the path of the x-ray beam, either manually or by an electromechanical actuator. Because of the small diameter of the x-ray source, the filter and filter control mechanism can be made compact. However, when a large-area x-ray source (e.g., having a diameter of approximately 25 cm or larger) is used in an x-ray imaging system and if added filtration is used, the beam hardening filter inserted into the path of the x-ray beam would be as large as the overall x-ray source in order to cover the entire source. Furthermore, the mechanical travel of the filter to insert it into the path of the x-ray beam would also be about the same as the size of the x-ray source (e.g., 25 cm) or the filter. Using a conventional x-ray hardening filter, for example one that slides in a parallel plane to the surface of the x-ray source, on a large-area x-ray source would involve a large mechanical actuator assembly and would add undesirable bulk to the x-ray imaging system. SUMMARY OF THE INVENTION The present invention comprises an x-ray beam hardening filter for use with a scanning beam x-ray source wherein the movement of the filter between a position in the x-ray beams to a position outside the x-ray beams is less than either the size of the filter or the x-ray source area. According to one aspect of the invention, the x-ray beam hardening filter comprises a beam hardening sheet and an actuator. The beam hardening sheet has a first x-ray absorption quality and comprises a plurality of areas, the plurality of areas having a second x-ray absorption quality. The actuator is configured to move the beam hardening sheet into or out of the path of the x-ray beams such that the beam hardening sheet absorbs x-ray radiation according to the first or the second x-ray absorption quality. According to another embodiment, a highly adjustable x-ray beam hardening filter is provided comprising more than one beam hardening sheet. Each beam hardening sheet has an array of areas, the array of areas having different x-ray absorption qualities. In such an embodiment, multiple levels of x-ray absorption and beam hardening are possible. According to another embodiment, a method for hardening an x-ray beam is disclosed. The method comprises the acts of intercepting an x-ray beam with an x-ray beam hardening filter, the x-ray beam hardening filter having a first x-ray absorption quality and an array of areas having a second x-ray absorption quality, and moving the x-ray beam hardening filter a minimal distance.
046844996
description
DETAILED DESCRIPTION OF THE INVENTION In the following description, like reference characters designate like or corresponding parts throughout the several views. Also in the following description, it is to be understood that such terms as "forward", "rearward", "left", "right", "upwardly", "downwardly", and the like, are words of convenience and are not to be construed as limiting terms. In General Referring now to the drawings, and particularly to FIG. 1, there is shown an elevational view of a nuclear reactor fuel assembly, represented in vertically foreshortened form and being generally designated by the numeral 10. Basically, the fuel assembly 10 includes a lower end structure or bottom nozzle 12 for supporting the assembly on the lower core plate (not shown) in the core region of a reactor (not shown), and a number of longitudinally extending guide tubes or thimbles 14 which project upwardly from the bottom nozzle 12. The assembly 10 further includes a plurality of transverse grids 16 axially spaced along the guide thimbles 14 and an organized array of elongated fuel rods 18 transversely spaced and supported by the grids 16. Also, the assembly 10 has an instrumentation tube 20 located in the center thereof and an upper end structure or top nozzle 22 removably attached to the upper ends of the guide thimbles 14, in a manner fully described below, to form an integral assembly capable of being conventionally handled without damaging the assembly parts. As mentioned above, the fuel rods 18 in the array thereof in the assembly 10 are held in spaced relationship with one another by the grids 16 spaced along the fuel assembly length. Each fuel rod 18 includes nuclear fuel pellets 24 and the opposite ends of the rod are closed by upper and lower end plugs 26,28 to hermetically seal the rod. Commonly, a plenum spring 30 is disposed between the upper end plug 26 and the pellets 24 to maintain the pellets in a tight, stacked relationship within the rod 18. The fuel pellets 24 composed of fissile material are responsible for creating the reactive power of the nuclear reactor. A liquid moderator/coolant such as water, or water containing boron, is pumped upwardly through the fuel assemblies of the core in order to extract heat generated therein for the production of useful work. In the operation of a nuclear reactor, it is common practice to provide an excess of reactivity initially in the reactor core and, at the same time, provide means to maintain the reactivity relatively constant over its lifetime. Such means commonly takes the form of control rods (not shown) supported for movement into the guide thimbles of some fuel assemblies in the core and burnable absorber rods 32 supported stationarily in the guide thimbles 14 of other fuel assemlies in the core. The stationary absorber rods 32 assist the movable control rods in maintaining a substantially constant level of neutron flux or reactivity in the core throughout its operating cycle. Before describing the means of the present invention for stationarily attaching the burnable absorber rods 32 to the top nozzle 22, the means by which the guide thimbles 14 are attached to the top nozzle will first be briefly described. Top Nozzle Attaching Structure As illustrated in FIGS. 1, 2 and 11, the top nozzle 22 has a lower adapter plate 34 with a plurality of passageways 36 (only one being shown) formed through the adapter plate. Each guide thimble 14 has its uppermost end portion 38 coaxially positioned within one passageway 36 in the adapter plate 34. For gaining access to the fuel rods 18 in reconstitution of the fuel assembly 10, the adapter plate 34 of the top nozzle 22 is removably connected to the upper end portions 38 of the guide thimbles 14 by an attaching structure, generally designated 40, which provides a plurality of structural joints between the top nozzle 22 and the guide thimbles 14 of the fuel assembly skeleton. The attaching structure 40 is generally the same as illustrated and described in the third and fourth applications cross-referenced above, but will be described herein to the extent necessary to facilitate an understanding of the present invention. As best seen in FIGS. 2 through 11, the top nozzle attaching structure 40 which makes the fuel assembly 10 reconstitutable includes a plurality of outer sockets (only one being shown) defined in the top nozzle adapter plate 34 by the plurality of passageways 38 (also only one being shown) which each contains an annular circumferential groove 42 (only one being shown), a plurality of inner sockets (only one being shown) defined on the upper end portions 38 (only one being shown) of the guide thimbles 14, and a plurality of locking tubes 44 (only one being shown) inserted in the inner sockets to maintain them in locking engagement within the outer sockets. Each inner socket is defined by an annular circumferential bugle 46 on the hollow upper end portion 38 of one guide thimble 14 only a short distance below its upper edge 48. A plurality of elongated axial slots 50 (only one being shown in FIG. 2) are formed in the upper end portion 38 of each guide thimble 14 to permit inward elastic collapse of the slotted end portion to a compressed position so as to allow the circumferential bulge 46 thereon to be inserted within and removed from the annular groove 42 via the adapter plate passageway 36. The annular bulge 46 seats in the annular groove 42 when the guide thimble end portion 38 is inserted in the adapter plate passageway 36 and has assumed an expanded position. In such manner, the inner socket of each guide thimble 14 is inserted into and withdrawn from locking engagement with one of the outer sockets of the adapter plate 34. More particularly, the axially extending passageway 36 in the adapter plate 34 which defines the outer socket is composed of an upper bore 52 and a lower bore 54. The lower bore 52 is of greater axial length than the upper bore 54 and contains the annular groove 42 which is spaced a short distance below a ledge 56 formed at the intersection of the upper and lower bores 52,54. The lower bore 54 has a diameter which is greater than that of the upper bore 52; therefore, the ledge 56 faces in a downward direction. The primary purpose of the ledge 56 is to serve as a stop or an alignment guide for proper axial positioning of the guide thimble upper end portion 38 in the passageway 36 when the inner socket is inserted into the outer socket. As seen in FIGS. 2 to 11, the upper edge 48 of the guide thimble 14 abuts the ledge 56. Finally, each locking tube 44 is inserted from above the top nozzle 22 into its respective locking position in the hollow upper end portion 38 of one guide thimble 14 forming one inner socket. When the locking tube 44 is inserted in its locking position, as seen in FIGS. 2 and 11, it retains the bulge 46 of the inner socket in the latter's expanded locking engagement with the annular groove 42 and prevents the inner socket from being moved to its compressed releasing position in which it could be withdrawn from the outer socket. In such manner, each locking tube 44 maintains its respective one inner socket in locking engagement with the outer socket, and thereby retains the structural joint formed by the attachment of the adapter plate 34 of the top nozzle 22 on the upper end portion 38 of each guide thimble 14 in an assembled rigid form. Burnable Absorber Rod Releasably Latching Structure Heretofore, the burnable absorber rods and thimble plugs have been combined into an assembly in which they are rigidly attached at their upper ends to a support plate which rests upon the adapter plate of the top nozzle with the rods and plugs extending downwardly through the adapter plate passageways into the guide thimbles. This prior attachment design requires the absorber assembly to be completed at a manufacturing facility normally located away from the reactor site. Such requirement eliminates the capability of specifying the absorber configuration at the latest possible time just before actual refueling of the reactor is to take place and thereby prevents fine tuning of the nuclear design based on the latest reactor operations input. The releasable latching structure of the present invention, as seen in FIGS. 3 and 4 and being generally identified by the number 58, was originated to overcome such obstacles and provide the desired flexibility to decide upon the final configuration of the absorber assembly based on last minute reactor operating information just before fuel reloading is to be carried out. Referring now to FIGS. 3 to 9, there is shown the preferred embodiment of the releasable latching structure 58 for releasably attaching the upper end 60 of each absorber rod 62 and thimble plug (not shown) directly to the top nozzle adapter plate 34. For purposes of brevity and clarity in describing the present invention, it should be understood that, in addition to the absorber rod 62, the latching structure 58 is applicable for use on any other elongated member which is inserted within one of the guide thimbles 14, such as a thimble plug. Therefore, since showing the latching structure 58 affixed on a conventional thimble plug which would merely be duplicative of what is already shown in FIGS. 3, 4, 10 and 11, it should be understood that whenever reference is made to the latching structure being attached on an absorber rod the same would apply to a thimble plug. Basically, the releasable latching structure 58 includes a generally cylindrical mounting body 64 and a generally cylindrical latch spring 66. The mounting body 64 is composed of a generally cylindrical inner plug portion 68 attached to and sealing the upper end 60 of the absorber rod 62, a generally cylindrical outer end portion 70 having an annular circumferential groove 72 defined therein, and a middle body portion 74 integrally interconnecting the inner and outer portions 68,70. The middle body portion 74 has a generally conical configuration tapering inwardly from the outer end portion 70 to the inner plug portion 68 so as to define a tapering recessed void region, indicated generally by the numeral 76, which surrounds the mounting body 64 at the middle portion thereof. The spring latch 66 of the latching structure 58 is composed of a generally cylindrical outer ring portion 78 disposed about the outer end portion 70 of the mounting body 64 and a plurality of circumferentially spaced apart latch fingers 80 connected at their outer ends 82 to the outer ring portion 78 in cantilever fashion and extending downwardly therefrom along the middle body portion 74 of the mounting body 64. The outer ring portion 78 has an annular circumferential bulge 84 formed therein which extends into the circumferential groove 72 in the outer end ptortion 70 so as to connect the sptring latch 66 to the mounting body 64. More particularly, the latch fingers 80 having external latching keys 86 defined on their inner ends 88 and are radially deflectible toward and away from the middle body portion 74 of the mounting body 64 between outer latching positions, as seen with respect to the finger 80a on the right side of FIG. 10, and inner unlatching positions, as seen with respect to the finger 80b on the left side of FIG. 10. The normal relaxed position to which each of the fingers 80 is biased to return is the latching position seen on the right side of FIG. 10. The fingers 80 are resiliently flexible so that they will yield when sufficient force is aptpled to them in a radially inward direction causing each to be deflected inwardly against its bias toward the middle body portion 74. As clearly seen in FIG. 10, when the fingers 80 are disposed in their outer latching positions (as is finger 80a on the right side of FIG. 10), the fingers are disposed generally outside of the recessed region 76 surrounding the mounting body middle portion 74. On the other hand, when the fingers 80 are disposed in their inner unlatching positions (as is finger 80b on the left side of FIG. 10), the fingers are disposed generally within the recessed region 76. Referring now to FIG. 11, the releasable latching structure 58 is shown interconnecting the upper end 60 of the absorber rod 62 to the adapter plate 34 of the fuel assembly top nozzle 22, with the absorber rod 62 being disposed within a guide thimble 14. The upper end portion 38 of the guide thimble extends into adapter plate passageway 36 and is locked therein by the attaching structure 40 which includes the locking tube 44. The mounting body 64 of the latching structure 58 is attached at its inner plug portion 68 to the upper end 60 of the absorber rod 62 and extends axially upward therefrom through the adapter ptlate passageway 36 and locking tube 44 to its outer end portion 70 disposed above the adapter plate 34. The middle body portion 74 of the mounting body 64 extends from above the adapter plate 34 downwardly into the passageway 36 to approximately the depth of the ledge 56. In such manner, a circumferential recess 90 defined in the adapter plate 34 within the upper bore 52 of its passageway 36 is accessible from above the adapter plate 34 through the recessed void region 76 surrounding the middle body portion 74. The spring latch 88 of the latching structure 58 is disposed about the mounting body 64 generally above the adapter plate 34 and its latch fingers 80 extend downwardly along the middle body portion 74 toward and into the adapter plate passageway 36. The latching keys 86 on the inner ends 88 of the latch fingers 80 are disposed within the passageway 36, aligned with the passageway recess 90, and configured to engage the adapter plate 34 within the recess 90. When the latch fingers 80 are disposed in their latching positions as seen in FIG. 11, they are generally outside of the recessed region 76 with their latching keys 86 engaged within the adapter plate recess 90. The absorber rod 62 is then retained in a stationary relationship with respect to the adapter plate 34. By insertion of a sleeve 92 over the latch fingers 80, as shown in FIG. 10, from its uppter position to its lower position, the latch fingers 80 are yieldably deflected radially inward toward the mounting body 64, causing the latching keys 86 thereon to disengage from the adapter plate recess 90. The latch fingers 80 are then generally disposed within the recessed region 76 surrounding the mounting body 64 and their latching keys 86 displaced inwardly to allow sufficient clearance for the absorber rod 62, and the latching structure 58 therewith, to pass upwardly through the passageway 36 for removing the absorber rod 62 from the guide thimble 14. An undercut cavity 94 formed in the top end of the outer end portion 70 of the mounting body 64 is configured to receive a gripper tool (not shown) for use in insertion and removal of the absorber rod 62. The spring latch 66 is preferably machined from cold worked stainless steel tube. The fingers 80 are so formed so that in the relaxed position (no external forces applied) the latching keys 86 extend radially outward well beyond the diameter of the recess 90 formed in the adapter plate 34. Thus, when the absorber rod 62 and latching structure 58 are installed in the guide thimble 14 and adapter plate 34, the keys 86 are forced into the recess 90. Since the upper engaging sides of the keys 86 and the recess 90 are flat, any upward force on the absorber rod 62 does not tend to force the keys 86 and latch fingers 80 inward. Also, since there are multiple spring fingers 80, there is redundancy in the locking structure 58. A single absorber rod 62 requires about twelve pounds of force to hold it in place against the hydraulic flow forces. Twelve pounds of force is an amount well within the capability of the locking structure 58. It is thought that the present invention and many of its attendant advantages will be understood from the foregoing description and it will be apparent that various changes may be made in the form, construction and arrangement thereof without departing from the spirit and scope of the invention or sacrificing all of its material advantages, the form hereinbefore described being merely a preferred or exemptlary embodiment thereof.
description
Embodiments in accordance with the invention will now be described with reference to the drawing figures. All automation systems need to be set properly before running automatic batch jobs. Settings required to run beam automation are not extreme, but fairly common: Charged-particle-beam (e.g., SEM) column is working properly The primary beam of the charged-particle-beam system (e.g., SEM) is well aligned and the FIIR center is within the image and not close to the edges of the image There are at least some features in image Detailed functional steps for auto-focus will now be described with reference to FIGS. 4-6. Auto-astigmatism correction in the x-direction or y-direction is performed using the same mechanism as for auto-focus except that the stigmator setting in x or y is adjusted instead of the focus. In our work, the image sharpness is used to measure the degree of defocus and astigmatism. (Prior-art techniques do not use the same measurement for both.) This is an advantage in simplifying and having the integrity of the processes. The ideal of image sharpness is similar to the ideal of focus goodness in auto-focus. In the prior art, the astigmatism is measured in different ways, for example, by the spot shape in the FFT image after thresholding. Some previous work uses the term image sharpness as well, but the definition and calculation of the image sharpness is different. Before auto-focus, image contrast or saturation level is automatically checked. Once the level is beyond a certain criteria, image contrast is automatically triggered. (A method for performing this xe2x80x9cauto-contrastxe2x80x9d adjustment is described below with reference to FIGS. 12A-12F.) Focus range could vary a lot at different system magnification settings. The focus range in the work is determined as a function of magnification, and automatically adjusted during run time. An image is xe2x80x9cgrabbedxe2x80x9d (e.g., acquired using the SEM or FIB, or retrieved from memory if previously acquired). Image sharpness is calculated as in the following three steps: (1) A ROI image is taken from the grabbed image, a round area with a radius of 150 pixels or a 300xc3x97300 pixels box, around the image rotation center. (The image rotation center may be previously determined using the method described below with reference to FIGS. 8-10.) (2) The ROI image is edge-enhanced using the Sobel edge enhancement method. The key of this enhancement is that it enhances the edges isotropically at every orientation. (3) The enhanced result is then calculated using a semi-Kurtosis method for all pixels in the ROI image. The final result represents the image sharpness. A number of selective positions of focus (stigmator setting if in the case of auto-astigmatism-correction) are chosen for the above process. The positions are chosen with the optimization method of Golden-Section-Search, described below with reference to FIGS. 11A-11F. The good focus position is searched in a loop until the pre-set resolution is met. The system is set at the focus. Frame averaging is usually not necessary, and is thus another good point for saving processing time. FIG. 4 shows principal steps of an automation process which can be used for auto-focus as well as for astigmatism correction. After initial setup, the column is aligned (steps 405 to 415). The center of rotation of the image is determined (step 415) and, if the center of RIIR is not in the image, the column is re-aligned. If the center of FIIR is in the image and not far from the image center, the center is captured and the position is stored for the use of automatic batch run. During batch job run, the beam automation process is done either at each new position of the sample or at selective positions depending on applications. The beam automation process is performed at every such position repetitively. In the process, the image contrast is checked first to see whether the image saturation is severe. If the contrast is bad, the auto-contrast routine is performed (step 425). If good, the image sharpness is checked and compared with a pre-stored sharpness score (step 430). If the sharpness is bad, the auto-focus process is started (step 435), otherwise it is skipped. The auto-focus is done at step 440 for the current sample position. The auto-focus could be triggered without the sharpness check. The check is designed to save time. Same as the auto-contrast, it could be performed without the saturation check and be started directly. The same mechanism applies to auto-astigmatism-correction. Step 435 could be replaced by a number of iterative loops of auto-focus and auto-astigmatism-correction, and at the end of each loop the store is checked to see whether the image sharpness is sufficiently high. This replacement procedure works under severe astigmatism as well. FIG. 5 shows principal steps of a focus automation process in accordance with the invention. The search range [f0, f3] is calculated according to the system""s magnification, and the tolerance xcex4 is defined (Step 500) according to the resolution requirement. Golden focus section points for focus f1 and focus f2 are obtained (step 505) using the Golden Section search method described below with reference to FIGS. 12A-12F. An image is acquired at a first focus f1 (step 510) and another image is acquired at focus f2 (step 515), where f0 less than f1 less than f2 less than f3. The image data is processed (step 520) to obtain two sharpness measurements: M(f1) and M(f2). The two measurements M(f1) and M(f2) are compared (step 525). If M(f1) is greater than M(f2) at step 525, the section smaller than f1 is cut off (step 530). The new search range is [f1, f3]. Replace M(f1) by M(f2) (step 535). The new search points (f0, f1, f2, f3) in the new search range are defined (step 540). An image is acquired at the new focus value f2 (step 545). The image data is processed to obtain a new sharpness measurement M(f2) (step 550). If the new smaller range is not less than the resolution factor xcex4 (step 555), the process repeats from step 525. If the range is less than the resolution factor xcex4, the larger index and focus are selected (step 595) and the charged-particle-beam system (e.g., SEM or FIB) is set at this focus (step 598). Oppositely, if M(f1) is not greater than M(f2) at step 525, the section larger than f2 is cut off (step 560), so that the remaining M(f2) is equal to M(f1) (step 565). Golden Sections are acquired with the new values of f1 and f2 (step 570). An image is acquired at the new focus value f1 (step 575). The image data is processed to obtain a new measurement M(f1) (step 580). If the range is not less than the resolution factor xcex4 (step 590), the process repeats from step 525. If the range is less than xcex4, the larger index and focus are selected (step 595) and the charged-particle-beam system (e.g., SEM or FIB) is set at this focus (step 598). The process of FIG. 5 is also used for astigmatism correction in the x-direction or the y-direction by substituting adjustment of the system stigmator for adjustment of focus. FIG. 6 shows principal steps of data processing methods in accordance with the invention. The FIIR center previously measured is read (step 605). A ROI image is selected having its center at the focus-rotation center (step 610), the ROI image preferably being a circular image area. The selected ROI image is processed with a Sobel edge enhancement filter (step 615). The final sharpness measurement is calculated using a semi-Kurtosis method for all pixels in the ROI image (Step 620). Because focus, correction of x-direction astigmatism and correction of y-direction astigmatism are functionally independent, the search for the ideal points can be achieved by running auto-focus, auto-x-stigmatism, and auto-y-stigmatism, respectively. However, since the auto-focus and auto-astigmatism corrections are all based on image processing, a good image cannot always be set by adjusting focus or stigmator settings only. For example, good focus cannot be set if astigmatism is severe, and vice versa. Therefore, in case of severe coexistence of out-of-focus and astigmatism, multiple loops of auto-focus and auto-stigmatism need to be done. Good image contrast is important to successfully performing auto-focus and auto-stigmatism. It is important to obtain more image information and avoid large digitization errors and therefore increase the reliability and repeatability. In summary, an auto-contrast method in accordance with the invention includes the following steps: set contrast to far ends grab ROI images (regardless of the rotation center) count the saturation levels average the counting to reduce noise effect reset contrast using Bisection method to search the desired contrast until a pre-set criteria is reached More detailed functional steps for auto-contrast in accordance with the invention are: set high and low end contrast so that the desired contrast is in between set the system at one contrast grab a ROI image around the center of the image, not specific count how many pixel values are above the pre-defined critical gray value T1 grab another ROI image count how many pixel values are above the same critical gray value T1 repeat a few more times the above steps in case of severe noise (normally one time is enough) average the number of pixels if more than one time check if the result is above the critical pixel number T2 if the result is above T1, it is stored as the new high end contrast if the result is below T1, it is stored as the new low end contrast, either the previous or this step will cut the search range by half the average of the low and high is used to set the system""s contrast repeat the above until the difference of low and high end contrast is smaller than a third criteria T3, the contrast resolution factor FIG. 7 shows an auto-contrast method in accordance with the invention. The search path is also optimized using Newton""s Bisection method (described below with reference to FIGS. 12A-12F). Values for the search range, tolerance xcex4, intensity xe2x80x9cpassxe2x80x9d level Ip, and xe2x80x9cpixels to passxe2x80x9d Np are defined (step 705). The system contrast setting is set at Clow (step 710). An image is acquired and a ROI image is selected from the grabbed image (step 715). Pixels having an intensity value greater than level Ip are counted (step 720). Steps 715 and 720 are repeated in case of severe noise, for example n times. The pixels are then averaged, M=Average {Ip(i)} (step 725). The result M is compared with Np (step 730). If M is greater than the defined xe2x80x9cpassxe2x80x9d value Np, it is stored as the new high-end contrast, Chigh (step 735). If the pixel-intensity average M is smaller than the defined xe2x80x9cpassxe2x80x9d value Np, it is stored as the new low-end contrast, Clow (step 740). The new system contrast is set equal to the average of the high-end contrast value and the low-end contrast value, (Chigh+Clow)/2, at step 745. In doing this, the new search range is decreased to half of the previous one. The difference, xcex94, between the high-end contrast value Chigh and the low-end contrast value Clow is determined (step 750) and checked against a defined resolution threshold (step 755). If the contrast-value difference xcex94 is not small enough, the charged-particle-beam-system contrast level is reset (step 760) and the process repeats from step 715. When the contrast-value difference xcex94 is within the tolerance, the process ends (step 765). Coarse auto-focus and coarse auto-astigmatism correction, and coarse auto-contrast are achieved in the same manner respectively as for the fine adjustments simply by setting the range factor larger. Increasing the search range by a factor of 2 increases the search time only by xcx9c10%, mostly importantlyxe2x80x94without the lost of resolution and reliability. Typically, the total number of frames for each of the above processes is about 11. The time for each process is less than 12 seconds for our system configuration, using a Pentium II computer and Windows NT operating-system platform. The achieved focus accuracy is high enough and comparable to what an expert can achieve manually. FIG. 8 illustrates a method of capturing the rotation center of an image in accordance with the invention. It is important that the ROI images have their center on or near the focus-image-rotation center, and the FIIR center should also stay still while focus changes. Notice that the FIIR center is not the image rotation center when scanning direction is adjusted. Image rotation during focus is not considered in prior-art auto-focus methods, and ironically is a nature of the focus, but ignored. It is perhaps partially because the prior-art works are dealing with the fine adjustment only. Even for the fine adjustment, ignoring FIIR will cause problems in accuracy and reliability. The system is designed to capture the rotation center in accordance with the invention. To do this, two images are acquired at two focus settings, one on each side of good focus, with the same off-focus level, as described below with reference to FIG. 10. A ROI of any unique feature is selected. Pattern recognition is used to find the offset and rotation angle with the 2nd image. The FIIR center is calculated based on the offset and the angle, as described below with reference to FIG. 9. The method of FIG. 8 begins with selection of a well-focused image that contains at least one unique feature (step 805). Let the focus be represented by F. The charged-particle-beam-system focus is set to over-focus at Fxe2x88x92xcex94F (step 810). An image is acquired (step 815). A model is trained using the unique feature in the image by pattern recognition (step 820). The charged-particle-beam-system focus is then set to under-focus at F+xcex94F (step 825). An image is acquired (step 830). The pattern is searched in this image using the trained model obtained in step 820 (step 835). The (x,y) offset and rotation angle xcex8 between the images acquired in steps 815 and 830 are found through pattern recognition (step 840). The focus-rotation center is then calculated mathematically (step 845). FIGS. 9 and 10 illustrate a method of calculating focus rotation center in accordance with the invention. Referring to FIG. 9, every feature on the image, in the plane of the semiconductor wafer, rotates the same angle xcex8. The rotation center point is at A(XA,YA). Due to the focus-induced image rotation, a corner point of a feature in the image moves from position P(x,y) to position Pxe2x80x2(xxe2x80x2,yxe2x80x2). To acquire the focus-rotation center point A(XA,YA): Adjust the focus setting to a level F at which the image is focused. The image is considered focused when the image sharpness is maximized. Set focus to a level at which the image is over focus, Fxe2x88x92xcex94F, acquire the image, and search the trained feature. Set focus to a level at which the image is under focused, F+xcex94F, acquire an image with a unique feature, and train the feature for image recognition. The search provides the coordinates of P(x,y) and Pxe2x80x2(xxe2x80x2,yxe2x80x2), and the rotation angle xcex8. Calculate the position of focus-rotation center A(XA, YA) as follows: Draw a line linking points P(x,y) and Pxe2x80x2(xxe2x80x2,yxe2x80x2). The distance {overscore (P Pxe2x80x2)} is a={square root over ((xxe2x80x2xe2x88x92x)2+(yxe2x80x2xe2x88x92y)2)}xe2x80x83xe2x80x83Equation [1] At the mid-point between points P and Pxe2x80x2, draw a line b perpendicular to {overscore (P Pxe2x80x2)}. The focus-rotation center must be on this line b. Draw a line through point Pxe2x80x2 and parallel to line b. Draw another line through point Pxe2x80x2 with an angle of xcex8/2 as shown. This lines crosses line b at the center of rotation A. A is the center of rotation. Clearly, b = a 2 ⁢ c ⁢ xe2x80x83 ⁢ tan ⁡ ( θ 2 ) Equation  [2] Y A = y + y xe2x80x2 - y 2 + b ⁢ xe2x80x83 ⁢ cos ⁢ xe2x80x83 ⁢ α Equation  [3] Since ⁢ xe2x80x83 ⁢ α = tan - 1 ⁢ y xe2x80x2 - y x xe2x80x2 - x xe2x80x2 Equation  [4] xe2x80x83using Equations [1]-[4], Y A = y + y xe2x80x2 2 + ( x xe2x80x2 - x ) 2 + ( y xe2x80x2 - y ) 2 2 · c ⁢ xe2x80x83 ⁢ tan ⁡ ( θ 2 ) · cos ⁡ ( tan - 1 ⁡ ( y xe2x80x2 - y x xe2x80x2 - x ) ) Similarly, X A = x xe2x80x2 + x - x xe2x80x2 2 + b ⁢ xe2x80x83 ⁢ sin ⁢ xe2x80x83 ⁢ α X A = x + x xe2x80x2 2 + ( x xe2x80x2 - x ) 2 + ( y xe2x80x2 - y ) 2 2 · c ⁢ xe2x80x83 ⁢ tan ⁡ ( θ 2 ) · sin ⁡ ( tan - 1 ⁡ ( y xe2x80x2 - y x xe2x80x2 - x ) ) FIGS. 12A-12F illustrate the sequence of Newton""s bisection method used in auto-contrast methods in accordance with the invention. As shown in FIG. 12A, the region [a,b] is searched, bisected, and truncated. At the midpoint x=(a+b)/2 of the search region in FIG. 12A, f(x) less than n, so the region from a to x is truncated and the region from x to b of FIG. 12A is searched in the next iteration shown in FIG. 12B as the region from a to b. At the midpoint x=(a+b)/2 in FIG. 12B, f(x) greater than n. The process is repeated as shown in FIGS. 12C-12F until the desired limit |bxe2x88x92a| less than xcex4 is achieved. The Golden Section Search method is known, for example, from W. PRESS et al., Golden Section Search in One Dimension, Numerical Recipes in C, Cambridge University Press (1992), xc2xa710.1, pp. 397-401. FIGS. 11A-11F illustrate the sequence of a Golden Section search in which a section of F is chosen as the search range. S as a function of F is searched for a peak value until a desired limit is reached. The Sobel edge enhancement filter used in embodiments of the invention is also known in the art. Matrices xe2x80x9cAxe2x80x9d and xe2x80x9cBxe2x80x9d are applied to the pixels of an image, to the eight closest neighbors of each pixel as well as the pixel itself A and B are operators. When they are applied to the pixels, A is equivalent to partial gradient along x direction, and B along y. DV/dr is the total gradient. The resulting enhancement is Matrix ⁢ xe2x80x83 ⁢ A = [ - 2 0 2 - 1 0 1 - 2 0 2 ] ⇒ δ δ ⁢ xe2x80x83 ⁢ x Matrix ⁢ xe2x80x83 ⁢ B = [ - 2 - 1 - 2 0 0 0 2 1 2 ] ⇒ δ δ ⁢ xe2x80x83 ⁢ y Let""s denote the neighbor pixel values as: V 11 V 12 V 13 V 21 V 22 V 23 V 31 V 32 V 33 Thus, AV=xe2x88x922 V11+2 V13xe2x88x92V21+V23xe2x88x922 V31+V33 BV=xe2x88x922 V11xe2x88x92V12xe2x88x922 V13+2 V31+V32+2 V32 After this operation, the value of dv/dr is taken to replace V22 in the next image. The same operation goes for each pixel in the ROI including the pixels on the edge/edges. The resulting enhancement is ⅆ v ⅆ r = ( δ ⁢ xe2x80x83 ⁢ v δ ⁢ xe2x80x83 ⁢ x ) 2 + ( δ ⁢ xe2x80x83 ⁢ v δ ⁢ xe2x80x83 ⁢ y ) 2 ⅆ v ⅆ r = ( A ⁢ xe2x80x83 ⁢ V ) 2 + ( B ⁢ xe2x80x83 ⁢ V ) 2 Thus for a circular ROI, the resulting image has a radius one pixel smaller. For a box ROI, the resultant ROI in the image is two rows and two columns smaller in size than the ROI prior to application of the Sobel filter. The full Kurtosis method is K = 1 N ⁢ ∑ i N ⁢ ( V i - V _ σ ) 4 - 3 A semi-Kurtosis method can be used in embodiments of the present invention because the values are consistent and are being compared against one another rather than performing an absolute calculation: K xe2x80x2 = ∑ i N ⁢ ( V i - V _ ) 4 The semi-Kurtosis method is statistical, so noise is averaged out at the same time as focusing is performed. This renders the operation insensitive to noise. Improvements of performance accurate repeatable far more robust than other gradient methods a lot faster than FFT method, and more robust independent of sample feature geometry independent of focus-induced image rotation independent of image deformation including changes in aspect ratio, and orthogonality very insensitive to various kinds of noise auto-contrast considered, which is crucial, and another factor not considered in other auto beam methods all search paths are optimized in various ways In many other methods, the curve of focus versus image sharpness measurement has two peaks in charged-particle-beam-system conditions with astigmatisms. However, with our definition of image sharpness, the measurement is not as sensitive to either one of the x and y directional astigmatism. The measurement curve therefore has only one peak in normal operation conditions that do not have huge astigmatism run time drift in x and/or y directions. Only with extremely bad astigmatisms, the image sharpness curve will show two peaks. If this happens, running auto-astigmatism-correct could bring the image sharpness curve back to one peak. The foregoing will focus on beam automation under normal operation conditions. Embodiments in accordance with the invention provide ROI method in every aspect of the listed automation methods. The purpose is to speed the process with a smaller block of data without loss of sufficient representative information. Specifically for auto-focus, the ROI selection is essential to avoid the FIIR effect. For auto-astigmatism-correction and auto-contrast, there is no need to place the ROI around the FIIR center. The size of ROI has been experimentally chosen and can vary within some range. Embodiments in accordance with the invention provide: 1. Method for processing data to obtain a measurement of focus, combining edge enhancement and Kurtosis (or variance) calculation 2. Method for processing data to get the measurement of astigmatism, same method as above 3. Optimization of the search paths 4. Method for Auto contrast 5. Method to measure focus rotation center Basic functional steps for an auto-focus method in accordance with the invention are shown in FIGS. 4, 5 and 6. test the system status is tested to assure it is in good condition to proceed with beam automation measure the image rotation center by imaging recognition grab an image and get data in the region of interest around image rotation center process the region of interest images with Sobel Edge enhancement filter statistically calculate the processed result with semi-Kurtosis to get image sharpness score search the focus position with the optimization method of Golden-Section-Search (FIG. 5) judge the level of image sharpness set the system at the focus found if proper While the detailed description above has been given with respect to a SEM system, methods and principles of the invention are applicable to charged-particle-beam systems of other types, such as focused-ion-beam (FIB) systems. Such systems include a charged-particle-beam column with associated control apparatus for controlling column parameters (such as focus, x-astigmatism and y-astigmatism); a processor with associated memory, display and input/output devices; operating software including instruction sets for operation of the column and the processor to acquire, process and manipulate images of a sample. Suitable SEM and FIB systems are commercially available, for example, from Schlumberger Technologies, Inc., of San Jose, Calif. FIG. 13 shows in block diagram some elements of such a system 1300. A vacuum chamber 1302 evacuated by pumps 1304 encloses a charged-particle-beam column 1306, a specimen stage 1308 for holding a sample specimen 1310 such as an integrated-circuit device, a detector 1312, and (in the case of a FIB system) a gas injector 1314. Column 1306 includes a charged-particle (e.g., electron or ion) source 1316, and charged-particle-optical elements 1318 for controlling alignment and deflection of a charged-particle beam 1320. Detector 1312 may comprise a scintillator 1322 and a photo-multiplier tube 1324 for detecting secondary electrons 1326 emitted when charged-particle beam 1320 impinges on sample specimen 1310. The system includes a workstation 1330 having a processor unit (CPU) 1334, a monitor 1336 and input/output (I/O) devices 1338 such as a keyboard and/or mouse. Workstation 1330 is linked by a bus 1340 to a system control unit 1342 comprising a control CPU, an image processor and image memory. System control unit 1342 communicates via a bus 1344 with a vacuum-pumps control 1346 for controlling vacuum pumps 1304, with gas injector control 1348 for controlling gas injector 1314 (in the case of a FIB system), with charged-particle-beam high-voltage control 1350 for controlling charged-particle source 1316, with charged-particle-beam alignment and deflection control 1352 for controlling charged-particle optical elements 1318, with imaging electronics 1354 which receive a detector signal from detector 1312, and with specimen-stage control 1356 for controlling specimen stage 1308 to position sample specimen 1310. System control unit 1342 preferably receives image information from imaging electronics 1354 and supplies beam control information to charged-particle-beam alignment and deflection control 1352. In operation, a specimen 1310 is placed in vacuum chamber 1302. Chamber 102 is evacuated. Under control of system control unit 1342, charged-particle beam 1320 is scanned over a selected region of the sample specimen to acquire an image of the selected region. Various techniques have been developed for using such systems in the diagnosis and (with FIB systems) repair of semiconductor integrated circuit devices. Those of skill in the art will recognize that these and other modifications can be made within the spirit and scope of the invention as defined in the claims and their full scope of equivalents.
053435052
abstract
Device for the recovery of a molten core of a nuclear reactor essentially constituted by partitions (4) for subdividing a volume located below the core into narrow empty volumes (5), into which the molten material will slowly flow, and cooling channels (6) for solidifying said material. The vaporization of the coolant advantageously brings about its automatic replenishment under the effect of the hydrostatic pressure of a raised source.
claims
1. A stage comprising:a plate disposed substantially orthogonal to an optical axis;an actuator operably coupled to a proximal side of said plate and operative to translate said proximal side in a direction substantially parallel to said optical axis; anda flexural component operably coupled to a distal side of said plate and operative to allow rotation of said plate about a hinge axis. 2. The stage of claim 1 wherein said hinge axis is positioned in substantially the same plane as an object viewed through said optical axis. 3. The stage of claim 1 wherein said flexural component comprises a flexural hinge. 4. The stage of claim 3 wherein said flexural hinge comprises:a first coupling allowing said flexural hinge to be rigidly attached to said plate; anda second coupling allowing said flexural hinge to be attached to a structure fixed at a predetermined location along the optical axis. 5. The stage of claim 3 wherein said flexural hinge comprises of one or more materials selected from a group of materials comprising of metal, metal alloy, plastic, composites, or polymers. 6. The stage of claim 1 wherein said hinge component comprises a piano hinge. 7. The stage of claim 6 wherein said piano hinge comprises:a first coupling allowing said piano hinge to be rigidly attached to said plate; anda second coupling allowing said piano hinge to be attached to a structure fixed at a predetermined location along the optical axis. 8. The stage of claim 6 wherein said piano hinge comprises of one or more materials selected from a group of materials comprising of metal, metal alloy, plastic, composites, or polymers. 9. The stage of claim 1 wherein said actuator comprises a lead screw device. 10. The stage of claim 1 wherein said actuator comprises a piezoelectric actuator. 11. The stage of claim 1 wherein said actuator comprises a bellows coupling driven actuator. 12. The stage of claim 1 wherein said actuator is coupled to a stage frame. 13. The stage of claim 1 wherein said actuator is operably coupled to said plate through linear slides. 14. The stage of claim 1 wherein said actuator is operably coupled to said plate through kinematic means. 15. A stage comprising:a plate disposed substantially orthogonal to an optical axis comprising a distal flexural section operative to allow rotation of said plate about a hinge axis, and;an actuator operably coupled to a proximal side of said plate and operative to translate said proximal side in a direction substantially parallel to the optical axis. 16. A method of providing movement of a stage; said method comprising:providing a plate disposed substantially orthogonal to an optical axis;translating a proximal side of said plate in a direction substantially parallel to said optical axis; andallowing said plate to rotate about a hinge axis. 17. The method of claim 16 wherein said translating comprises coupling an actuator to said proximal side of said plate. 18. The method of claim 17 further comprising selectively adjusting a location of the actuator relative to said hinge axis. 19. The method of claim 17 wherein said translating further comprises utilizing a linear actuator mechanism. 20. The method of claim 16 wherein said providing comprises coupling said plate to a flexural component. 21. The method of claim 16 wherein said providing comprises coupling said plate to a piano hinge. 22. The method of claim 16 wherein said providing comprises integrating said hinge axis into said plate. 23. The method of claim 16 wherein said providing comprises positioning said hinge axis in substantially the same plane as the object observed through said optical axis. 24. The stage of claim 15, wherein said hinge axis is defined by said flexural section. 25. The stage of claim 15, wherein translation of said proximal side by said actuator changes the relative separation of said proximal side and said distal sides along said optical axis. 26. The stage of claim 15, wherein said flexural section includes a piano hinge comprising:a first coupling allowing said piano hinge to be rigidly attached to said plate; anda second coupling allowing said piano hinge to be attached to a structure fixed at a predetermined location along the optical axis. 27. The stage of claim 26 wherein said actuator causes said proximal side of said plate to be translated relative to a distal side of said plate in a direction substantially parallel to the optical axis.
summary
description
An aspect of the present invention relates to a method of manufacturing a scintillator panel, a scintillator panel, and a radiation detector. In the related art, an apparatus including independent scintillators for each pixel of a two-dimensional optical sensor is known. For example, in Patent Literature 1, there is disclosed a radiation detection apparatus including an optical detection panel having a plurality of pixels formed on a substrate, wherein a plurality of convex patterns are formed on at least one pixel of the plurality of pixels on the optical detection panel, and columnar crystals of the scintillator are grown on upper surfaces of the plurality of convex patterns. In addition, in Patent Literature 2, there is disclosed an X-ray plane detector including a plurality of pixel units arranged in a two-dimensional array, wherein each of the pixel units has a scintillator unit configured to convert X-rays entering from a predetermined input surface into light, and interruption regions formed between the scintillator units of the adjacent pixel units such that the scintillator units are not continuous. The interruption regions are formed by forming grooves throughout the entire width of a scintillator layer through radiation of the laser beam to the scintillator layer. In Patent Literature 3, there is disclosed an X-ray imaging apparatus including a scintillator layer divided into optically independent pixels by grooves formed by laser ablation. [Patent Literature 1] Japanese Patent No. 2547908 [Patent Literature 2] Japanese Patent Unexamined Application, First Publication No. 2003-167060 [Patent Literature 3] U.S. Pat. No. 6,921,909 In the apparatuses disclosed in Patent Literatures 1 and 2, a decrease in MTF due to crosstalk in the scintillator unit or the like is suppressed by forming the independent scintillator for each of the pixels of the two-dimensional optical sensor in comparison with a case in which the scintillators are formed on the entire surface of the two-dimensional optical sensor. Here, in order to improve sensitivity characteristics of the scintillator panel, an increase in film thickness of the scintillator may be required. However, since a columnar crystal of the scintillator has a property in which a columnar diameter increases according to a distance from an origin of crystal growth, like the radiation detection apparatus disclosed in Patent Literature 1, in the case in which the crystal of the scintillator is grown on the upper surface of the convex pattern, when a film thickness of the scintillator is increased, the adjacent scintillators may come in contact with each other. While increasing a formation pitch of the convex patterns may be considered to secure independence of the scintillators, in this case, an aperture ratio may decrease. Meanwhile, in the X-ray plane detector disclosed in Patent Literature 2, since the grooves are formed throughout the entire thickness of the scintillator layer, deterioration of the columnar crystal of the scintillator caused by radiation of the laser beam occurs throughout the entire thickness of the scintillator layer, and a decrease in brightness may increase. Further, as disclosed in Patent Literature 3, when the scintillator layer having a large thickness is processed by laser ablation, a laser beam incident portion of the scintillator layer is processed through a wider range than the laser beam processing tip portion, and thus the grooves formed by the laser beam are formed in wedge shapes. For this reason, since the processing range of the laser beam incident portion increases as the thickness of the scintillator layer increases, more than a necessary groove width of the scintillator layer may be lost, which may cause a decrease in X-ray absorption performance. In consideration of the above-mentioned circumstances, an aspect of the present invention is directed to provide a method of manufacturing a scintillator panel, a scintillator panel, and a radiation detector that are capable of increasing a film thickness while suppressing deterioration of a crystal. In order to solve these problems, according to an aspect of the present invention, there is provided a method of manufacturing a scintillator panel configured to convert radiation into scintillation light, the method including: a first process of forming a plurality of convex sections that protrude in a predetermined direction from a rear surface toward a front surface and concave section defined by the convex sections, on the front surface of the substrate having the front surface and the rear surface; a second process of forming first scintillator units respectively extending from the convex sections of the substrate in the predetermined direction through crystal growth of a columnar crystal of a scintillator material; and a third process of radiating a laser beam to contact portions of the first scintillator units extending from the adjacent convex sections and separating the first scintillator units extending from the adjacent convex sections by scanning the laser beam along the concave section. In the method of manufacturing the scintillator panel, as the columnar crystals of the scintillator material are grown, the first scintillator units respectively extending from the convex sections of the substrate in the predetermined direction are formed. For this reason, for example, the first scintillator units are formed to be separated from each other by a predetermined height with upper surfaces of the convex sections as an origin, and formed on the concave section at the predetermined height or more to come in contact with each other. Accordingly, as the concave section is scanned with the laser beam, when the laser beam is radiated to the contact portions between the first scintillator units to separate the first scintillators from each other, the first scintillator units having an increased thickness are obtained. In addition, since the laser beam may be preferably radiated to only the contact portion upon separation of the first scintillator units, deterioration of the crystals can be suppressed. Further, a groove width formed by laser processing can be suppressed from increasing beyond a groove width needed for suppression of crosstalk. The method of manufacturing the scintillator panel according to the aspect may further include a fourth process of forming second scintillator unit on bottom surfaces of the concave section of the substrate, before the third process. In this case, since the second scintillator unit formed on the bottom surfaces of the concave section function as a protective film, damage to interconnections or the like installed on the substrate when the laser beam is radiated can be prevented. In the method of manufacturing the scintillator panel according to the aspect, in the first process, in the first process, the concave section defined in a lattice shape are formed on the front surface of the substrate by forming the convex sections to be arranged on the front surface of the substrate in a two-dimensional array, and in the fourth process, a thickness of the second scintillator unit in a crossing region of the concave section may be larger than a thickness of the second scintillator unit at a position other than the crossing region. In this case, when a lattice-shaped concave section is scanned with the laser beam, since the second scintillator unit having a relatively larger thickness function as the protective film in the crossing region of the concave section to which the laser beam is radiated twice, damage to interconnections or the like installed on the substrate can be securely prevented. According to another aspect of the present invention, there is provided a scintillator panel configured to convert radiation into scintillation light, the scintillator panel including: a substrate having a front surface and rear surface, the substrate is formed with a plurality of convex sections protruding from the front surface in a predetermined direction from the rear surface toward the front surface, and concave section defined by the convex sections; and a plurality of first scintillator units respectively extending from the convex sections in the predetermined direction and separated from each other, wherein the first scintillator units are respectively formed through growth of a plurality of columnar crystals on the convex sections, and at least a part of the columnar crystals constituting the first scintillator unit over bottom surface of the concave section are fused and adhered to each other through radiation of a laser beam. In the scintillator panel, since a range in which the plurality of columnar crystals are fused and adhered to each other is limited to at least a part on the bottom surfaces of the concave section, deterioration of the crystals is small. In the scintillator panel according to the aspect, the scintillator panel may further include second scintillator unit formed on the bottom surfaces of the concave section of the substrate. In this case, upon manufacture thereof, for example, when the concave section is scanned with the laser beam to separate the first scintillator units from each other, since the second scintillator unit formed on the bottom surfaces of the concave section function as the protective film, damage to interconnections or the like installed on the substrate can be prevented. In the scintillator panel according to the aspect, the convex sections may be arranged on the front surface of the substrate in a two-dimensional array, the concave section may be defined on the front surface of the substrate by the convex sections in a lattice shape, and a thickness of the second scintillator unit in a crossing region of the concave section may be larger than a thickness of the second scintillator unit at a position other than the crossing region. For this reason, as described above, upon manufacture thereof, for example, when the concave section is scanned with the laser beam to separate the first scintillator units from each other, as the second scintillator unit having a relatively larger thickness function as the protective film in the crossing region to which the laser beam is radiated twice, the substrate can be sufficiently protected. In the scintillator panel according to the aspect, at least a part of the second scintillator unit may be solidified after being melted by radiation of the laser beam. According to another aspect of the present invention, there is provided a radiation detector including the above-mentioned scintillator panel, in which the substrate is a sensor panel having a plurality of photoelectric conversion elements arranged to be optically coupled to the first scintillator unit. As described above, since the radiation detector includes the scintillator panel that can be increased in thickness while suppressing deterioration of the crystals, characteristics such as MTF or the like can be improved. In particular, since the substrate is the sensor panel including the photoelectric conversion elements, the convex sections can be directly formed on the photoelectric conversion elements, and the scintillator units can be formed on the convex sections. For this reason, there is no need to attach the scintillator panel and the sensor panel, which are separately prepared. According to an aspect of the present invention, it is possible to provide a method of manufacturing a scintillator panel, a scintillator panel, and a radiation detector that are capable of increasing a film thickness while suppressing deterioration of a crystal. Hereinafter, a method of manufacturing a scintillator panel and a scintillator panel manufactured by the manufacturing method according to the embodiment will be described with reference to the accompanying drawings. Further, in the drawings, the same or corresponding portions are designated by the same reference numerals, and overlapping description thereof will be omitted. The scintillator panel of the embodiment to be described below is a panel configured to convert an entered radiation R such as an X-ray or the like into scintillation light such as visible light or the like, and for example, may be used as a device for radiation imaging in a mammography apparatus, a chest inspection apparatus, a CT apparatus, a dental photography apparatus, a radiation camera, and so on. First, a scintillator panel according to the embodiment will be described. FIG. 1 is a perspective view of the scintillator panel according to the embodiment of the present invention. FIG. 2 is a partial plan view of the scintillator panel shown in FIG. 1. FIG. 3 is a cross-sectional view taken along line III-III of the scintillator panel shown in FIG. 2. FIG. 4 is a cross-sectional view taken along line IV-IV of the scintillator panel shown in FIG. 2. As shown in FIGS. 1 to 4, the scintillator panel 1 includes a rectangular substrate 10. The substrate 10 has a front surface 10a and a rear surface 10b opposite to each other. The substrate 10 has a concavo-convex pattern Pa formed on the front surface 10a. As a material of the substrate 10, for example, a metal such as Al, SUS (stainless steel), or the like, a resin film such as a polyimide, polyethylene terephthalate, polyethylene naphthalate, or the like, a carbon-based material such as amorphous carbon, a carbon-fiber-reinforced plastic, or the like, and a fiber optic plate (FOP: an optical device obtained by binding a plurality of optical fibers having a diameter of several microns (for example, J5734 manufactured by Hamamatsu Photonics Company Limited)) or the like, may be used. As a material of the concavoconvex pattern Pa, for example, a high aspect resist, which is also referred to as an epoxy based resin (KMPR, SU-8 or the like manufactured by Nippon Kayaku Co., Ltd), silicon, glass, and so on may be used. In particular, a material of a convex section constituting the concavoconvex pattern Pa may be a material having permeability with respect to scintillation light generated in a scintillator unit 20, and in this case, the scintillator panel 1 and the sensor panel having photoelectric conversion elements may be bonded at the rear surface 10b side of the substrate 10 to constitute a radiation detector. In addition, the concavoconvex pattern Pa may be constituted by the same scintillator material (for example, CsI (cesium iodide)) as the scintillator unit 20 (which will be described below). The concavo-convex pattern Pa is formed of a plurality of convex sections 11 and concave section 12 defined by the convex sections 11. That is, the plurality of convex sections 11 and concave section 12 are formed at the front surface 10a of the substrate 10. The convex sections 11 protrude from the front surface 10a in a predetermined direction from the rear surface 10b toward the front surface 10a of the substrate 10 (here, an incidence direction of the radiation R, and a direction perpendicular to the front surface 10a or the rear surface 10b of the substrate 10). Each of the convex sections 11 is formed in a rectangular parallelepiped shape. The convex sections 11 are periodically arranged on the front surface 10a of the substrate 10 in a two-dimensional array along an X axis and a Y axis parallel to the substrate 10 and perpendicular to each other. Accordingly, the concave section 12 defined by the convex sections 11 is groove forming a rectangular lattice shape when seen in a plan view. Hereinafter, a region in which a region extending in the X-axis direction of the concave section 12 and a region extending in the Y-axis direction cross each other is referred to as a crossing region C. In dimensions of the concavo-convex patterns Pa, for example, when a pitch P of the convex section 11 (a formation period of the convex section 11) is about 127 μm, a width (a groove width) W of the concave section 12 may be about 45 to 200 μm, and when the pitch P of the convex section 11 is about 200 μm, the width W of the concave section 12 may be about 50 μm to 70 μm. In addition, a height H of the convex section 11 may be about 2.5 μm to 50 μm. In particular, in the embodiment, the pitch P of the convex section 11 is about 200 μm, the width W of the concave section 12 is about 70 μm, and the height H of the convex section 11 is about 15 μm. The scintillator panel 1 includes the plurality of scintillator units (first scintillator units) 20 formed on the convex sections 11, and scintillator units (second scintillator unit) 30 and 30a formed in the concave section 12. The scintillator units 20 are separated from each other (i.e., the scintillator panel 1 has a discrete type scintillator unit). The scintillator unit 20 may be formed by a scintillator material forming a columnar crystal, for example, referred to as CsI (cesium iodide). A height (a scintillator film thickness) T of the scintillator unit 20 may be, for example, about 100 μm to 600 μm. The scintillator units 20 extend from the convex sections 11 in a predetermined direction, and are separated from each other. The scintillator unit 20 has a first portion 21 and a second portion 22. The first portion 21 forms a rectangular shape corresponding to a shape of the convex section 11 when seen in a plan view. The second portion 22 forms a rectangular ring shape to cover side sections of the first portion 21 when seen in a plan view. The first portion 21 extends from an upper surface 11a of the convex section 11 in an incidence direction of the radiation R (a direction substantially perpendicular to the substrate 10). More specifically, the first portion 21 is constituted by a plurality of columnar crystals C1 of a scintillator material formed through crystal growth from the upper surface 11a of the convex section 11 in the incidence direction of the radiation R. The second portion 22 extends from a side surface 11b of the convex section 11 in the incidence direction of the radiation R to come in contact with the first portion 21. The second portion 22 is formed to overhang sideward from the side surface 11b of the convex section 11 and is disposed over a bottom surface of the concave section 12. The second portion 22 is integrally formed with the first portion 21 (adhered to the first portion 21). More specifically, the second portion 22 is constituted by a plurality of columnar crystals C2 of a scintillator material formed through crystal growth in a direction (a direction crossing a predetermined direction) crossing the incidence direction (a direction substantially perpendicular to the substrate 10) of the radiation R from the side surface 11b of the convex section 11, and extends in the incidence direction of the radiation R as a whole. The columnar crystal C2 is formed at the entire side surface 11b of the convex section 11. The columnar crystal C1 constituting the first portion 21 forms a tapered shape having a diameter that increases away from the upper surface 11a of the convex section 11. That is, a columnar diameter of the columnar crystal C1 increases away from the upper surface 11a of the convex section 11 (i.e., from a base end section of the upper surface 11a side toward a front end section of an opposite side). The columnar crystal C2 constituting the second portion 22 forms a tapered shape having a diameter that increases away from the side surface 11b of the convex section 11. That is, the columnar diameter of the columnar crystal C2 increases away from the side surface 11b of the convex section 11 (i.e., from the base end section of the side surface 11b side toward the front end section of the opposite side). In particular, a magnification rate of the columnar diameter of the columnar crystal C2 is larger than a magnification rate of a columnar diameter R1 of the columnar crystal C1. Accordingly, for example, in the front end sections, the columnar diameter of the columnar crystal C2 is relatively larger than the columnar diameter of the columnar crystal C1. Further, the height H of the above-mentioned convex section 11 is larger than at least the columnar diameter of the base end sections of the columnar crystal C1 constituting the first portion 21 and the columnar crystal C2 constituting the second portion 22. Accordingly, the plurality of columnar crystals C1 or columnar crystals C2 are formed on the upper surface 11a or the side surface 11b of the convex section 11. The second portion 22 has an upper section 22a and a lower section 22b. The upper section 22a is a portion of the second portion 22 closer to a front end side than a height T1 serving as an intermediate position in the height direction of the scintillator unit 20. The lower section 22b is a portion of the second portion 22 closer to a base end side than the height T1. A part of the front end side of the upper section 22a becomes a fusion-bonding section 22c. The fusion-bonding section 22c is a region formed by a laser beam radiated to separate the plurality of scintillator units 20 from each other, and is formed at an outer side surface of the upper section 22a. In the adjacent the scintillator units 20, the fusion-bonding sections 22c are separated from each other. In addition, the lower sections 22b of the adjacent scintillator units 20 are separated from each other. In the fusion-bonding sections 22c, the plurality of columnar crystals C2 are fused and adhered to each other, and a columnar structure is collapsed. In addition, in the fusion-bonding sections 22c, the front end section of the columnar crystal C2 is destroyed by radiation of the laser beam. In this way, the plurality of scintillator units 20 are separated from each other by radiation of the laser beam, and gaps S are formed between the adjacent scintillator units 20. The gaps S are formed by the adjacent scintillator units 20 and bottom surfaces of concave section 12. The gaps S have an interval D1 between the upper sections 22a opposite to each other via the gaps S, and have a larger interval D2 than the interval D1 between the lower sections 22b opposite to each other via the gaps S. However, the gaps S have a wedge shape and an interval is increased toward the front end section of the scintillator unit 20 at a laser beam incidence position A (a position between the front end sections of the scintillator units 20). That is, the gaps S have an hourglass shape constricted at an intermediate position in the height direction (a position between the upper sections 22a opposite to each other via the gaps S) as a whole. The scintillator units 30 and 30a are formed in the concave section 12, in particular, on a bottom surface 12a of the concave section 12. The scintillator unit 30 is formed in a region different from the region corresponding to a lattice point of the lattice-shaped concave section 12 when seen in a plan view, i.e., in a region other than the crossing region C of the concave section 12. The scintillator unit 30a is formed in a region corresponding to the lattice point of the lattice-shaped concave section 12 when seen in a plan view, i.e., in the crossing region C of the concave section 12. The scintillator units 30 and 30a are integrally formed with the entire concave section 12. The height H1 of the scintillator unit 30 is configured to be smaller than the height H of the convex section 11. In addition, the height H2 of the scintillator unit 30a is configured to be larger than the height H of the convex section 11. That is, the thickness of the scintillator unit 30a is configured to be larger than the thickness of the scintillator unit 30. The scintillator units 30 and 30a function as a protective film configured to protect the substrate 10 from radiation of the laser beam (to be described below). The scintillator units 30 and 30a are constituted by a plurality of columnar crystals of a scintillator material referred to as CsI, like the first portion 21 and the second portion 22 of the scintillator unit 20. The columnar crystals constituting the scintillator units 30 and 30a are formed through crystal growth from the bottom surface 12a of the concave section 12 in the incidence direction of the radiation R. The scintillator unit 30 forms a convex shape (a substantially triangular cross-section) having a thickness that increases from corners of the concave section 12 (connecting portions between the side surfaces 11b of the convex section 11 and the bottom surface 12a of the concave section 12) toward a center in the width direction of the concave section 12. The scintillator unit 30a forms a convex shape (a substantially conical shape), a thickness of which is largest at the center point of the crossing region C of the concave section 12 and reduces away from the center point. Further, the scintillator units 30 and 30a may come in contact with the second portion 22 to support the columnar crystal C2 of the second portion 22 extending from the side surface 11b of the convex section 11 from the bottom surface 12a side of the concave section 12. In connection with this, the columnar diameter of the columnar crystal of the portion of the second portion 22 in contact with the scintillator unit 30 is smaller than the columnar diameter R1 of the columnar crystal C1 of the first portion 21. The scintillator units 30 and 30a may be partially or entirely solidified after being melted by radiation of the laser beam. Next, a method of manufacturing the scintillator panel 1 will be described with reference to FIGS. 5 to 8. First, the plurality of convex sections 11 and the concave section 12 defined by the convex sections 11 are formed on the front surface 10a of the substrate 10 (a first process). When the concavo-convex pattern Pa is formed, a base material serving as the substrate 10 is prepared, and as shown in FIG. 5, a material of the concavo-convex pattern Pa is applied and dried on the base material. Next, the concavo-convex pattern Pa is formed on the base material through photolithography to manufacture the substrate 10 having the concavoconvex pattern Pa of a predetermined dimension (the first process). Here, the concavo-convex pattern Pa is formed in which the convex section 11 protrudes in a predetermined direction from the rear surface 10b of the substrate 10 toward the front surface 10a (here, an incidence direction of the radiation R and a direction perpendicular to the front surface 10a or the rear surface 10b of the substrate 10). In addition, as the convex sections 11 are arranged in a two-dimensional array in the X-axis direction and the Y-axis direction, as shown in FIG. 6, the concave section showing a rectangular lattice shape when seen in a plan view are formed. Further, the concavo-convex pattern Pa may be formed on the base material through screen printing. Next, as shown in FIG. 7, as the columnar crystals C1 and C2 of the scintillator material referred to as CsI are grown, scintillator units 40 extending in a predetermined direction from the convex sections 11 of the substrate 10 (here, in the incidence direction of the radiation R and the direction perpendicular to the front surface 10a or the rear surface 10b of the substrate 10) are formed (a second process). Here, the scintillator units 30 and 30a are formed on the bottom surface 12a of the concave section 12 of the substrate 10 through crystal growth (a fourth process). The scintillator unit 40 is formed to include a first portion 41 having a rectangular shape to correspond to a shape of the convex section 11 when seen in a plan view, and a second portion 42 having a rectangular ring shape to cover side sections of the first portion 21 when seen in a plan view. The scintillator unit 40 is a portion that becomes the scintillator unit 20 (to be described below). Here, the crystal of the scintillator material is grown until the scintillator unit on the upper surface 11a of the convex section 11 arrives at a predetermined height (for example, 100 μm to 600 μm). Accordingly, as shown in FIG. 7, the plurality of scintillator units 40 separated from each other at a portion closer to the base end side than the height T1 (a portion that will become the above-mentioned lower section 22b) and in contact with each other at a portion closer to the front end side than the height T1 (a portion that will become the above-mentioned upper section 22a) are formed. As a result, the plurality of scintillator units 40 having boundary surfaces serving as contact portions 43 are formed. The scintillator unit 30 is formed in the concave section 12 except for the crossing region C. The scintillator unit 30a is formed in the concave section 12 in the crossing region C. The scintillator unit 30a is formed to have a larger thickness than the scintillator unit 30. The scintillator units 30, 30a and 40 are formed on the substrate 10 by depositing the scintillator material referred to as CsI through, for example, vacuum deposition. As various deposition conditions (a vacuum level, a deposition rate, a substrate heating temperature, an angle of a vapor flow, and so on) are controlled, the above-mentioned scintillator units 30, 30a and 40 are formed on the concavo-convex pattern Pa. The scintillator units 20, 30, 30a and 40 can also be formed using a vapor phase deposition method, in addition to the vacuum deposition. Next, the laser beam L is radiated to the contact portions 43 of the scintillator units 40 extending from the adjacent convex sections 11, and the scintillator units 40 are separated from each other by scanning the concave section 12 with a laser beam L (a third process). FIG. 8 is a view showing a process of separating the scintillator units 40 using the laser beam L. As shown in FIG. 8, as the contact portions 43 of the scintillator units 40 are scanned with the laser beam L in the X-axis direction and the Y-axis direction, the laser beam L is radiated to the contact portions 43 of the scintillator units 40 extending from the adjacent convex sections 11. A part of the second portion 42 of the scintillator unit 40 is cut and removed by radiation of the laser beam L to separate the scintillator units 40 from each other. According to the scanning with the laser beam L, in the crossing region C of the concave section 12, as scanning with the laser beam L is performed in the X-axis direction and the Y-axis direction, the laser beam L is radiated two times. In the contact portions 43 of the scintillator units 40, as the laser beam L is radiated, the plurality of columnar crystals C2 are fused and adhered to each other. Accordingly, the fusion-bonding section 22c is formed at a part of the second portion 42 of the scintillator unit 40. In this way, as the laser beam L is radiated to separate the plurality of scintillator units 40 from each other, the scintillator units 20 are formed and the scintillator panel 1 is manufactured. As the laser beam used herein, for example, a laser beam having a wavelength of 515 nm, a pulse having a width of 1 ps and a cyclic frequency of 20 kHz and obtained through second harmonic generation (SHG), or a laser beam having a wavelength of 258 nm, a pulse having a width of 1 ps and a cyclic frequency of 20 kHz and obtained through fourth harmonic generation (SHG) may be used. In this way, as the contact portions of the adjacent scintillator units 20 are scanned with the laser beam, the scintillator units 20 formed through crystal growth can be spaced apart from each other (i.e., pixelation of the scintillator units can be realized). Since the crystal growth is performed in a state in which the lower sections 22b of the scintillator units 20 are spaced apart from each other, when scanning with the laser beam is performed, the laser beam is radiated to only a part of the upper sections 22a of the adjacent scintillator units 20. As described above, in the method of manufacturing the scintillator panel 1 according to the embodiment, as the crystal growth of the columnar crystal of the scintillator material is performed, the scintillator units 40 extending from the convex sections 11 of the substrate 10 in the predetermined direction are formed. For this reason, the scintillator units 40 are formed to be separated from each other by the predetermined height with the upper surface 11a of the convex section 11 as the origin, and in contact with each other from the predetermined height to over the concave section 12. Accordingly, as the concave section 12 is scanned with the laser beam L, when the laser beam L is radiated to the contact portions 43 of the scintillator units 40 to separate the scintillator units 40 from each other, the scintillator units 20 having an increased thickness are obtained. In addition, according to separation of the scintillator units 40, since the laser beam may be preferably radiated to only the contact portion 43, deterioration of the crystal can be suppressed. In addition, in the method of manufacturing the scintillator panel 1 of the embodiment, since the scintillator unit 30 formed on the bottom surface 12a of the concave section 12 functions as a protective film, for example, when the substrate 10 is the sensor panel, damage to interconnections or the like installed on the sensor panel when the laser beam L is radiated can be prevented. In particular, in the method of manufacturing the scintillator panel 1 according to the embodiment, when the lattice-shaped concave section 12 is scanned with the laser beam L, since the scintillator unit 30a having a relatively large thickness functions as the protective film in the crossing region C of the concave section 12 to which the laser beam L is radiated twice, damage to the interconnections or the like installed on the substrate (the sensor panel) 10 can be more securely prevented. The above-mentioned embodiment has been described as an embodiment of the scintillator panel according to an aspect of the present invention. Accordingly, aspects of the present invention are not limited to the above-mentioned scintillator panels 1 to 1C, Aspects of the present invention may include arbitrary modifications of the above-mentioned scintillator panel 1 or applications to other scintillator panels without departing from the spirit of the present invention. For example, in the above-mentioned embodiment, while the case in which the aspect of the present invention is applied to the scintillator panel has been described, the aspect of the present invention may be applied to the radiation detector including the above-mentioned scintillator panel or the like. In this case, the radiation detector may include the above-mentioned scintillator panel 1, and the substrate 10 may be the sensor panel (the TFT panel or the CMOS image sensor panel) including the plurality of photoelectric conversion elements arranged to optically couple to the scintillator unit 20. In this case, for example, the convex sections 11 corresponding to the pixels of the TFT panel or the CMOS image sensor serving as the substrate 10 are formed, and the scintillator units 20 and 30 are formed thereon. The material of the convex section 11 and the method of forming the same are as described above. Here, the convex sections 11 may be constituted by the material having permeability with respect to the scintillation light generated in the scintillator unit 20. According to the above-mentioned radiation detector, since the above-mentioned scintillator panel 1 is provided, characteristics can be improved. In addition, since the substrate 10 is the sensor panel including the photoelectric conversion elements, when the convex section 11 is directly formed on the photoelectric conversion elements to form the scintillator unit 20, there is no need to stick the scintillator panel and the sensor panel, which are separately prepared, together. 1 . . . scintillator panel, 10 . . . substrate (sensor panel), 11 . . . convex section, 11a . . . upper surface, 11b . . . side surface, 12 . . . concave section, 12a . . . bottom surface, 20, 40 . . . scintillator unit (first scintillator unit), 22c . . . fusion-bonding section, 30 . . . scintillator unit (second scintillator unit), 30a . . . scintillator unit, 43 . . . contact portion, C . . . crossing region, C1, C2 . . . columnar crystal, R . . . radiation.
summary
abstract
A charged particle beam apparatus for obtaining information of an uneven surface or a depression/protrusion of a sample by irradiating a charged particle beam to a sample having an uneven surface or a depression/protrusion at a plurality of focal positions, measuring signal emitted from the sample, and comparing profile waveforms corresponding to edge portions of the uneven surface.
summary
description
Referring now to the drawings, wherein like reference numerals designate corresponding structure throughout the views, and referring in particular to FIG. 1, a system for moving a canister 12 of spent nuclear fuel to a storage cask 14 according to a preferred embodiment of the invention is depicted with the storage cask 14 being mounted for transport upon a trolley 16. As may be seen in FIG. 1, system 10 utilizes a transfer cask 18 into which the canister 12 is temporarily positioned while it is being moved from a first location to a final resting space 44 that is defined within the storage cask 14. As is conventional, transfer cask 18 is preferably fabricated from steel and has a pair of opposed lifting lugs 34, 36 that are integral with an outer wall 38 thereof. Lifting lugs 34, 36 may be used to lift and reposition the transfer cask 18 during operation. Transfer cask 18 further has an internal space defined by an inner wall 40 for receiving the canister 12 and a gate mechanism 42 positioned at the bottom thereof for retaining the canister 12 until it is properly positioned to be lowered into the storage cask 14. Referring again to FIG. 1, it will be seen that system 10 further includes a first lifting mechanism 20 for engaging the transfer cask 18 and moving the transfer cask 18 from a first position to a position that is immediately adjacent to and above the storage cask 14. In the preferred embodiment, first lifting mechanism 20 is constructed as a single failure proof crane 22 having an upper block assembly 24 and a lower block assembly 26 that is suspended from the upper block assembly 24 by a reeving arrangement 28. Suspended from the lower block assembly 26 is a first lifting hook that is configured and spaced and sized so as to be able to engage the first lifting lug on the transfer cask 18 and a second lifting hook that is likewise constructed for engaging the opposed second lifting lug 36 during operation. It should be understood that the description of the lifting hooks as being suspended from the lower block assembly 26 should be construed as descriptive of any mechanical arrangement wherein the lifting hooks move substantially with the lower block assembly 26, regardless of whether they are actually mounted on the lower block assembly or one another component, such as part of the hoist mechanism, that in turn is mounted on the lower block assembly. System 10 advantageously further includes a second lifting mechanism 46 that in the preferred embodiment is attached to the lower block assembly 26 of the first lifting mechanism 20. As may be seen in the top plan view of the second lifting mechanism that is depicted in FIG. 7, the second lifting mechanism 46 is preferably embodied as a hoist 58 that is powered by a redundant pair of electric motors 60 and that is constructed and arranged to raise and lower a specialized canister grab system 64 that will engage a lid portion 65 of the canister assembly 12, as will be described in greater detail below with reference to FIG. 8. As may be seen in FIG. 7, hoist 58 includes a corresponding pair of planetary reduction gears 61 that are part of a drive train from the motors 60 to a pair of drums 63 about which are wound at least two cables or ropes, which in turn are arranged in a reeving arrangement 67 comprising a plurality of parts of rope and a plurality of sheaves 66 (shown in FIG. 5) that are mounted to a frame assembly 48 of the canister grab system 64. The reeving arrangement 67 includes an equalizer 69, best shown in FIG. 7. An electric motor 50, powered by a power supply 52, is provided to engage and disengage canister grab system 64 with the lid portion 65, as will be described in greater detail below. Referring now to FIGS. 2-4, it will be seen that electric motor 50 is coupled to a reduction gear 70 that in turn is connected to an interlock assembly that ensures that the grab system 64 will be constrained to remain engaged with the lid portion 65 when any substantial amount of weight of the canister 12 is borne by the second lifting mechanism 46. As may best be seen in FIG. 4, an interlock assembly is preferably constructed as a clutching arrangement in a bevel drive 72 that includes a first, male, conical drive member 74 and a second, female conical drive member 76. As may be seen by comparing the positions of the grab system 64 and FIGS. 2 and 3, the frame assembly 48 is divided into an upper grab frame assembly 54 and a lower grab frame assembly 56 that is mounted so as to be permitted to travel a predetermined vertical distance DT with respect to the upper grab frame assembly 54. As may best be seen in FIG. 4, a system of biasing springs 78 and pins 80 are provided to bias the upper and lower grab frame assemblies 54, 56 together so that under normal circumstances the first male conical drive member 74 remains frictionally engaged with the second female conical drive member 76, ensuring an intact drive train between the reduction gear 70 and a drive shaft 82 as is shown in FIG. 2. Drive shaft 82 is coupled to a screw drive arrangement 86 by means of a bevel gear 84. Screw drive arrangement 86 includes a shaft 87 that is provided with an external helical thread and that is interengaged with a mating internally threaded sleeve 89 that is integral with the canister ring hook 88. Accordingly, rotation of the shaft 82 in a first direction will tend to extend a canister ring hook 88 and rotation in a second, opposite direction will tend to retract the canister ring hook 88. A limit switch 90 is positioned as is shown in FIG. 2 to monitor the travel of the canister ring hook 88. When the canister ring hook 88 is in the retracted position, the canister grab assembly will be able to engage the lid member 65 of the canister 12. When the canister ring hook 88 is in the fully extended position, the canister grab system 64 will be securely locked into the lid portion 65 of the canister 12. When the canister grab system 64 bears the weight of the canister 12, the lower grab frame assembly 56 will be pulled downwardly with respect to the upper grab frame assembly 54, against the biasing of springs 78, and the first conical drive member 74 will disengage from the second conical drive member 76. This state of disengagement may be sensed by a sensor 92. Accordingly, when the second lifting mechanism 46 is effectively bearing the weight of the canister 12, the canister grab system 64 will be unable to disengage from the canister 12. Referring now to FIG. 8, it will be seen that the canister ring hook 88 includes at its circumferentially outer extreme lower end a projection 94 for engaging the ring member 98 that is bolted to the lid portion 65 of the canister 12. As may be seen in FIG. 8, ring member 98 also includes an inwardly extending projection 99 that has a lower surface 100. According to one important aspect of the invention, the lower surface 100 of the projection 99 on the ring member 98 and an upper surface 96 of the projection 94 are both angled so as to tend to retain the canister ring hook 88 to the ring member 98 that any time during operation that the weight of the canister 12 is resting to any significant extent on the canister ring hook 88. Preferably, each of these surfaces is angled within a range of about 2 degrees to about 10 degrees with respect to horizontal. More preferably, each of these surfaces is angled by about 6 degrees with respect to the horizontal. FIG. 9 depicts a grab assembly 210 that is constructed according to a second, alternative embodiment of the invention. Grab assembly 210 is identical to the grab assembly described above in reference to the first embodiment, except that it is configured to engage a canister lid assembly of slightly different construction by radially retracting the canister ring hook assembly 212. Accordingly, a screw drive arrangement 214 is provided that has a threading that is opposite from that of the screw drive arrangement 86 of the first embodiment. The canister ring hook assembly in the second embodiment has a projection 216 that faces inwardly, as may be seen in FIG. 9. In operation, the canister 12 will first be positioned and secured within the transfer cask 18 and the transfer cask 18 will then be engaged by the first lifting mechanism 20, specifically by engagement of the lifting hooks 30, 32 with the corresponding lifting lugs 34, 36 on the sides of the outer wall 38 of the transfer cask 18. At this point, the first lifting mechanism 20 and specifically the crane 22 will be used to move the transfer cask 18 and the enclosed canister 12 to a position (as is shown in FIG. 1) immediately above the storage cask 14. At any point in the process up to and including this point, the canister grab system 64 may be lowered automatically or by an operator by instructing the hoist 58 to be lowered into the proximity of the lid member 65 of the canister 12. The motor 50 will then be actuated, causing the canister ring hook 88 to extend, thereby locking the canister grab system 64 into the lid assembly 65. This is verified by the limit switch 90, which will so advise a remote human operator or an automated control system. At this point, while the first lifting system and 20 remains engaged with the transfer cask 18, the gate mechanism 42 at the lower end of the transfer cask 18 may be opened, preferably by remote control. The hoist 58 may be instructed to lower the canister 12 into the space 44 that is defined within the storage cask 14. Because the canister grab system 64 is suspended from the lower block assembly 26, which also provides the lifting engagement with the transfer cask 18, relative stability is provided during this step of lowering the canister 12. Accordingly, it is not necessary for the transfer cask 18 to be tied down using supplemental restraints which, as described above, is a time-consuming process that may also result in the exposure of humans to potentially harmful radiation. As the canister 12 is lowered, the bevel drive 72 will be pulled into the disengaged position that is shown in FIG. 4, 50 that the grab system 64 may not be accidentally disengaged. When the canister 12 is in the final storage position, the control system or remote human operator will instruct the electric motor 50 to disengage the canister ring hook 88 from the lid member 65 of the canister 12. The hoist 58 will then be instructed to retract the grab system 64, and the first lifting mechanism may then be instructed to transport the transfer cask to another location where it may be stored or used in a second transfer procedure. It is to be understood, however, that even though numerous characteristics and advantages of the present invention have been set forth in the foregoing description, together with details of the structure and function of the invention, the disclosure is illustrative only, and changes may be made in detail, especially in matters of shape, size and arrangement of parts within the principles of the invention to the fill extent indicated by the broad general meaning of the terms in which the appended claims are expressed.
claims
1. A charged particle beam apparatus having an opening formation member formed with an opening for passage of a charged particle beam emitted from a charged particle source, and either a detector adapted to detect charged particles emitted from a sample and having passed through said passage opening or a detector adapted to detect charged particles resulting from bombardment on another member of the charged particles having passed through said passage opening, comprising:an aligner for aligning charged particles emitted from said sample and a control unit for controlling said aligner, wherein said control unit controls the aligner to cause it to shift trajectories of the charged particles emitted from said sample so that length measurement may be executed on the basis of detection signals before and after the alignment by said aligner. 2. A charged particle beam apparatus according to claim 1, wherein said aligner is a Wien filter. 3. A charged particle beam apparatus according to claim 1, wherein said control unit changes the alignment status of said aligner into at least two statuses and the length measurement is executed by using signal waveforms obtained in said two alignment statuses. 4. A charged particle beam apparatus according to claim 1, wherein said control unit executes the length measurement by using one of signal waveforms obtained when the alignment condition of said aligner is changed in plural manners, said one signal waveform having a peak height which satisfies a predetermined condition. 5. A charged particle beam apparatus according to claim 1, wherein said another member is a conversion electrode adapted to generate electrons under bombardment of charged particles emitted from said sample. 6. A charged particle beam apparatus according to claim 1, wherein said control unit selects an alignment direction by said aligner in accordance with a direction of said length measurement. 7. A charged particle beam apparatus having an opening formation member formed with an opening for passage of a charged particle beam emitted from a charged particle source, and either a detector adapted to detect charged particles emitted from a sample and having passed through said passage opening or a detector adapted to detect charged particles resulting from bombardment on another member of the charged particles having passed through said passage opening, comprising:an aligner for aligning charged particles emmited from said sample and a control unit for controlling said aligner, wherein said control unit forms a signal waveform on the basis of an output of said detector, aligns charged particles emmited from said sample such that a brightness a peak of said signal waveform shows satisfies a predetermined condition, and executes length measurement of a pattern dimension on said sample by using a signal waveform obtained on the basis of said alignment. 8. A charged particle beam apparatus according to claim 7, wherein said aligner is a Wien filter. 9. A charged particle beam apparatus according to claim 7, wherein said control unit executes said length measurement by using a peak top of a signal waveform obtained when the alignment status of said aligner is changed by plural times or a peak having a brightness of a predetermined value or more. 10. A charged particle beam apparatus according to claim 7, wherein said another member is a conversion electrode adapted to generate electrons under bombardment of charged particles emitted from said sample. 11. A charged particle beam apparatus according to claim 7, wherein said control unit selects an alignment direction by said aligner in accordance with a direction of said length measurement. 12. A charged particle beam apparatus having an opening formation member formed with an opening for passage of a charged particle beam emitted from a charged particle source, and either a detector adapted to detect charged particles emitted from a sample and having passed through said passage opening or a detector adapted to detect charged particles resulting from bombardment on another member of the charged particles having passed through said passage opening, comprising:an aligner for aligning charged particles emitted from said sample and a control unit for controlling said aligner, wherein said control unit judges a line and/or space formed on sample on the basis of a signal waveform detected after alignment by means of said aligner.
042344484
summary
List of Prior Art References (37 CFR 1.56 (a)) The following references are cited to show the state of the art: Japanese Laid-Open Patent Application Specification No. 64699/75 U.S. Pat. No. 3,679,595 This invention relates to a method and apparatus for treating radioactive waste, and more particularly to a method and an apparatus for treating aqueous solutions and suspensions of radioactive waste through a step of drying and pulverizing the aqueous solutions and suspensions, and a successive step of compressing and solidifying the resulting powders of radioactive waste. It is desirable to convert aqueous solutions and suspensions of radioactive waste discharged from atomic power stations into a chemically and physically stable solid state convenient for storage. So far available methods for solidifying the aqueous solutions and suspensions of radioactive waste are based on cement solidification, asphalt solidification, etc. The cement solidification is carried out by mixing the aqueous solutions and suspensions of radioactive waste with cement to solidify the solutions and suspensions into a solid state, but has such a disadvantage as an increase in volume of solidified body, because usually the aqueous solutions and suspensions of radioactive waste are mixed, at a low concentration of the radioactive waste, with the cement. The asphalt solidification includes a step of placing the aqueous solutions and suspensions of radioactive waste into hot asphalt, which offers a danger of fire by the hot asphalt. A method for treating the aqueous solutions and suspensions of radioactive waste by drying and solidification has been proposed to overcome the foregoing disadvantages encountered in the solidification treatment. That is, U.S. Pat. No. 3,679,595 discloses an art of solidification by adding a polyelectrolyte and bone glue to the aqueous solutions and suspensions or radioactive waste, heating and drying the resulting mixture on rollers, and compressing the rolled product. The present invention provides a similar treatment of aqueous solutions and suspensions of radioactive waste by drying and pulverizing the aqueous solutions and suspensions of radioactive waste, and then compressing the resulting powders, thereby making solidified bodies of small volume. A step of drying and pulverization of the aqueous solutions and suspensions of radioactive waste is carried out principally by a centrifugal film drier, and a step of compressing the resulting powders to make solidified bodies is carried out by a pelletizer. The pelletizer usually has two counter-disposed rollers, and powders are put into between the rollers by force, and compressed by revolution of the rollers to make solidified bodies. The rollers have recesses or indents on their surfaces and the solidified bodies are formed in the shapes of such recesses or indents. Usually, solidified bodies in a pellet state usually having sizes of a few centimeters are formed. The solidified bodies in the pellet state are stored in drums, or, if necessary, filled with asphalt, etc., and thrown away into the ocean. The treatment of the aqueous solutions and suspensions of radioactive waste by drying and solidification has a problem in obtaining good solidified bodies. That is, no good solidified bodies are obtained only by compressing the powders to make the solidified bodies, unless the powders having a water content below a definite limit content are employed. The limit content is called "limit water content", which is usually a few percents, but is determined on the basis of strength, etc. required for the solidified bodies. The aqueous solutions and suspensions of radioactive waste are continuously fed to the drier, and powders are formed. The resulting powders have not always a constant water content, depending upon the properties of the aqueous solutions and suspensions of radioactive waste and operating conditions of the drier. Sometimes, powders having a water content for above the limit water content are formed. No good solidified bodies are obtained from such poor powders only by direct compression, and thus a further step is necessary to take the resulting powders having the undesired water content, from the drier. The present invention provides a method and an apparatus which comprises measuring a water content of powders between a step of drying and pulverizing aqueous solutions and suspensions of radioactive waste and a step of compressing and solidifying the resulting powders, and eliminating the powders, when the measured water content of the powders is above a limit water content, from a system of the treatment by washing without passing through the step of compressing and solidifying. An object of the present invention is to form good solidified bodies, that is, solidified bodies of high strength. The present invention further provides a method which comprises eliminating the poor powders having a water content above the limit water content rapidly by washing with hot water at a temperature of not lower than 32.degree. C. as a washing solution, when a main solid component of the radioactive waste is Na.sub.2 SO.sub.4.
abstract
An apparatus acts as a shield for radiopharmaceuticals and protects individuals from radioactivity includes a first body with a first hollow core and a second body with a second hollow core. The first hollow core fixedly communicates with two hollow stems open on the first edge of the first body. The hollow stems are symmetrically positioned around the center of the first edge. The first hollow core and second hollow core houses an insert. The insert houses a hypodermic syringe. A first connection means releasably communicates the first body with a dose applicator having two telescoping rods that slide inside the hollow stems. A second connection means releasably communicates the first body with the second body. The dose applicator slideably positions the insert and hypodermic syringe with a radiopharmaceutical into and out of the first body when the second body is removed.
056087772
claims
1. An absorption assembly for slit radiography apparatus including an X-ray source, a slit diaphragm and an X-ray detector wherein said absorption assembly is disposed between said X-ray source and said X-ray detector, which comprises: a plurality of piezoelectric tongues each having a fixed end and a free end including an absorption element; stop means for limiting individually deflection of each of said piezoelectric tongues, said stop means being comprised of a first stop strip and a second stop strip disposed behind said first stop strip, a distance between said second stop strip and a rest position of said piezoelectric tongues being greater than a distance between said first stop strip and a rest position of said piezoelectric tongues. 2. The absorption assembly as defined in claim 1 wherein said stop strips are parallelly-disposed rib members. 3. The absorption assembly as defined in claim 1 wherein said stop strips are edges of stop elements in stepped configuration. 4. The absorption assembly as defined in claim 1 wherein stop means are positioned on either side of said plurality of piezoelectric tongues. 5. The absorption assembly as defined in claim 1 wherein stop means are asymmetrically positioned on either side of said plurality of piezoelectric tongues.
048426652
abstract
A graphite monochromator for X-rays and neutrons which uses a graphitized product obtained by graphitizing a polymer film in an inert atmosphere at a temperature of 2,000.degree. to 3,500.degree. C., which has an extremely excellent plane orientation, and has an excellent smoothness and a mosaic spread of 4.degree. or less.
summary
abstract
The present invention is related to a system and method of inspecting a weld. The weld to be inspected is subjected to a transient sonic excitation while the weld area is monitored using a remote infrared camera. The sonic excitation induces mechanical vibration, which causes heat generation at any cracks or other anomalies in the weld. The infrared camera detects any temperature differentials in the weld, indicating the presence of an anomaly. The system and method is particularly useful for welds in environments that are unsafe for extended human presence or that are crowded or otherwise inaccessible, such as with piping or other structural components.
055368964
abstract
A method for the processing of solid organic sulfur-containing waste, in particular ion exchange media, from nuclear facilities, which method comprises that in a first step a) the waste is subjected to pyrolysis at the most at 700.degree. C. in a step b) the gas resulting from step a) is subjected to pyrolysis, in an optional step c) the gas resulting from step b) is exposed to a reductant bed, and in a step d) the gas from step b) or alternatively step c) is exposed to a bed of sulphide-forming metal to form metal sulphides and easily manageable harmless gases. Apparatus for carrying out the method comprises A) a pyrolysis reactor for the solid waste, B) a pyrolysis reactor for the gas from A), C) optionally, a reductant bed, and D) a bed of sulfur-forming metal for the gas from B) or C).