patent_number
stringlengths
0
9
section
stringclasses
4 values
raw_text
stringlengths
0
954k
abstract
A method of manufacturing a radiation source in one example comprises selecting at least one of a target, a collimator, or target shielding consisting essentially of at least one isotope having a neutron production threshold greater than a peak acceleration energy of the source, and assembling the source including the selected material. A simulation may be used to assist in design a radiation source meeting neutron production requirements and optionally other requirements.
042773105
abstract
A water-cooled nuclear reactor pressure vessel is internally provided with a check valve assembly for the inner end of a coolant inlet nozzle to prevent immediate loss of the coolant from the vessel by backward flow in the event the pressure of the coolant supply through the nozzle, drops below the pressure inside the vessel while the reactor is operating. The valve assembly includes a plurality of flap valves working in parallel so that if one fails to close when required, the balance of the flap valves remain operative to check the discharge of the coolant.
abstract
Reflectron-electromagnetostatic cells for use in mass spectrometers are provided herein that cause ion packets to pass through the cell a plurality of times during fragmentation.
claims
1. A method for using a molten salt reactor, the method comprising:obtaining a molten salt reactor comprising:a reactor core comprising graphite and defining an internal space; anda graphite fuel wedge that defines multiple fuel channels, wherein the fuel wedge is received within the internal space, wherein an outer surface of the fuel wedge comprises a first contoured shape, wherein an internal surface of the internal space comprises a second contoured shape, wherein the first contoured shape of the fuel wedge substantially corresponds in shape to and contacts the second contoured shape of the internal surface of the reactor core as the reactor core operates, and wherein the fuel channels are configured to allow a fissionable fuel to flow from a first end of the fuel wedge to a second end of the fuel wedge, andflowing the fissionable fuel through the fuel channels. 2. The reactor of claim 1, varying a rate at which the fissionable fuel flows through the fuel channels to vary a temperature of the fissionable fuel. 3. The reactor of claim 1, wherein the fuel wedge comprises:a first section comprising a first portion of the fuel channels, anda second section comprising a second portion of the fuel channels,wherein the first section and the second section are disposed end to end within the internal space such that the first and second portions of the fuel channels are aligned, and are in fluid communication, with each other,wherein an alignment pin extends between the first section and the second section of the fuel wedge, andwherein a seal is disposed between the first section and the second section of the fuel wedge.
045445222
description
DESCRIPTION The spacer 11 of the invention is shown generally in the plan view of FIG. 1 and the partly cutaway elevation view of FIG. 2. The spacer 11 is formed of a peripheral support band 12 to which are secured a plurality of sheet metal divider members 13(1) and 13(2) in a cross-laced or egg crate like arrangement to provide fuel rod passages 14 for fuel rods 16. (Conveniently, the peripheral support band 12 may be formed of four separate side pieces welded together at the corners after assembly with the divider members.) One or more passages 14' may be provided to accommodate coolant-moderator conducting tubes 17 which are larger in diameter than the fuel rods 16. (Such water tubes and their function are discussed in U.S. Pat. Nos. 3,802,995 and 4,314,884.) The divider members 13(1) are formed with a pair of arched portions near their upper and lower edges to provide relatively rigid projections or stops 18 for engagement with and lateral location of the fuel rods 16 in the passages 14. Preferably the stops 18 are laterally oriented to minimize spacer projected area and coolant flow resistance. Similar laterally oriented stops 18' are formed along the upper and lower edges of the peripheral support band 12 for support of the fuel rods in the peripheral ones of the fuel rod passages 14. The upper and lower edges 15 (FIG. 2) of the rigid stops 18, 18' are curved slightly outward from the passages 14 (i.e., the stops are slightly convex in the vertical direction) to facilitate entry into and removal from the passages 14 of the fuel rods 16 and to minimize scratching of the fuel rods during rod insertion. The divider members 13 (2) support separately formed, two-sided springs 19 (discussed in more detail hereinafter). The divider members 13(1), 13(2) are alternately positioned so that two intersecting divider members 13(1) and two intersecting divider members 13(2) bound each one of the fuel rod passages 14 (except where the peripheral passages are bounded by the peripheral support band 12). Thus a side of each of two of the springs 19 projects into each passage 14 from two adjacent sides thereof and urges the fuel rod therein into contact with rigid stops 18 on the other two adjacent sides of the passage. As illustrated in FIG. 1, the spacer 11 provides two diagonally adjacent passages 14' for two water tubes 17. To maximize the permissible diameter of the water tubes 17, rigid stops 18 are omitted in the passages 14'. Also, springs 19' (FIG. 2) with only one resilient side are used at spring positions adjacent the passages 14'. In lieu of springs 19 in these passages, resilient lateral support of the water tubes 17 is provided by a ring shaped spring member 21 secured in appropriate slots in the intersecting divider members 13(1)' and 13(1)" bounding two sides of the passages 14' as described hereinafter. The peripheral support band 12 of the spacer 11 is formed with a pair of inwardly curved, upward projections 22 near each corner to serve as lead-ins when a removable tubular flow channel is installed over the fuel assembly of which this spacer is a part. (See flow channel 11 of FIG. 1 of U.S. Pat. No. 3,654,077). It is a feature of the invention that, except for the lead-in projections 22, there are no springs or other spacer structure projecting above or below the planes of the upper and lower edges of the divider members 13(1), 13(2) whereby coolant flow disturbances due to velocity changes caused by changes in spacer cross-section area are minimized. The peripheral support band 12 also is formed with a pair of outwardly extending lobes 23 near each corner for providing predetermined spacing between the support band 12 and a surrounding tubular coolant flow channel (not shown herein). The divider members 13(1), 13(2) are welded together at the top and bottom of each of their intersections 24 for increased spacer strength. Details of divider members 13(1) are illustrated in FIGS. 3A, 3B. These divider members provide the rigid projections or stops 18. To form such stops, the divider member 13(1) is first formed with appropriately located vertically aligned sets of lateral slits 26. The metal between the slits 26 and the upper and lower edges of member 13(1) and between the upper and lower slits of each pair is then deformed outwardly to form the laterally arched stops 18 projecting as vertically aligned pairs from each side of the divider member 13(1). (The rigid stops 18' along the upper and lower edges of the peripheral support band 12 may be formed in a similar manner.) Each divider member 13(1) is formed with regularly spaced vertical slots 27 for interlocking with other divider members of the spacer in egg-crate fashion. Formed at the ends of each divider member 13(1) are spaced pairs of tabs 28. These tabs are welded into appropriate slots in the peripheral support band 12 for location and support of the divider member therein. If the spacer 11 is to accommodate oversized water tubes 17, as shown in FIG. 1, the intersecting divider members 13(1)', 13(1)" must be modified from that shown in FIGS. 3A, 3B to retain the ring-shaped spring 21. Details of these modifications are shown in FIGS. 4A and 4B. In alignment with the intersection of divider members 13(1)' and 13(1)", the divider member 13(1)" is formed with a cutout 29 (FIG. 4A) to receive the ring-shaped spring 21. As shown in FIG. 4B, the divider member 13(1)' is formed with a pair of spaced slots 31 for receiving the spring 21 whereby when the divider members 13(1)' and 13(1)" are interlocked the spring 21 is retained in position. Details of the divider members 13(2) are illustrated in FIGS. 5 and 6. These divider members provide support for the springs 19, 19'. As in the case of divider members 13(1), the divider members 13(2) are formed with the spaced slots 27 for interlocking with other divider members and with the pairs of tabs 28 at each end for welding into appropriate slots in the peripheral support band 12. To retain the springs 19, 19' in their proper vertically oriented positions on the divider member 13(2), each spring is captured between upper and lower pairs of projections 32 (see FIG. 6) near the upper and lower edges of the divider member 13(2). The projections preferably are in the form of quarter-spherical louvers. To form the louvers 32 the divider member 13(2) is first formed with pairs of spaced slits 33 defining the edges of the louvers 32 which face the springs 19, 19'. The springs 19, 19' are then slipped over the divider member 13(2) and each is positioned in alignment with its respective upper and lower pairs of slits 33. The metal of the divider member 13(2) is then deformed outwardly to form the louvers 32. This procedure avoids the installing of the springs over already formed louvers or projections which could overstress the spring material, the theory being that if the springs can be forced over the formed louvers during fabrication of the spacer, they might also slip over the louvers and become mislocated during operation in a reactor. With the procedure of this invention, even if one side of the spring should break during operation in a reactor, it is likely that the spring would remain in its proper position. The spring 19 is illustrated in detail in FIG. 7. The spring 19 is a double-sided, continuous-loop member. Conveniently, the stock from which such a spring may be formed is a section of seamless tubing. The spring 19 is formed with upper and lower minor end portions 36 spaced apart about the thickness of a divider member 13(2) for close fit thereon. Major middle portions 37 are outwardly bowed to extend into the fuel rod passages and are formed with a central apex portion 38 for contact with the fuel rods. Arched intermediate portions 39 extend outwardly to a lesser extent than middle portion 37 for limiting extreme movement of a fuel rod in the direction of the spring 19. The spring 19' is illustrated in FIG. 8. This spring provides a single resilient or spring member and it is used adjacent the oversized water tube passages 14' (FIG. 1). This spring has a straight side 41. The other side has a major middle portion 37' and apex portion 38' similar to that of spring 19 (FIG. 7). However, arched end portions 42 of spring 19' are different from the end portions of spring 19. Because of the straight side 41, the spring 19' as a whole cannot elongate. Therefore, the arched end portions 42 are elongated an amount sufficient to provide the middle portion 37' with a spring constant approximately that of the middle portion 37 of spring 19 while maintaining spring stresses within acceptable levels. In the embodiment of the invention illustrated in FIGS. 5 and 6, louvers 32 are provided to retain spring 19, 19' in their positions on the divider member 13(2). Illustrated in FIGS. 9A, 9B and 9C is an alternate divider member 13(2)' wherein the spring members 19, 19' are positioned in notches 43 formed in the upper end lower edges of a divider member 13(2)'. (It will be appreciated that for this embodiment the springs 19, 19' will be made somewhat shorter so as to fit down in the notches 43 or, alternatively the height of the divider members 13(1), 13(2) may be made somewhat greater.) To provide for installation of the springs 19, 19' on the notched divider member 13(2)', this divider member is first formed of separate longitudinally extending pieces including a major piece 44(1) and a minor piece 44(2). To install the springs 19, 19' on the notched divider member 13(2)', the two pieces 44(1), 44(2) are placed in overlapping relation and the springs 19, 19' are slipped thereover and placed in alignment with the notches 43. The two pieces 44(1), 44(2) are then moved toward opposite ends of the springs 19, 19' to bring the spring ends into engagement with the notches 43 and to bring the inner edges 46(1) and 46(2) of the upper and lower pieces 44(1), 44(2) into abutting relation. These abutting edges are then welded together to form the unified divider member 13(2)', devoid of cutouts, as shown in FIG. 9C. In the spacer of the invention, the peripheral support band 12 and the divider members 13(1), 13(2) are formed of a material having a low neutron absorption cross section such as a zirconium alloy, for example, Zircaloy-4. The spring members 19, 19', 21 are formed of a material having suitable strength, corrosion resistance and resiliency characteristics such as a nickel alloy, for example, Inconel. In an example of a spacer of the invention the peripheral support band 12 is about 1.25 inches (31.75 mm) high and about 0.03 inches (0.76 mm) thick. The divider members 13(1), 13(2) are about 1.125 inches (28.6 mm) high and about 0.02 inches (0.5 mm) thick. The springs 19, 19' are about 0.085 inches (2.2 mm) wide and formed of material about 0.013 inches (0.33 mm) thick. The spring 21 is about 0.175 inches (4.5 mm) high of a material about 0.006 inches (0.15 mm) thick. Thus what has been described is a nuclear fuel element or rod spacer wherein the total amount of material, the amount of high neutron cross section material, the projected area of the spacer structure, and changes in cross section area of the spacer structure are minimized to minimize neutron absorption and coolant/moderator flow resistance. As compared to prior spacers (for example, as shown in U.S. Pat. No. 3,654,077) the decreased neutron absorption of the spacer of the invention provides in the order of 0.8 percent decrease in reactivity penalty which is equivalent to a fuel enrichment benefit of about 0.0098 percent. The spacer of the invention also provides a decrease in fuel assembly coolant pressure drop of from about 10.6 psi with prior spacers to about 9.7 psi with spacers of the invention or a decrease of nearly 1 psi.
description
This application is a continuation of U.S. application Ser. No. 15/308,369, which is a U.S. national stage under 35 U.S.C. § 371 of International Application No. PCT/CN2015/093477, filed on Oct. 30, 2015, the contents of which are incorporated herein by reference. The present disclosure generally relates to a radiation imaging system, and more particularly, to an anti-scatter grid for a detector and a radiation imaging system including such a detector. A radiation imaging system (or “a radiography system”) may be used in many fields such as medical diagnosis and therapy, industrial production and application, scientific experiment and research, national security, etc. Generally, radiation imaging is a technology that may allow non-invasive observation of the interior of a subject using radiation. As used herein, radiation may include a particle ray (for example, neutron, proton, electron, μ-meson, heavy ion, etc.), a photon ray (for example, X-ray, γ-ray, α-ray, β-ray, ultraviolet, laser, etc.), or the like, or any combination thereof. The information acquired by a radiation imaging system may include, e.g., structure, density, or lesions, etc., without damaging the subject. The term “subject” used herein may include a substance, a tissue, an organ, an object, a specimen, a body, or the like, or any combination thereof. Exemplary radiation imaging systems in the medical field may include an X-ray imaging system, for example, a Computerized Tomography (CT) system, a Digital Radiography (DR) system, or some multi-mode imaging system incorporating with a CT or DR system. Images with certain contrast may be generated by X-ray imaging based on the difference in absorptivity, reflectivity and transmissivity of different parts in the subject. The radiation passing through the subject in a straight line (termed as “primary radiation”) may contribute to the generation of an image. Scatter radiation caused by the interaction between the radiation and the subject may interfere with the primary radiation. The scatter radiation may influence, for example, contrast-to-noise ratio (CNR) of a generated image. Thus, it is an enormous challenge to suppress or reduce the scatter radiation effectively and inexpensively in a radiation imaging system. In an aspect of the present disclosure, an anti-scatter grid is provided. In some embodiments, the anti-scatter grid may include a plurality of grid walls, and the plurality of grid walls may be configured to arrive a uniform scatter-to-primary ratio. In another aspect of the present disclosure, a detector is provided. In some embodiments, the detector may include at least one photosensor, at least one scintillator and at least one anti-scatter grid. In some embodiments, the anti-scatter grid may include a plurality of grid walls configured to provide a uniform scatter-to-primary ratio. In still another aspect of the present disclosure, a radiation imaging system is provided. In some embodiments, the radiation imaging system may include a generator, a detector, and a processor. The generator may be configured to generate a radiation, the detector may be configured to detect the radiation, and the processor may be configured to process a radiation image. The detector may include at least one photosensor, at least one scintillator and at least one anti-scatter grid. In some embodiments, the anti-scatter grid may include a plurality of grid walls configured to provide a uniform scatter-to-primary ratio. In some embodiments, the radiation system may further include a display device. In some embodiments, a grid wall of the plurality of the grid walls may have parameters including thickness, height, shape, position of the grid wall, width of an interspace between two adjacent grid walls of the plurality of the grid walls, or the like, or any combination thereof. In some embodiments, the detector may further include a substrate. In some embodiments, the substrate may be a chip. In some embodiments, the substrate may be covered by the photosensor, and the photosensor may be covered by the scintillator, and the scintillator may be covered by the anti-scatter grid. In some embodiments, the photosensor at a same substrate may have a same size and be arranged in a regular way. In some embodiments, a scintillator may cover or correspond to a photosensor. In some embodiments, the scintillator on a substrate may have the same size as its corresponding photosensor and align with its corresponding photosensor. In some embodiments, the scintillator near an edge of the substrate may be no less than its corresponding photosensor and align to the left or right with its corresponding photosensor. In some embodiments, a grid wall of the plurality of the grid walls may be located at a gap between two adjacent scintillators. In some embodiments, the centerline of a grid wall of the plurality of the grid walls may be offset from the centerline of the gap between two adjacent scintillators. In some embodiments, the thickness of grid wall of the plurality of the grid walls may be no less than the gap between two adjacent scintillators. In some embodiments, the interspace between two adjacent grid walls of the plurality of the grid walls may be uniform. In some embodiments, the uniform scatter-to-primary ratio may include a ratio of the width of the interspace between two adjacent grid walls of the plurality of grid walls to the height of the two adjacent grid walls of the plurality of grid walls. In some embodiments, the shape of the grid wall of the plurality of the grid walls in a longitudinal section may include a rectangle, a trapezoid, a T shape, or an irregular shape, or the like, or any combination. In some embodiments, the grid wall of T shape may include a first part and a second part, wherein the first part may have a first thickness and a first height, and the second part may have a second thickness and a second height. In some embodiments, the first thickness may be no less than the second thickness. In some embodiments, the first height may be no more than the first height. In some embodiments, the anti-scatter grid may be a parallel anti-scatter grid or a focused anti-scatter grid. In some embodiments, the radiation used in the radiation system may be X-ray, γ-ray, α-ray, β-ray, ultraviolet, laser, neutron, proton, electron, μ-meson or heavy ion, or the like, or any combination thereof. In some embodiments, the X-ray imaging system may be a Computed Tomography (CT) system, a Digital Radiography (DR) system, a Computed Tomography-Positron Emission Tomography (CT-PET) system, a Computed Tomography-Magnetic Resonance Imaging (CT-MRI) system, an X-ray security system or an X-ray foreign matter detection system, or the like, or any combination thereof. Additional features will be set forth in part in the description which follows, and in part will become apparent to those skilled in the art upon examination of the following and the accompanying drawings or may be learned by production or operation of the examples. The features of the present disclosure may be realized and attained by practice or use of various aspects of the methodologies, instrumentalities and combinations set forth in the detailed examples discussed below. In the following detailed description, numerous specific details are set forth by way of examples in order to provide a thorough understanding of the relevant disclosure. However, it should be apparent to those skilled in the art that the present disclosure may be practiced without such details. In other instances, well known methods, procedures, systems, components, and/or circuitry have been described at a relatively high-level, without detail, in order to avoid unnecessarily obscuring aspects of the present disclosure. Various modifications to the disclosed embodiments will be readily apparent to those skilled in the art, and the general principles defined herein may be applied to other embodiments and applications without departing from the spirits and scope of the present disclosure. Thus, the present disclosure is not limited to the embodiments shown, but to be accorded the widest scope consistent with the claims. It will be understood that the term “system,” “unit,” “module,” and/or “block” used herein are one method to distinguish different components, elements, parts, section or assembly of different level in ascending order. However, the terms may be displaced by other expression if they may achieve the same purpose. It will be understood that when a unit, module or block is referred to as being “on,” “connected to” or “coupled to” another unit, module, or block, it may be directly on, connected or coupled to the other unit, module, or block, or intervening unit, module, or block may be present, unless the context clearly indicates otherwise. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items. It will be understood that the term “regular” used herein is referred to as being “even,” “uniform,” or “identical,” etc. The terminology used herein is for the purposes of describing particular examples and embodiments only, and is not intended to be limiting. As used herein, the singular forms “a,” “an” and “the” may be intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “include,” and/or “comprising,” when used in this disclosure, specify the presence of integers, devices, behaviors, stated features, steps, elements, operations, and/or components, but do not exclude the presence or addition of one or more other integers, devices, behaviors, features, steps, elements, operations, components, and/or groups thereof. FIG. 1 is a block diagram of an X-ray imaging system according to some embodiments of the present disclosure. It should be noted that X-ray imaging system described below is merely provided for illustrating an example of the radiation imaging system, and not intended to limit the scope of the present disclosure. The radiation used herein may include a particle ray, a photon ray, or the like, or any combination thereof. The particle ray may include neutron, proton, electron, μ-meson, heavy ion, or the like, or any combination thereof. The photon beam may include X-ray, γ-ray, α-ray, β-ray, ultraviolet, laser, or the like, or any combination thereof. For better understanding the present disclosure, an X-ray imaging system is described as an example of a radiation imaging system. The X-ray imaging system may find its applications in different fields such as medicine or industry. In some embodiments of medical diagnosis, the X-ray imaging system may be a Computed Tomography (CT) system, a Digital Radiography (DR) system or may be used in some other multi-modality system, e.g., a Computed Tomography-Positron Emission Tomography (CT-PET) system, a Computed Tomography-Magnetic Resonance Imaging (CT-MRI) system. In some embodiments of industrial application, the system may be used in internal inspection of components e.g., flaw detection, security scanning, failure analysis, metrology, assembly analysis, void analysis, wall thickness analysis, or the like, or any combination thereof. As illustrated in FIG. 1, an X-ray imaging system 100 may include, an X-ray imaging scanner 110, a control unit 120, a processing unit 130, and a display unit 140. The X-ray imaging scanner 110 may include an X-ray generating unit 111 and an X-ray detecting unit 112. In some embodiments, the control unit 120 may control the X-ray generating unit 111 and/or the X-ray detecting unit 112 of the X-ray imaging scanner 110, the processing unit 130, and/or the display unit 140. The processing unit 130 may process information received from the X-ray imaging scanner 110, the control unit 120, and/or the display unit 140 and generate one or more CT images based on the information and deliver the images to the display unit 140. The display unit 140 may be configured or used to receive input and/or display output information. The X-ray imaging scanner 110, the control unit 120, the processing unit 130 and the display unit 140 may be connected with each other directly, or with an intermediate unit (not shown in FIG. 1). The intermediate unit may be a visible component or an invisible field (radio, optical, sonic, electromagnetic induction, etc.). The connection between different units may be wired or wireless. The wired connection may include using a metal cable, an optical cable, a hybrid cable, an interface, or the like, or any combination thereof. The wireless connection may include using a Local Area Network (LAN), a Wide Area Network (WAN), a Bluetooth, a ZigBee, a Near Field Communication (NFC), or the like, or any combination thereof. It should be noted that the above description about the radiation system is merely an example. Obviously, to those skilled in the art, after understanding the basic principles of the connection between different units, the units and connection between the units may be modified or varied without departing from the principles. The modifications and variations are still within the scope of the present disclosure described above. In some embodiments, these units may be independent, and in some embodiments, part of the units may be integrated into one unit to work together. The X-ray imaging scanner 110 may be configured or used to scan a subject (not shown in FIG. 1) under examination and generate the source data of an X-ray image. The subject may include a substance, a tissue, an organ, an object, a specimen, a body, or the like, or any combination thereof. In some embodiments, the subject may include a head, a breast, a lung, a pleura, a mediastinum, an abdomen, a long intestine, a small intestine, a bladder, a gallbladder, a triple warmer, a pelvic cavity, a backbone, extremities, a skeleton, a blood vessel, or the like, or any combination thereof. The X-ray generating unit 111 may be configured or used to generate X-rays to traverse the object under examination. The X-ray generating unit 111 may include an X-ray generator, a high-voltage tank, or other accessories. The X-ray generator may include one or more X-ray tubes which may emit X-rays by an X-ray tube. The X-ray generating unit 111 may be a cold cathode ion tube, a high vacuum hot cathode tube, a rotating anode tube, etc. The shape of the X-ray beam emitted may be a line, a narrow pencil, a narrow fan, a fan, a cone, a wedge, or the like, or an irregular shape, or any combination thereof. The X-ray tube in the X-ray generating unit 111 may be fixed at a point and it may translate or rotate in some scenarios. The X-ray detecting unit 112 may be configured to receive the X-rays emitted from the X-ray generating unit 111 or other radiation source. The X-rays from the X-ray generating unit 111 may traverse the subject under examination. After receiving the X-rays, the X-ray detecting unit 112 may generate the source data of an X-ray image of the subject under examination. The term “source data” may be referred as the data that may be detected by the X-ray detecting unit 112, and the data may be transformed to the image data using an algorithm. As used herein, the term “image data” may be referred as the data that may be used to construct an image. The X-ray detecting unit 112 may be configured to receive X-rays and generate the source data of an X-ray image of the subject under examination. The X-ray detecting unit 112 may include an X-ray detector or other components. The shape of the X-ray detector may be flat, arc-shaped, circular, or the like, or any combination thereof. The fan angle of the arc-shaped detector may be an angle from 0° to 360°. The fan angle may be fixed or adjustable according to different conditions including, for example, the desired resolution of an image, the size of an image, the sensitivity of a detector, the stability of a detector, or the like, or any combination thereof. In some embodiments, the pixels of the detector may be the number of the smallest detecting units, e.g., the number of scintillator or photosensor etc. The pixels of the detector may be arranged in a single row, two rows or other number of rows. The X-ray detector may be one-dimensional, two-dimensional, or three-dimensional. In some embodiments, there may be a collimator set between the X-ray generating unit 111 and a subject (termed as “pre-collimator”), or between the subject and the detecting unit 112 (termed as “post-collimator” or “anti-scatter grid”). The anti-scatter grid may be configured to absorb and/or block the scatter radiation from the subject under examination. As a result, the straight X-rays transmitted through the subject may be received by the X-ray detecting unit 112. It should be noted that the above description about the X-ray image unit is merely an example according to the present disclosure. Obviously, to those skilled in the art, after understanding the basic principles of the X-ray image unit, the form and details of the X-ray image unit may be modified or varied without departing from the principles. The modifications and variations are still within the scope of the present disclosure described above. The control unit 120 may be configured to control the X-ray imaging scanner 110, the processing unit 130, the display unit 140, or other units or devices in the system according to some embodiments of the present disclosure. The control unit 120 may receive information from or send information to the X-ray imaging scanner 110, the processing 130, and/or the display unit 140. In some embodiments, the control unit 120 may provide certain voltage, and/or certain current to the X-ray imaging scanner 110 for scanning. The voltage and/or current may be different when different people with a specific value for age, weight, height, or so forth, is under examination. In some embodiments, the control unit 120 may receive some commands from the display unit 140 provided by, e.g., a user. Exemplary commands may include a scanning time, a location of the subject, or a rotating speed of the gantry, or the like, or any combination thereof. The control unit 120 may control the processing unit 130 to select different algorithms to process the source data of an X-ray image. The control unit 120 may transmit some commands to the display unit 140. Exemplary commands may include the size of an image, the location of an image, or the duration of an X-ray image to be displayed on a display screen. In some embodiments of the present disclosure, the X-ray image may be divided into several sub-portions for display, and the control unit 120 may control the number of the sub-portions. It should be noted that the above description about the control unit is merely an example according to the present disclosure. Obviously, to those skilled in the art, after understanding the basic principles of the control unit, the form and details of the control unit 120 may be modified or varied without departing from the principles. The modifications and variations are still within the scope of the present disclosure described above. The processing unit 130 may be configured to process different kinds of information received from different units including the X-ray imaging scanner 110, the control unit 120, the display unit 140, or other units that may generate information. The processing unit 130 may process the data from the X-ray imaging scanner 110 to generate the X-ray image of an object under examination with different algorithms including a filtered back projection, an n-PI, or a tomosynthesis. The processing unit 130 may transfer the information from the display unit 140 to a particular form that can be identified by the control unit 120, and it may process the information from the control unit 120 to adjust the display unit 140. The information from the control unit 120 to control the X-ray imaging scanner 110 may be processed by the processing unit 130 firstly so that it can be identified. The above description of the processing unit 130 is merely for exemplary purposes, should not be understood as the only embodiments, and these examples do not limit the scope of the present disclosure. Obviously, to those skilled in the art, after understanding the basic principles of the processing unit, the form and details of the processing unit may be modified or varied without departing from the principles. The modifications and variations are still within the scope of the present disclosure described above. The display unit 140 may be configured or used to receive input and/or display output information. The input and/or output information may include programs, software, algorithms, data, text, number, images, voice, or the like, or any combination thereof. For example, a user or an operator may input some initial parameters or conditions to initiate a scan. Said parameters or conditions may include the scanning time, the location of the object for scanning, or the rotating speed of the gantry. As another example, some information may be imported from external resource, such as a floppy disk, a hard disk, a wireless terminal, or the like, or any combination thereof. The display unit 140 may show the X-ray image of an object from the processing unit 130 to the user. The display unit 140 may receive the information from the control unit 120 to adjust some parameters for displaying. Said parameters may include, but are not limited to the size of an image, the location of an image, or the time duration of an image remains on a display screen. The display unit 140 may display the whole or part of an X-ray image. In some embodiments, an X-ray image may be divided into several portions, which may be display on a screen at the same time or in a certain order. And according to some embodiments of the present disclosure, the user or the operator may select one or more portions to display in some conditions. It should be noted that the above description about the display unit is merely an example according to the present disclosure. Obviously, to those skilled in the art, after understanding the basic principles of the display unit, the form and details of the display unit may be modified or varied without departing from the principles. The modifications and variations are still within the scope of the present disclosure described above. It should be noted that the above description of the X-ray imaging system 100 is merely provided for the purposes of illustration, and not intended to limit the scope of the present disclosure. For persons having ordinary skills in the art, multiple variations and modifications may be made under the teachings of the present disclosure. For example, the assembly and/or function of the X-ray imaging system 100 may be varied or changed according to specific implementation scenarios. Merely by way of example, some other components may be added into the X-ray imaging system 100, such as a patient positioning unit, a high-voltage tank, an amplifier unit, a storage unit, an analog-to-digital converter, a digital-to-analog converter, an interface circuit, or the like, or any combination thereof. Note that the X-ray imaging system may be a traditional or a single-modality medical system, or a multi-modality system including, e.g., a Positron Emission Tomography-Computed Tomography (PET-CT) system, a Computed Tomography-Magnetic Resonance Imaging (CT-MRI) system, a remote medical X-ray imaging system, etc. However, those variations and modifications do not depart from the scope of the present disclosure. FIG. 2 depicts a flowchart illustrating the process of an X-ray scanning according to some embodiments of the present disclosure. It should be noted that X-ray scanning process described below is merely provided for illustrating an example of the radiation imaging, and not intended to limit the scope of the present disclosure. The radiation used herein may include a particle ray, a photon ray, or the like, or any combination thereof. The particle ray may include neutron, proton, electron, μ-meson, heavy ion, or the like, or any combination thereof. The photon beam may include X-ray, γ-ray, α-ray, β-ray, ultraviolet, laser, or the like, or any combination thereof. As illustrated in FIG. 2, in step 210, X-rays are generated. X-rays may be generated by the X-ray generating unit 111, or another radiation source. In some embodiments, one X-ray tube in the X-ray generating unit 111 may emit an X-ray beam in the shape of a line, a narrow pencil, a narrow fan, a fan, a cone, a wedge, or the like, or an irregular shape, or any combination thereof. The fan angle of the X-ray beam may be a certain value from 0° to 360°. In some embodiments, before step 210, there may be some parameters to be set by a user or an operator. Said parameters may include the parameters for the gantry, for the X-ray tube, for the X-ray detector, for the display devices, or other devices or units in the system. Merely by way of example, a user may set parameters including a certain voltage, and/or a certain current for people with a specific value for age, weight, height, or so forth. In some embodiments, the gantry may be adjusted to a certain rotating speed according to some parameters. In some embodiments, the beam shape and the angle of a fan beam may be selected according to some parameters. The types of the X-ray detector may be selectable based on some parameters. It should be noted that the above description about the parameters is merely provided for the purposes of illustration, and not intended to limit the scope of the present disclosure. For persons having ordinary skills in the art, multiple variations and modifications about the parameters that are set may be made under the teachings of the present disclosure. In step 220, the X-rays emitted may be received by, for example, the X-ray detecting unit 112 of the X-ray scanning imaging scanner 110. In some embodiments, the X-rays may be projected on the X-ray detector of the X-ray detecting unit 112, the X-rays may include the X-rays traversing the subject under examination, the X-rays directly emitted from the X-ray generating unit 111, and/or the X-rays from other radiation sources. Parts of the X-rays may be blocked and/or absorbed by the anti-scatted grid set on the X-ray detector. In some embodiments, the X-rays may first be converted to light energy by scintillators, and then electrical signals may be produced therefrom by photodiodes. The electrical signals may be transmitted to the processing unit 130. The method of transmitting the signals may be wired or wireless. It should be noted that the above description about the signal conversion is merely provided for the purposes of illustration, and not intended to limit the scope of the present disclosure. For persons having ordinary skills in the art, multiple variations and modifications in the form and structure may be made under the teaching of the present disclosure. For example, the scintillators may be replaced by other components which may absorb the radiation and generate light energy, and the photodiodes may be replaced by other components which may be capable of converting the light energy to electrical signals. The received signals are processed in step 230. In some embodiments, the processing unit 130 may process the data from the X-ray detector to generate the X-ray image data of a subject under examination with different algorithms including a filtered back projection, an n-PI, or a tomosynthesis. In this step, the image may be calibrated by using a calibration algorithm. In some embodiments, the image data, the calibrated data and/or the received signals by the processing unit 130 may be stored in some storage units or devices. A storage unit or device may store information by the way of electric, magnetic, or optical energy, etc. The device that store information by the way of electric energy may include RAM (Random Access Memory), ROM (Read Only Memory) and or the like, or any combination thereof. The device that store information by the way of magnetic energy may include a hard disk, a floppy disk, a magnetic tape, a magnetic core memory, a bubble memory, a USB flash drive, or the like, or any combination thereof. The device that store information by the way of optical energy may include CD (Compact Disk), VCD (Video Compact Disk), or the like, or any combination thereof. The method to store may include sequential storage, link storage, hash storage, index storage, or the like, or any combination thereof. The image data or the calibrated image may be shown to the user or operator via the display unit 140. In some embodiments, the X-ray image of the subject may be printed. In some embodiments, the calibrated or uncalibrated image data of the subject may be transmitted to the doctor of the patient directly, such the doctor may make some decisions according to the data received. It should be noted that the above description about the process of X-ray scanning is merely an example according to the present disclosure. Obviously, to those skilled in the art, after understanding the basic principles of the process of X-ray scanning, the form and details of the process may be modified or varied without departing from the principles. In some embodiments, other steps may added in the process. For example, the results of the processing may be displayed on some devices, and the intermediated data and/or the final data of the process may be stored in the process. The modifications and variations are still within the scope of the present disclosure described above. A radiation detector may refer to a device for detecting any detectable radiation and provide an output according to the detected radiation. The radiation used herein may include a particle ray, a photon ray, or the like, or any combination thereof. The particle ray may include neutron, proton, electron, μ-meson, heavy ion, or the like, or any combination thereof. The photon beam may include X-ray, γ-ray, α-ray, β-ray, ultraviolet, laser, or the like, or any combination thereof. For better understanding the present disclosure, an X-ray detector may be described in detail as exemplary embodiments of a radiation imaging system. An X-ray detector may be a device configured to detect properties of X-ray including, e.g., energy, time, spectrum, flux, spatial distribution of each X-ray, etc. Different energy ranges of X-ray may be detected by different kinds of X-ray detectors. The X-ray detector may include a solid detector and a gas detector according to two their states. The solid X-ray detector may include semiconductor photodiode with scintillator, semiconductor photodiode without scintillator, CCD (Charge Coupled Device) detector, CMOS (Complementary Metal-Oxide-Semiconductor Transistor) sensor, scintillation detectors, photodiode arranged with amplifier, or the like, or any combination thereof. The gas X-ray detector may include gas ionization detector, gas proportional detector, etc. Different kinds of X-ray detectors may be used for different applications according to different detectable characters, such as imaging, dose measurement, physical experiment, etc. The X-ray detector may find its applications in different fields including medicine or industry. In some embodiments, it may be used as a Computed Tomography (CT) detector, a Digital Radiography (DR) detector or may be used in some other multi-modality system, e.g., a Computed Tomography-Positron Emission Tomography (CT-PET) system, a Computed Tomography-Magnetic Resonance Imaging (CT-MRI) system. In some embodiments, the detector may be used in many areas of industry for internal inspection of components e.g., flaw detection, security scanning, failure analysis, metrology, assembly analysis, void analysis, wall thickness analysis, or the like, or any combination thereof. Those skilled in the art should understand that the above embodiments are merely to describe the present disclosure. There may be many modifications and variations to the present disclosure without departing from the spirits of the present disclosure. For example, in some embodiments of the present disclosure, the X-ray detector is used in a CT system as a CT detector. But it should also be noted that the X-ray detector may be any types and used in any X-ray detecting systems as a detector. Similar modifications and variations are still within the scope of the present disclosure described above. In some embodiments of the present disclosure, the X-ray detector may include a flat panel detector, an arc-shaped detector, or any other shaped detector. The X-ray detector may also be different states of motion including the types of translate-rotate, rotate-rotate, rotate-static, spiral, or the like, or any combination thereof. The X-ray detector with different detectable range may include a one-dimensional detector, a two-dimensional detector, a three-dimensional detector, etc. The X-ray detector may be one single one and also may be a plurality of detectors, such as X-ray detector array. The number of the column and row in the X-ray detector array may be varied according to the different demands, e.g., image resolution, the whole size of the detector and pixel, cost, or the like. The size of the X-ray detector may be varied according to demands such as image resolution, sensitivity, stability, or the like, or any combination thereof. The X-ray detector may be arranged regularly or irregularly. Those skilled in the art should understand that the above embodiments are only utilized to describe the present disclosure. There may be many modifications and variations to the present disclosure without departing from the spirits of the present disclosure. For example, the X-ray detector may be combined variously, e.g., flat panel and array and two dimensional, also may be other kind of combinations. Similar modifications and variations are still within the scope of the present disclosure described above. FIG. 3 is a diagram illustrating a structure of an X-ray detector 300 according to some embodiments of the present disclosure. The X-ray detector 300 may include a scintillator element 310, a photosensor element 320, a substrate element 330 and other components (not shown in FIG. 3). The scintillator element 310 may be configured to detect the X-rays, and it may be deposited on the photosensor element 320. Those skilled in the art should understand that the above embodiments are only utilized to describe the present disclosure. There may be many modifications and variations to the present disclosure without departing from the spirits of the present disclosure. For example, the substrate element may be small chips to minimize the size of the X-ray detector. For another example, the X-ray detector may also be an assembly of scintillator elements, photovoltaic conversion elements, chips and other components. For still another example, the substrate or chip may be omitted in some embodiments. Similar modifications and variations are still within the scope of the present disclosure described above. The substrate element 330 may be made from any material on which the photosensor element 320 may be mounted. In some embodiments, the material of substrate element 330 may be phenolic paper, epoxy paper, polyester glassy, epoxy glass, cotton, glass cloth, epoxy resin, polyols, polyester or the like materials, or any combination thereof, or composite of the above and other materials. The other materials here may be some reinforcement phase, e.g., fiber reinforcement, particle reinforcement, slice reinforcement or lamination reinforcement etc. For the fiber reinforced composites, the reinforcement phase may include fiber reinforced plastics, fiber reinforced rubber, fiber reinforced ceramic, fiber-reinforced metal, or the like, or any combination thereof. For the particle reinforcement, the reinforcement phase may include metal particles, ceramic particles and dispersion strengthening metal particles, etc., or any combination thereof. For the slice composite, the reinforcement phase may include graphite flake, talc, mica powder, micaceous iron oxide, glass flake, stainless steel flake, non-ferrous metal flake, non-ferrous metal oxide flakes, etc., or any combination thereof. For the lamination composite, the type may include double lamination, three-layer lamination and crisscross lamination, etc., or any combination thereof. The scintillator element 310 may include materials that may absorb ionizing radiation and/or emit a fraction of the absorbed energy in the form of light. In some embodiments of the present discourse, the scintillator element 310 may absorb the X-rays and then emit visible or ultraviolet light photons. In some embodiments, the electron of X-ray passes through the scintillator element 310, it may lose energy and excites other electrons in the process; these excited electrons decay back to their ground state, giving off light as they do so. Similarly, the scintillator element 310 may produce a brief pulse of visible or ultraviolet photons corresponding to each X-ray photon that interacts with the scintillator material. The types of scintillator element 310 in the present disclosure may include organic crystal scintillator, organic liquid scintillator, plastic scintillator, inorganic crystal scintillator, gaseous scintillator, glass scintillator, or the like, or any combination thereof. In some embodiments, the organic crystal scintillator may include anthracene (C14H10), stilbene (C14H12), naphthalene (C10H8), or the like, or any combination thereof. In some embodiments, the organic liquid scintillator may include a liquid solute and an organic solvent. The solute may include p-terphenyl (C18H14), PBD (C20H14N20), butyl PBD (C24H22N20), PPO (C15H11NO), POPOP (C24H16N2O), or the like, or any combination thereof. The solvent may include toluene, xylene, benzene, phenylcyclohexane, triethylbenzene, decalin, or the like, or any combination thereof. In some embodiments, the plastic scintillator may include a fluor, a base and a solid polymer matrix. The fluor may include polyphenyl hydrocarbons, oxazole, oxadiazole aryls, or the like, or any combination thereof. The base may include aromatic plastics, polymers with aromatic rings, polyvinyl xylene (PVX) polymethyl, 2,4-dimethyl, 2,4,5-trimethyl styrenes, polyvinyl diphenyl, polyvinyl naphthalene, polyvinyl tetrahydronaphthalene, copolymers, or the like, or any combination. In some embodiments, the inorganic crystal scintillator may include alkali metal halide, non-alkali crystal and/or other inorganic crystals. The alkali metal halide may include NaI (Tl), CsI (Tl), CsI (Na), CsI (pure), CsF, KI (Tl), LiI (Eu), or the like, or any combination thereof. The non-alkali crystal may include BaF2, CaF2(Eu), ZnS(Ag), CaWO4, CdWO4, YAG(Ce) (Y3Al5O12(Ce)), GSO, LSO, or the like, or any combination thereof. In some embodiments, the gaseous scintillator may include different kinds of gas including nitrogen, helium, argon, krypton, xenon, or the like, or any combination thereof. In some embodiments, the glass scintillator may include cerium-activated lithium, boron silicates and/or any other glass materials. The thickness of the scintillator element 310 may be varied arbitrarily and not limited here. The size of the scintillator element 310 may be varied according to one or more conditions including, for example, image resolution, sensitivity, stability, the size of the detector or the like, or any combination thereof. Merely by way of example, the length and/or length of the scintillator may range from several micrometers to several hundreds of micrometers, e.g., 840 μm×740 μm. Merely by way of example, the height of the scintillator may range from several micrometers to several hundreds of micrometers, e.g., 500 μm. The shape of the scintillator element 310 may be circular, oval, rectangular, or the like, or any combination thereof. The scintillator element 310 may be arranged regularly, or irregularly on the photosensor element 320. Those skilled in the art should understand that the above embodiments are only utilized to describe the present disclosure. There are many modifications and variations to the present disclosure without departing from the spirits of the present disclosure. For example, the type of the scintillator may be combined variously in order to achieve better detection effect. It should be noted that the scintillator may be in various states, such as crystal, powder, liquid or gas, or the like, or any combination thereof. Any scintillator which has a high detective efficiency, high conversion efficiency, low absorption, wide linear range and good processing performance, strong resistance to interference or the like may be used in the present disclosure. Variations, deformations and/or modifications like this are not departing from the spirits of the present disclosure. The photosensor element 320 in the present disclosure may be a photoelectric conversion element which may firstly measure optical signals and then may converts the optical signals into electrical signals including, e.g., electrical currents, electrical voltages, and/or other electrical phenomena. The photosensor element 320 may process signals of light received from the scintillator element 310, and then convert to electrical signals. The photosensor element 320 in some embodiments of the present disclosure may include a phototube, a photomultiplier tube, a photodiode, an active-pixel sensor, a bolometer, a CCD, a gaseous ionization detector, a photoresistor, a phototransistor, or the like, or any combination thereof. The thickness of the photosensor element 320 may be varied arbitrarily but not limited here. The size of the photosensor element 320 may be varied one or more conditions including, for example, image resolution, sensitivity, stability, the size of the detector or the like, or any combination thereof. Merely by way of example, the length and/or length of the photosensor may range from several micrometers to several hundreds of micrometers, e.g., 840 μm×740 μm. Merely by way of example, the height of the photosensor may range from several micrometers to several hundreds of micrometers, e.g., 500 μm. The photosensor element 320 may be circular, oval, rectangular, or the like, or any combination thereof. The photosensor element 320 may be arranged regularly, or irregularly. For illustration purposes, a photodiode may be described in detail as exemplary embodiments of the photosensor element 320. The size of the photodiode may be different in different detection systems. The material of the photodiode may include silicon, germanium, indium gallium arsenide (InGaAs), lead sulfide, mercury cadmium telluride (HgCdTe), or the like, or any combination thereof. The type of the photodiode may include PN mode, PIN mode, avalanche mode, or the like, or the combination thereof. For illustration purposes, an avalanche photodiode may be described in detail as exemplary embodiments of the photodiode. In some embodiments, the avalanche photodiode also known as APD, which may include single-photon avalanche diode (SPAD), position sensitive avalanche photodiode (PSAPD), position sensitive photodiode, or the like, or any combination thereof. The model of the avalanche photodiode may include C30659-900-R5BH, C30659-900-R8AH, C30659-1060-R8BH, C30659-1060-3AH, C30659-1550-RO8BH, C30659-1550-R2AH, C30919E, C30950EH, LLAM-1550-R2A, LLAM-1060-R8BH, HUV-1100BGH, HUV-2000BH, or the like, or any combination. Those skilled in the art should understand that the above embodiments are only utilized to describe the present disclosure. There are many modifications, deformations and variations to the present disclosure without departing from the spirits of the present disclosure. For example, the photosensor element in the present disclosure may be any photosensor device which has a high sensitivity, high resolution, fast response, reliable performance or any other properties for a better image. It should be noted that the photosensors above are just an example for easy understanding, variations, deformations and/or modifications like this are not departing from the spirits of the present disclosure. The substrate element 330 may be a solid substance providing a support for the X-ray detector 300. The substrate element 330 may be under the photosensor element 320. The size of the substrate element 330 may be varied according to the size of the detector. The substrate element 330 may be a thin slice or a thick one. The thickness of the substrate element 330 may be varied arbitrarily and not limited here. The overall shape of the substrate element 330 may be planar, arc-shaped, or any other shaped substrate in accordance to the different shapes of the X-ray detector 300. Each part of the substrate element 330 may be circular, oval, rectangular, or the like, or any combination thereof. The substrate element 330 may be arranged regularly, or irregularly. The materials of the substrate element 330 may include semiconducting materials or insulating materials. In some embodiments, the semiconducting materials may include elemental materials and compound materials. The elemental material may include silicon, germanium, carbon, tin, or the like, or any combination thereof. The compound material may include silicon dioxide, silicon nitride, silicon carbide, aluminum oxide, sapphire, germanium, gallium arsenide (GaAs), an alloy of silicon and germanium, indium phosphide (InP), poly (3-hexylthiophene), poly (p-phenylene vinylene), polyacetylene, or the like, or their derivatives, or any combination thereof. In some embodiments, the insulating materials may include glass, porcelain, paper, polymers, plastics, or the like, or any combination thereof. Those skilled in the art should understand that the above embodiments are merely used to describe the present disclosure. There are many modifications, deformations and variations to the present disclosure without departing from the spirits of the present disclosure. For example, the substrate element in the present disclosure may also be a chip, a substrate, a printed circuit board (PCB), or any the like which may be connected with the photosensor to output electrical signals or a chip which process the data from the photosensor. It should be noted that the substrate illustrated above are just examples for easy understanding, variations, deformations and/or modifications like this are not departing from the sprits of the present disclosure. In some embodiments, the other components in the present disclosure may include an anti-scatter device, a sensor, a control device, a filter, or the like, or any combination thereof. The anti-scatter device may include a grid, a plate, a collimator, or other device anti-scattering the X-ray, or any combination thereof. The sensor may be various sensors which may monitor the condition or environment of detecting, such as temperature sensor, humidity sensor, pressure sensor, gas sensor, ultrasonic sensor, or the like, or any other combination thereof. The control device may include devices which may alter the condition or environment of detecting. Those skilled in the art should understand that the above embodiments are only utilized to describe the present disclosure. There are many modifications, deformations and variations to the present disclosure without departing from the spirits of the present disclosure. For example, an anti-scatter device and sensor may be added in order to attain high quality images. It should be noted that the filter, sensor, control device and anti-scatter and so on is not necessary for the X-ray detector. Variations, deformations and/or modifications like this are not departing from the sprits of the present disclosure. In some embodiments, a radiation scattering phenomenon may exist in the radiation imaging system. As described elsewhere in the present disclosure, the radiation source may include photon ray and particle ray. The photon ray may include X-ray, γ-ray, α-ray, β-ray, ultraviolet, laser, or the like, or any combination thereof. The particle ray may include neutron, proton, electron, μ-meson, heavy ion, or the like, or any combination thereof. For illustration purposes, the following description is provided assuming exemplary embodiments of one kind of radiation source. It is understood that this is not intended to limit the scope the present disclosure to the exemplary embodiments of the radiation source. Merely by way of example, FIG. 4 is a diagram illustrating the X-ray scattering phenomenon in an X-ray imaging system according to some embodiments of the disclosure. As shown in this figure, one or more bundles of X-ray beam 420 (composed of X-rays) may generated from an X-ray generator 410. A subject 430 may be irradiated by the X-ray beam 420 during an imaging process. In some embodiments, the X-ray beam 420 may be absorbed by the subject 430 and the remainder X-ray 420-1 (termed as “primary radiation”) of X-ray beam 420 may pass through the subject 430 directly and received by an X-ray detector 440. Different parts of the subject 430 may have different absorption properties of X-ray, thus a radiographic image with specific image contrast may be formed by detecting the difference of X-ray dose received. In some embodiments, the X-ray beam 420 may be influenced by the subject 430 and generate a scattered X-ray 420-2 (termed as “scatter radiation”). The scattered X-ray 420-2 may diverge from the original path of the X-ray beam 420 and arrive at any area at the X-ray detector 440 by random. The scattered X-ray 420-2 may cause cupping and streak artifacts, and/or degrade the image contrast-to-noise ratio (CNR). The subject 430 may endure much more radiation dose if an image with same contrast were to be obtained. Note that the above diagram is purely for illustration, and that the present disclosure is not limited to this embodiment. The persons having ordinary skills in the art may make some variations, deformations and/or modifications without any creativity. For example, the X-ray beam may be replaced by γ-ray, neutron, proton, electron, μ-meson, heavy ion, or the like, or any combination thereof. The X-ray imaging system may be replaced by e.g., a CT imaging system, an impurity detecting system, a security checking system, etc. Variations, deformations and/or modifications like this are not departing from the spirits of the present disclosure. In some embodiments of the present disclosure, one or more mechanisms may be adopted to suppress the scatter radiation in an X-ray imaging system. The scatter suppressing methods may include a measurement-based method and/or a non-measurement-based method. In some embodiments of using the measurement-based method, a beam blocker may be applied to acquire scatter samples. The beam blocker applied in the measurement-based method may include a stationary beam blocker and a moving beam blocker. The non-measurement-based method may prevent scatter radiation from reaching the X-ray detector by using an anti-scatter module 510, which is located between the subject 430 and the X-ray detector 440, as shown in FIG. 5. The anti-scatter module 510 may be configured to absorb and limit the amount of the scatter radiation deviated from the X-ray beam 420. In some embodiments, the anti-scatter module 510 may include a collimator, an anti-scatter collimator, an anti-scatter plate, an anti-scatter septa, an anti-scatter grid, or the like, or any combination thereof. For convenience of illustration, the anti-scatter grid would be described below in detail as an example to understand the present disclosure without limiting its scope. FIG. 6 is a diagram illustrating an anti-scatter grid according to some embodiments of the present disclosure. As shown in the figure, the anti-scatter grid 600 may include a strip of absorbing medium 610 and an interspace transparent medium 620. The absorbing medium 610 may absorb the scatter radiation 420-2 and minimize attenuation of the primary radiation 420-1. The transparent medium 620 may give a passage of the primary radiation 420-1. The absorbing medium 610 and the transparent medium 620 may be comprised by materials with different absorptivity. For example, the absorptivity of the absorbing medium 610 may be bigger than the transparent medium 620's. In some embodiments, the absorbing medium 610 may be formed with highly absorbing materials whose density is great or whose atoms is with heavy nuclei. Merely by way of example, the absorbing materials may include lead, gold, tungsten, depleted uranium, thorium, barium sulfate, tantalum, iridium, osmium, or the like, or any combination thereof. The transparent medium 620 may include any material whose absorbability is smaller than the absorbing medium 610. The transparent material may include metal, an alloy thereof, an inorganic material, an organic material, or the like, or any combination thereof. Exemplary metal include aluminum, magnesium, titanium, or the like, or any combination thereof. Exemplary inorganic material may include a carbon fiber, glass, etc. Exemplary organic material may include plastic, rubber, etc. Note that the above embodiments are purely provided for illustration, the present disclosure is not limited to these embodiments. Persons having ordinary skills in the art may make some variations, deformations and/or modifications without any creativity according to the present disclosure. In some embodiments, some materials with other properties may be added in the anti-scatter grid 600. In some embodiments, the anti-scatter grid 600 may also be incorporated with some components such as electrodes. Variations, deformations and/or modifications like this are not departing from the spirits of the present disclosure. In some embodiments, the absorbing medium 610 of an anti-scatter grid 600 may include one or more walls, strips, plates, laminations or other components. For illustration purposes, term “wall” may be used to describe some embodiments according to the present disclosure. The walls may be connected with the transparent medium 620 in an alternating manner and thus an anti-scatter grid 600 may be assembled. In some embodiments, the connecting methods of the absorbing medium and the transparent medium may include physical type and/or chemical type. The physical type include using mechanical principles. The chemical type include using an adhesive material. The anti-scatter grid 600 may include parallel grid and/or focused grid. In some embodiments, the absorbing medium 610 may have a linear geometry in one direction, for example, the walls may be parallel with each other, as shown in FIG. 6. In some other embodiments, the absorbing medium 610 may be cross-hatched in two direction, for example, the walls may be crosswise with each other with an angle from 0° to 90°. The structure of the grid may include a parallel type and a focused type. In the plane parallel to the X-ray beam, the walls of the parallel grid may be arranged in parallel with each other, and the walls of the focused grid may be set by a slight angle to each other to make all the strips focus to the X-ray source. The framework of the anti-scatter grid 600 may be non-detachable type or detachable type. In some embodiments of non-detachable framework, the anti-scatter grid 600 may be generated by some materials processing technologies including cutting, casting, welding, lithographic micromachining, stacking, 3D printing, or the like, or any combination thereof. In some embodiments of the detachable framework, the anti-scatter grid 600 may be assembled in some detachable manner include, e.g., plugging, riveting, screwing, interlocking, or the like, or any combination thereof. For illustration purposes, the interspace between the peripheral walls may be defined as a “grid cell” in the anti-scatter grid 600. In a cross-section, the shape of the grid cell 630 may be a triangle, a rectangle, a square, a trapezoid, a pentagon, a hexagon, a circular, an ovoid, an ellipse, an irregular shape, or the like, or any combination thereof. For example, the cross-section of the grid cell 630 may be uniform horizontally and vertically, which means that all the grid cells 630 may have the same shape and that the distance (termed as “center distance”) between two adjacent grid cells are equal as well. In some embodiments, the grid cells 630 may be uniform in one direction and non-uniform in another direction. For example, the grid cells 630 may have a same shape and be separated by a same distance horizontally, while the grid cells 630 in vertical direction may illustrate a different pattern. In some embodiments, there may be merely one or more parts of the grid cells 630 following a different rule from the remainder. In some embodiments, the variances of shape and/or center distance of the grid cells may be by random, by step and step, or by other manner. In the longitudinal section parallel to the X-ray beam, the shape of the grid wall may be a triangle, a rectangle, a square, a trapezoid, a sector, an irregular shape, or the like, or any combination thereof. Merely by way of example, in the embodiments of rectangle-shaped strip, its length, width, length-to-width ratio may be selected according to specific implementation scenarios. For example, the length may range from 10 to 30 mm, the width may be determined by some imaging demands. In some embodiments, the imaging demands may include a processing technology, pixels of an image, contrast of an image, a radiation dose of a pixel, or the like, or any combination thereof. It should be noted that the above description of the anti-scatter grid 600 is merely provided for the purposes of illustration, and not intended to limit the scope of the present disclosure. For persons having ordinary skills in the art, multiple variations and modifications may be made under the teachings of the present disclosure. In some embodiments, assembly method, size, shape and/or amount of the grid cell 630 may be varied or changed according to specific implementation scenarios. Merely by way of example, the cross-section shape of the grid cell may be a hollow circular, and the transparent medium 620 may be in the center of the grid cell 630. However, those variations and modifications do not depart from the scope of the present disclosure. The anti-scatter grid 600 may be posited between a subject and a detector, as described elsewhere in the present disclosure, a detector may include a scintillator, a photosensor, and/or a substrate. The substrate may be some devices which may support the photosensor and/or execute the data converting. In some embodiments, the substrate may be a chip. For illustration purposes, a detector with a chip as a substrate may be described in detail as exemplary embodiments of a detector. In this scenario, the chip may be incorporated with a photosensor. The number of the chips in a detector may be one or more, it may depend on the imaging region or other factors. In some embodiments of multiple-chips-on-a-detector assembly, there may be a gap between two adjacent chips. This may be due to several factors including, e.g., an additional protecting region in the edge of the chip, a gap between two chips, etc. In some embodiments, the number of the photosensors on one chip may be determined by considerations including, for example, a desired image quality, a manufacturing technology, or the like, or any combination thereof. Factors of an image quality may include an image size, an image resolution, an image contrast, a contrast-to-noise ratio (CNR), or the like, or any combination thereof. Factors of a manufacturing technology may include a machining error, an alignment error, etc. Merely by way of example, the array of the photosensors may be 4×4, 3×5, or any other array. In the embodiments of multiple-photosensors-on-a-chip assembly, the gaps of two adjacent photosensors in the same chip may be different from the gaps of two adjacent photosensors from two neighboring chips. This may be due to several factors including, e.g., an additional protecting region in the edge of the chip, a gap between two chips, etc. In some embodiments, the number of the scintillators on the photosensors may be the same as or different from the number of the photosensors. In some embodiments, the number of scintillators and the number of photosensors may be identical. The scintillators and the photosensors may align with each other. A scintillator may correspond to a photosensor. A scintillator may overlap with a photosensor. Merely by way of example, a scintillator may be located above a photosensor. In the embodiments of the above mentioned alignment methods, the scintillator and the photosensor may be arranged evenly or unevenly, respectively. An anti-scatter grid may be arranged or set in the manner that a grid wall is located above the gap between two adjacent scintillators. The height, width, and/or height-to-width ratio may be determined by the demands of a primary radiation intensity, a scatter radiation intensity, a scatter-to-primary ratio (SPR), a making technology, or the like, or any combination thereof. In some embodiments, shape of the substrate may be circular, oval, rectangular, rhombus, or the like, or any irregular shape, or any combination thereof. In the embodiments of more than one substrates, the substrates may be arranged regularly or irregularly. The number of the column and row of the substrates may be varied arbitrarily and not limited here. In some embodiments, the photosensor element may be circular, oval, rectangular, rhombus, or the like, or any irregular shape, or any combination thereof. The photosensor element may be arranged regularly, or irregularly on the chip array. The number of the column and row of the photosensor array may be varied arbitrarily but not limited here. In some embodiments, the scintillator element may be circular, oval, rectangular, rhombus, or the like, or any irregular shape, or any combination thereof. The scintillator element may be arranged regularly or irregularly. The number of the column and row of the scintillator array may be varied arbitrarily but not limited here. In some embodiments, the top view of the anti-scatter grid 710 may be circular, oval, rectangular, rhombus, or the like, or any irregular shape, or any combination thereof. The longitudinal section view of the anti-scatter grid wall may be rectangular, trapezoid, triangle, or the like, or any irregular shape, or any combination thereof. The anti-scatter grid wall in one dimension may be parallel to each other, have an angle between each other, or may be focused to a focal point. The connection between the anti-scatter grid and the X-ray detector, or the scintillator layer and the photosensor, or the photosensor and the substrate may be detachable or non-detachable, or the combination thereof. The detachable manner may be ways including a magnetic connection, a threaded connection, a pin connection, a hinged connection, a plugging connection, an interlocking connection, or the like, or any combination thereof. The non-detachable manner may be the way by of welding, riveting, casting, gluing, or the like, or any combination thereof. For illustration purposes, the following description may be provided assuming the exemplary embodiments of the structure of a detector. It is understood that this is not intended to limit the scope the present disclosure to the exemplary embodiments of the detector. FIG. 7 is a diagram illustrating a part of a detector 700 in the top view according to some embodiments of the present disclosure. As illustrated in the figure, in a part of a detector 700, there may be four chips, i.e., 710-A, 710-B, 710-C and 710-D. Two of the four chips may be located side by side. The four chips may meet at a point. In some embodiments, the detector 700 may have different amounts of chips. The chip array may be one dimension and/or two dimension. In the embodiments of the one dimensional chip array, there may be some chips assembling in a line. For example, the array may be 1×1, 1×2, or any other array. In the embodiments of the two dimensional chip array, there may be more than one chips in both horizontal and vertical direction. For example, the array may be 2×2, 3×3, 2×3, or any other array. For illustration purposes, four adjacent chips chosen from one part of the detector 700 may be described in detail below. On each chip 710, there may be at least one photosensor 720. As illustrated elsewhere in the present disclosure, the number of the photosensors on one chip may be determined by the demands of an image quality and/or the demands of a making technology. For better understanding, there are at least four photosensors 720 one chip 710 in FIG. 7. The photosensors 720 may be arranged evenly or unevenly. In the embodiments of the even arrangement, the photosensors 720 may have a same length l and a same width l′. The length l and width l′ may be the same or different. The gap a between two photosensors in vertical direction and the gap b between two photosensors in the horizontal direction may be identical everywhere in the detector shown in FIG. 7, respectively. In the embodiments of the uneven arrangement, the length l and width l′ of each photosensor 720 may be different from another photosensor 720. The gap a between two photosensors in vertical direction and the gap b between two photosensors in the horizontal direction may not be identical everywhere, respectively. In some embodiments, there may be an additional protecting region (not shown in FIG. 7) near the edges of the chip 710, and/or a gap 730 between two adjacent chips. These may cause the gap c and the gap d between two photosensors in the edges of two neighbor chips be bigger than the gap a and the gap b, respectively. It should be noted that the above description of the detector 700 is merely provided for the purposes of illustration, and not intended to limit the scope of the present disclosure. For persons having ordinary skills in the art, multiple variations and modifications may be made under the teachings of the present disclosure. For example, the assembly, sizes and/or amount of the photosensor 720 may be varied or changed according to specific implementation scenarios. Merely by way of example, the sizes of photosensors 720 near the edge of the chip in the horizontal direction may be reduced to l″ so that the sum of two photosensors on neighbor chips (i.e., l″+d+l″) may be equal to the sum of two photosensors on one chip (i.e., l+d+l). The sizes of photosensors 720 near the edge of the chip in the horizontal direction may be reduced to l′″ so that the sum of two photosensors on neighbor chips (i.e., l′″+c+l′″) may be equal to the sum of two photosensors on one chip (i.e., l′+c+l′). However, those variations and modifications do not depart from the scope of the present disclosure. FIG. 8 is a diagram illustrating a longitudinal section of a part of a detector 800 in the front view of FIG. 7 according to some embodiments of the present disclosure. As shown in the figure, a scintillator 810 and an anti-scatter grid 820 may be located on the photosensor 720. For illustration purposes, the photosensor 720 may have the same size, structure, materials, amount, or other characteristics with the photosensor 720 shown in FIG. 8. It should be understood that this is not intended to limit the scope the present disclosure to the exemplary embodiments of the detector. In FIG. 8, the photosensor 720 may include photosensor P1, P2, P3, P4, P5 and P6. P1, P2 and P3 may be on a chip 710-A, and P4, P5 and P6 may be on another chip 710-B. In some embodiments, the photosensors on one chip may be arranged evenly and the gaps 740 between them may be identical as shown in FIG. 6. The photosensor P3 and photosensor P4 are near the edge of the chips, and their gap may be much bigger because there may be an additional protecting region and/or a gap 730 between two neighbor chips. The scintillator 810, i.e., scintillator S1 to S6 are assembled above the photosensor 720. The number of the scintillators 810 on the photosensors 720 may be the same with or different from the number of the photosensors 720. For illustration purposes, the exemplary embodiments of identical scintillator and photosensor amount may be described in detail below. In these embodiments, the scintillator 810 and the photosensor 720 may align with each other or in an overlapping manner. In some embodiments, the scintillator S1 and the photosensor P1 may have the same size. In some embodiments, the scintillator S1 and the photosensor P1 may align almost or exactly with each other (e.g., at one or more edges of the scintillator S1 and the corresponding one or more edges of the photosensor P1), so do the S2-P2, S3-P3, S4-P4, S5-P5 and S6-P6 pairs. In some embodiments of the overlapping structure, the scintillator S1 and the photosensor P1 may have different sizes and at least one edge may not be aligned. For illustration purposes, a structure in an overlapping alignment manner may be described. As shown in FIG. 8, the scintillator 810 may have the same size and be arranged evenly on the photosensors 720. As used herein, an even arrangement may indicate that the gaps between pairs of adjacent scintillators on a substrate may be identical. For instance, the gaps 830 between each two adjacent scintillators may be identical. As shown in the FIG. 8, the centerline of a gap 830 between two adjacent scintillators 810 may be offset from the centerline of the gap between two adjacent photosensors 720. In some embodiments according to the present disclosure, an anti-scatter grid 820 may be placed on the scintillator 810. The anti-scatter grid 820 may include grid walls G1, G2, G3, G4 and G5. The structure, size, shape and/or materials may be as the description elsewhere in the present disclosure. The grid walls G1 to G5 of the anti-scatter grid 820 may be arranged in the manner of each grid wall on each gap. For example, the grid wall G1 may be placed above the gap 830 between the scintillator S1 and S2. The centerline of the grid wall G1 and the centerline of the gap of the scintillator S1 and S2 may coincide on a line, or have an offset distance. In some embodiments, the height, width, and/or height-to-thickness ratio of the grid walls may be determined by the demands of primary radiation intensity, scatter radiation intensity and/or scatter-to-primary ratio (SPR), as described elsewhere in the present disclosure. It should be noted that the above description of the detector 800 is merely provided for the purposes of illustration, and not intended to limit the scope of the present disclosure. For persons having ordinary skills in the art, multiple variations and modifications may be made under the teachings of the present disclosure. For example, the assembly, sizes and/or amount of the scintillator 810 may be varied or changed according to specific implementation scenarios. Merely by way of example, the sizes of scintillators S3 and S4 near the edge of the chip in the horizontal direction may be added and the size of scintillators S1, S2, S5 and S6 are equal to that of the photosensor 720. However, those variations and modifications do not depart from the scope of the present disclosure. FIG. 9 shows a longitudinal section of a detector with an anti-scatter grid placed on it according to some embodiments of the present disclosure. As illustrated in the figure, the detector 900 may include a scintillator 810, a photosensor 720 and a chip 710. The scintillator 810 may be placed on the photosensor 720. The scintillator 810 may include scintillator S1, S2, S3, S4, S5 and S6. The photosensor 720 may include photosensor P1, P2, P3, P4, P5 and P6. In some embodiments, the scintillator S1 and photosensor P1 may have the same size and align almost or exactly with each other to the left and right edge, so do the S2-P2, S5-P5 and S6-P6 pairs. In some embodiments, the scintillators S3 and S4 near the chips 710-A and 710-B may have a bigger size than scintillator S1, S2, S5, or S6. The scintillator S3 and photosensor P3 may have different sizes and at least one edge may not be aligned, so does S4-P4 pair. The gaps 830 of each two adjacent scintillators may be identical, as shown in the FIG. 9. The centerline of gaps 830 between each two adjacent scintillators 810 may be in accordance with the centerline of gaps between each two adjacent photosensors 720. The anti-scatter grid 820 may include grid wall G1, G2, G3, G4 and G5. The grid wall G1 to G5 of the anti-scatter grid 820 with the thickness t and the height h may be mounted on the gaps between two adjacent scintillators. It should be noted that the number, shape, size or structure of the photosensor 720, the scintillator 810 and the anti-scatter grid 820 here are merely for the purposes of describing conveniently, and is not intended to be limiting. For persons having ordinary skills in the art, the number of the scintillator elements can be varied arbitrarily according to some embodiments of the present disclosure. In some embodiments, there may be an additional protecting region (not shown in FIG. 9) near the edges of the chips 710-A and 710-B, and/or a gap 730 between two adjacent chips. These may cause that the gaps between two photosensors in the edges of two neighbor chips may be bigger than other gaps, i.e., the gap between photosensors P1 and P2 may be smaller than the gap between photosensors P3 and P4. The grid walls G1 to G5 of the anti-scatter grid 820 may be arranged in a manner of each-grid-wall-on-each-gap. For example, the grid wall G1 may be placed above the gap 830 between the scintillator S1 and S2 as shown in FIG. 7. The centerline of the grid wall G1 and the centerline of the gap of the scintillator S1 and S2 may coincide on a line, or have an offset distance. The thickness t and the height h of the grid walls G1 to G5 may be the same or different. The width w of primary radiation region between each two adjacent grid walls may be the same or different. In some embodiments, the thickness t and the height h of the grid walls and the width w of primary radiation region may be set according to some rules. For illustration purposes, the rule may be the scatter-to-primary ratio (SPR). In some embodiments, the SPR value may be calculated using the equation SPR=S/P, wherein P is the intensity of the primary radiation and S is the intensity of the scatter radiation. It should be noted that the description of the structures of the detector and the anti-scatter grid is merely an example according to the present disclosure. Obviously, to those skilled in the art, after understanding the basic principles of the structures of the detector and the anti-scatter grid, the form and details of the structures of the detector and the anti-scatter grid may be modified or varied without departing from the principles. The modifications and variations are still within the scope of the present disclosure described above. For example, in some embodiments, the length of each photosensor element may be the same or different, and the gaps between the photosensor elements may be the same or different. The length of each scintillator may be the same or different, and the gaps between two adjacent scintillators may be the same or different. In some embodiments of the present disclosure, the width w of the primary radiation region between the grid walls may be different or the same, the thickness t of the grid walls may be the same or different, and the thickness of the grid walls may be varied arbitrarily, the height h of the grid walls may be the same of different, and the height of the grid walls may be varied arbitrarily. In some embodiments, the grid walls of the anti-scatter grid 820 may be mounted on the gaps between the scintillator elements. In some embodiments, the grid walls of the anti-scatter grid 820 may be mounted totally on one scintillator. In some embodiments, the thickness t of the grid walls maybe equal to the width of the gaps between two adjacent scintillators. In some embodiments, the thickness t of the grid walls may be larger or smaller than the width of the gaps between two adjacent scintillators. In some embodiments, the vertical centerline of the walls may be on the same line with that of the gaps between two adjacent scintillators. In some embodiments, the vertical centerline of the grid walls may be on the left or right of that of the gaps between the scintillator elements. In some embodiments, the space between the grid walls of the anti-scatter grid may be occupied by some transparent medium. The transparent medium may include, for example, gas, light metal, inorganic materials, or organic materials with low absorbing property of X-ray. For example, the gas may include oxygen, nitrogen, carbon dioxide, hydrogen, air, or the like, or any combination thereof. Exemplary metal may include aluminum, magnesium, titanium, or the like, or any combination thereof. Exemplary inorganic material may include a carbon fiber, glass, etc. Exemplary organic material may include plastic, rubber, etc. In some embodiments, the gaps between the scintillator elements and the gaps between the photosensor elements may be filled to absorbed/block the X-rays. The absorbing medium may be formed by highly absorbing materials, e.g., lead, gold, tungsten, depleted uranium, thorium, barium sulfate, tantalum, iridium, osmium, or the like, or any combination thereof. In some embodiments, the gaps between two photosensors or between two scintillators may be filled with some materials. The materials in the gaps may be the same as or be different from that in the gaps between the photosensor elements. In some embodiments, each thickness t, height h and the position of each grid wall of the anti-scatter grid 820 may be determined by some parameters. In some embodiments, the parameters may include the uniformity of primary radiation received by each pixel of the scintillator, and/or the uniformity of scatter radiation absorbed by each grid wall (or the uniformity of scatter radiation absorbed by each pixel of the scintillator), and/or the uniformity of the scatter-to-primary ratio (SPR), and/or other parameters. The parameters described above may be realized by making the widths w of the primary region between the grid walls be the same, and/or the ratio of height h of the grid walls to the width w of the primary radiation region be the same, and/or the ratio of thickness t of the grid walls to the width w of the primary radiation region be the same. In some embodiments, the one dimensional physical quantities may be replaced by a two dimensional quantities. For example, the thickness t of a grid wall may be replaced by a cross-section area of the grid wall, and the width w of a primary radiation region may be replaced by a cross-section area of a primary radiation region. In these embodiments, the principle to determine the thickness or position of a grid wall in one dimension may be applicable as well in determining the area or position of a grid wall in two dimension. In some embodiments, the parameters to determine the thickness t, the height h and the position of the grid walls may also include the effective utilization rate of the pixels of the scintillators, the error of the size of the scintillators, the error existing in making the anti-scatter grid, the error lying in aligning the anti-scatter grid with the X-ray detector, or the like, or any combination thereof. For illustration purposes, exemplary embodiments of improving the effective utilization rate of the pixels of the scintillators may be described below. For example, the thickness t of the grid walls may be as thin as possible so that more primary radiation may be received by the scintillators, and at the same time, the thickness t of the grid walls may be large enough so that the edges of each scintillator element may not be exposed. It should be noted that the above description of the detector 900 is merely provided for the purposes of illustration, and not intended to limit the scope of the present disclosure. For persons having ordinary skills in the art, multiple variations and modifications may be made under the teachings of the present disclosure. In some embodiments, assembly method, size, shape, array type and/or amount of the photosensors, the scintillators, the anti-scatter grids may be varied or changed according to specific implementation scenarios. Merely by way of example, the amount of the anti-scatter grids placed on the detector may be one or more. And the array of the anti-scatter grids on the detector may even or uneven. However, those variations and modifications do not depart from the scope of the present disclosure. FIG. 10 illustrates a longitudinal section of an X-ray detector with an anti-scatter placed on it according to some embodiments in the present disclosure. As illustrated in the figure, the detector 1000 may include a scintillator 810, a photosensor 720 and a chip 710. The scintillator 810 may be placed on the photosensor 720. The scintillator 810 may include scintillators S1, S2, S3, S4, S5, and S6. The photosensor 720 may include photosensors P1, P2, P3, P4, P5 and P6. In some embodiments, the scintillator S1 and the photosensor P1 may have the same size and align almost or exactly with each other to the left and right edge, so do the pairs S2-P2, S5-P5 and S6-P6. In some embodiments, the scintillators S3 and S4 near the chips 710-A and 710-B may have a bigger size than scintillator S1, S2, S5, or S6. The scintillator S3 and photosensor P3 may have different sizes and at least one edge may not be aligned, so does S4-P4 pair. The gaps 830 of each two adjacent scintillators may be identical, as shown in the FIG. 10. The centerlines of gaps 830 between each two adjacent scintillators 810 may be in accordance with the centerlines of gaps 740 between each two adjacent photosensors 720. The anti-scatter grid 820 may include grid walls G1, G2, G3, G4 and G5. The grid walls G1 to G5 of the anti-scatter grid 820 with the thickness t and the height h may be mounted on the gaps between two adjacent scintillators. It should be noted that the number, shape, size or structure of the photosensor 720, the scintillator 810 and the anti-scatter grid 820 here are merely for the purposes of describing conveniently, and is not intended to be limiting. For persons having ordinary skills in the art, the number of the scintillator elements can be varied arbitrarily according to some embodiments of the present disclosure. In some embodiments, there may be an additional protecting region (not shown in FIG. 9) near the edges of the chips 710-A and 710-B, and/or a gap 730 between two adjacent chips. These may cause the gaps between two photosensors in the edges of two neighbor chips be bigger than the gap, i.e., the gap between photosensors P1 and P2 may be smaller than the gap between photosensors P3 and P4. The grid walls G1 to G5 of the anti-scatter grid 820 may be arranged in a manner of each grid wall on each gap. For example, the grid wall may be placed above the gap 830 between the scintillators as shown in FIG. 10. The centerline of the grid wall and the centerline of the gap between the scintillators may coincide on a line, or have an offset distance. The thickness t of the grid walls G1 to G5 may be the same or different. The height h of the grid walls G1 to G5 may be the same or different. The width w of primary radiation region between each two adjacent grid walls may be the same or different. In some embodiments, the thickness t and the height h of the grid walls and the width w of primary radiation region may be set according to some rules. For illustration purposes, the rule may be the scatter-to-primary ratio (SPR). In some embodiments, the SPR value may be calculated by the equation SPR=S/P, wherein P is the intensity of the primary radiation and S is the intensity of the scatter radiation. Those skilled in the art should understand that the above embodiments are only utilized to describe the present disclosure. There are many modifications and variations to the present disclosure without departing from the spirits of the present disclosure. For example, the size of each photosensors may be different or same in some embodiments of the present disclosure. The size of each scintillators may be different or same in some embodiments. The size of gaps between the photosensors may be different or same in some embodiments. The thickness t of each anti-scatter grid wall G may be different or same in some embodiments. The height h of the grid walls G1 to G5 may be different or same in some embodiments. The width w of the primary radiation region between two adjacent grid walls may be different or same in some embodiments. In some embodiments, the anti-scatter grid wall may be trapezoid, taper, triangle, or other shapes such as a handstand T shape (the thickness t2 at the bottom is larger than that on the top t1, as shown in FIG. 11), or any irregular shape, or the like, or the combination thereof. For another example, the chip 710 may be circular, oval, rectangular, rhombus, or the like, or any irregular shape, or any combination thereof. The chip 710 may be arranged regularly or irregularly. The number of the column and row of the chip 710 may be varied arbitrarily but not limited here. The photosensor 720 may be circular, oval, rectangular, rhombus, or the like, or any irregular shape, or any combination thereof. The photosensor 720 may be arranged regularly, or irregularly. The number of the column and row of the photosensor 720 may be varied arbitrarily but not limited here. The scintillator 810 may be circular, oval, rectangular, rhombus, or the like, or any irregular shape, or any combination thereof. The scintillator 810 may be arranged regularly or irregularly. The number of the column and row of the scintillator 810 may be varied arbitrarily but not limited here. The cross-section shape of the anti-scatter grid 820 may be circular, oval, rectangular, rhombus, or the like, or any irregular shape, or any combination thereof. The anti-scatter grid wall in one dimension may be parallel to each other, or have an angle between each other. It should be noted that the FIG. 10 is just examples for understanding the present disclosure, variations, deformations and/or modifications like those are not departing from the spirits of the present disclosure. As illustrated in FIG. 10, there may be some factors influencing the quality of an image. The quality of an image may include an image size, an image resolution, an image contrast, an image with less artifacts, etc. The factors may include the primary radiation intensity received on each pixel of the scintillator, the scatter signal intensity absorbed by each grid wall (or received by each pixel of the scintillator) or the scatter-to-primary ratio (SPR), or other factors, or any combination. In some embodiments, the primary radiation intensity received by each pixel of the scintillator may be uniform. In some other embodiment, the scatter radiation intensity absorbed by each grid wall may be the uniform. In some other embodiments, the ratio of scatter radiation intensity to primary radiation intensity may be uniform. In some embodiments, other factors may be the uniformity of the width w of the primary radiation region or the uniformity of may scatter radiation w/t, wherein t is the thickness of each grid wall. In some embodiments, other factors may be the uniformity of the width w of the primary radiation region or the uniformity of may scatter radiation w/h, wherein h is the height of each grid wall. For the embodiments mentioned above, the factors may be modified to realize the image quality by design a favorable parameter of the grid wall in the anti-scatter grid 820. In some embodiments, the parameter of the grid wall may include a shape, a size, a position, or the like, or any combination thereof. In some embodiments, the shape of the grid wall may include a triangle, a rectangle, a square, a trapezoid, a pentagon, a hexagon, a circular, an ovoid, an ellipse, an irregular shape, or the like, or any combination thereof. Merely by way of example, the irregular shape may be a T shape, e.g., its bottom thickness t2 may be no less than its top thickness t1 as shown in FIG. 11. In some embodiments, the size of the grid wall may include a thickness t, a height h, an aspect ratio h/t, a space w between two grid walls (i.e., the width w of the primary radiation region), a ratio w/t, or the like, or any combination. Merely by way of example, for the T shape grid wall, the parameters may further include t1/t2, h1/h2, w/h1, w/h2, w/(h1+h2), as shown in FIG. 11. In some embodiments, as shown in FIG. 11, the bottom height h2 of the T shape grid wall may be no more than the top height h1. In some embodiments, the position of a grid wall may mean whether its centerline align with the centerline of the gap between the scintillators. In some embodiments, other parameters design a favorable anti-scatter gird may include the effective utilization rate of the pixels of the scintillators, the error of the size of the scintillators, the error existing in making the anti-scatter grid, the error lying in aligning the anti-scatter grid with the X-ray detector, or the like, or any combination thereof. For illustration purposes, FIG. 10 may be an example to describe how to determine the uniform width w of primary radiation region. As shown in FIG. 10, the thickness t of the grid walls G1-G5 may be the same as or different from each other and the height h of the grid walls G1-G5 may be the same as or different from each other. The centerline of the grid walls G1-G5 may be aligned with or may be offset from the centerline of the gap between the scintillators. The centerline of the grid wall G3 may align with the centerline of the gap between the scintillator S3 and S4. The thickness of the G2 and G4 may be the same, which may be a little larger than the thickness of grid wall G3. The centerlines of the grid walls G2 and G4 may be offset from the centerlines of the gaps between the scintillators S2-S3 and S4-S5. The thickness of the G1 and G5 may be the same, which may be a little smaller than the thickness of grid wall G2 or G4. The centerlines of the grid walls G1 and G5 may be offset from the centerlines of the gaps between the scintillators S1-S2 and S5-S6. All the sizes or the positions of the grid walls in the anti-scatter grid 820 as shown in FIG. 10 may be used to arrive a uniform width w of any primary radiation region between two adjacent grid walls. It should be understood that the parameters of the anti-scatter grid 820 in FIG. 10 is merely an example to illustrate the present disclosure. There are many modifications and variations to the present disclosure without departing from the spirits of the present disclosure. In some embodiments, the size and/or the position of each grid wall may be changed partly or wholly to arrive another uniform width w of primary radiation region. In some embodiments, the uniform parameter may be the ratio of primary radiation width to grid wall thickness w/t. In some embodiments, the uniform parameter may be the ratio of primary radiation width to grid wall height w/h. In some embodiments, the photosensor or scintillator in the detector may be arranged in another type as described elsewhere in the present disclosure. Variations, deformations and/or modifications like those are not departing from the spirits of the present disclosure. FIG. 11 illustrates a longitudinal section of an X-ray detector with an anti-scatter placed on it according to some embodiments in the present disclosure. As illustrated in the figure, the detector 1100 may include a scintillator 810, a photosensor 720 and a chip 710. The scintillator 810 may be placed on the photosensor 720. The scintillator 810 may include scintillators S0, S1, S2, S3, S4, S5, S6 and S7. The photosensor 720 may include photosensors P0, P1, P2, P3, P4, P5, P6, and P7. In some embodiments, the scintillator S0 and photosensor P0 may have the same size and align almost or exactly with each other to the left and right edge, so do the pairs S1-P1, S2-P2, S5-P5, S6-P6 and S7-P7. In some embodiments, the scintillators S3 and S4 near the chips 710-A and 710-B may have a bigger size than scintillator S0, S1, S2, S5, S6 or S7. The scintillator S3 and photosensor P3 may have different sizes and at least one edge may not be aligned, so does the pair S4-P4. The gaps 830 between each two adjacent scintillators may be identical, as shown in the FIG. 11. The centerlines of gaps 830 between each two adjacent scintillators 810 may be in accordance with the centerlines of gaps 740 between each two adjacent photosensors 720. The anti-scatter grid 820 may include grid walls G0, G1, G2, G3, G4, G5 and G6. The grid walls G1 to G5 of the anti-scatter grid 820 with the thickness t may be mounted on the gaps between two adjacent scintillators. It should be noted that the number, shape, size or structure of the photosensor 720, the scintillator 810 and the anti-scatter grid 820 here are merely for the purposes of describing conveniently, and is not intended to be limiting. For persons having ordinary skills in the art, the number of the scintillator elements can be varied arbitrarily according to some embodiments of the present disclosure. In some embodiments, there may be an additional protecting region (not shown in FIG. 11) near the edges of the chips 710-A and 710-B, and/or a gap 730 between two adjacent chips. These may cause the gaps between two photosensors in the edges of two neighbor chips be bigger than the gap, i.e., the gap between photosensors P1 and P2 may be smaller than the gap between photosensors P3 and P4. The grid walls G0 to G6 of the anti-scatter grid 820 may be arranged in a manner of each grid wall on each gap. For example, the grid wall may be placed above the gap 830 between the scintillators as shown in FIG. 11. The centerline of the grid wall and the centerline of the gap of the scintillator S1 and S2 may coincide on a line, or have an offset distance. The thickness t of the grid walls G0 to G6 may be the same or different. The height h of the grid walls G0 to G6 may be the same or different. The width w of primary radiation region between each two adjacent grid walls may be the same or different. In some embodiments, the thickness t and the height h of the grid walls and the width w of primary radiation region may be set according to some rules. For illustration purposes, the rule may be the scatter-to-primary ratio (SPR). In some embodiments, the SPR value may be calculated by the equation SPR=S/P, wherein P is the intensity of the primary radiation and S is the intensity of the scatter radiation. For illustration purposes, FIG. 11 may be an example to describe a structure of the anti-scatter grid. As shown in the figure, the thickness t of the grid walls G0-G6 may be the same as or different from each other. The height h of the grid walls may be the same as or different from each other. The centerline of the grid walls G0-G6 may be aligned with or may be offset from the centerline of the gap between the scintillators. The centerline of the grid wall G3 may align with the centerline of the gap between the scintillator S3 and S4. The thickness of the G2 and G4 may be the same, which may be a little larger than the thickness of grid wall G3. The centerlines of the grid walls G2 and G4 may be offset from the centerlines of the gaps between the scintillators S2-S3 and S4-S5. The thickness of the G1 and G5 may be the same, which may be a little smaller than the thickness of grid wall G2 or G4. The centerlines of the grid walls G1 and G5 may be offset from the centerlines of the gaps between the scintillators S1-S2 and S5-S6. All the sizes or the positions of the grid walls in the anti-scatter grid 820 as shown in FIG. 11 may be used to arrive a uniform width w of any primary radiation region between two adjacent grid walls. In some embodiments, the shape of each grid wall may be the same as or different from each other. For example, the grid walls such as G2, G3 and G4 may be rectangle, some others such as G1, G2, G6 and G7 may be T shape (the thickness h2 at the bottom is larger than the thickness h1 on the top). The rectangular grid walls such as G3, G4, and G5 may be near the edge of the gap 730 between two adjacent chips such as 710-A and 710-B. The T shaped grid walls such as G1, G2, G6 and G7 may be away from the edge of the gap 730 between two adjacent chips such as 710-A and 710-B. In some embodiments, the rectangular grid walls and the T shaped ones may be distributed alternately on the whole X-ray detector array 1100. It should be noted that FIG. 11 is merely an example for illustration. In some other embodiments, the amount and the array of the T shape grid walls may be determined by different kinds of rules. In still another embodiments, the thickness ratio t1/t2 or the height ratio h1/h2 may be variable according to specific scenarios. Those skilled in the art should understand that the above embodiments are only utilized to describe the present disclosure. There are many modifications and variations to the present disclosure without departing from the spirits of the present disclosure. For example, the number of chip elements of each detector, the number of photosensors, scintillators, and anti-scatter grid walls on each chip element may be varied according to different demands, e.g., image resolution, the whole size of the detector and pixel, cost, or the like, or any combination thereof. For another example, the shapes of the anti-scatter grid walls may be circular, oval, rectangular, rhombus, or the like, or any irregular shape, or any combination thereof. The anti-scatter grid walls may be distributed continuously or alternately, or other ways of distribution. For still another example, the number of the different shaped grid walls in the alternative distribution may be varied arbitrarily but not limited here. In some embodiments, the uniform parameter may be the ratio of primary radiation width and grid wall height w/h. Variations, deformations and/or modifications like this are not departing from the spirits of the present disclosure. FIG. 12 shows a 3D view of an anti-scatter grid component according to some embodiments of the present disclosure. As shown in this figure, the anti-scatter grid component may include an anti-scatter grid 1210 and one or more cantilevers 1220. The anti-scatter grid 1210 may include a plurality of grid walls and grid cells which may be referred as the interspace between the grid walls. The anti-scatter grid 1210 may be configured to absorb and/or block the scatter radiation from the subject under examination or other radiation source, and at the same time more direct radiation from the X-ray generating unit may be detected by an X-ray detector. The cantilevers 1220 may include a hole thereon which may be configured or used to fix the anti-scatter grid with an X-ray detector through the holes on them. It should be noted that the case showed in FIG. 12 is merely an example, and should not be understood as the only embodiments. There are many modifications and variations to the present disclosure without departing from the spirits of the present disclosure below. In some embodiments, the shape of the anti-scatter grid may be flat, arc-shaped, circular, or the like, or any combination thereof. The grid walls of the anti-scatter grid may be parallel or focused. The grid walls of the anti-scatter grid may be perpendicular to or be angled with the bottom of the anti-scatter grid. The bottom surface area of the grid wall may be the same as or greater than the top surface area of the grid wall. In some embodiments, the thickness of the grid walls may be the same or different, regular or irregular. In some embodiments, the shape of the grid walls in the longitudinal section may be a triangle, a rectangle, a square, a trapezoid, a T shape, or the like, or any combination thereof. For the T shape grid walls, as shown in FIG. 11, the length of the upper part to that of the lower part ratio may be an adjustable value according to many factors, e.g., the distance between the radiation generating unit and the detecting unit, the location error existing when scanning, the image quality, or the like, or any combination thereof. In some embodiments, the shape of the grid cells in the cross section may be a triangle, a rectangle, a square, a trapezoid, a pentagon, a hexagon, a circular, an ovoid, an ellipse, an irregular shape, or the like, or any combination thereof. In some embodiments, the grid walls may be made from some absorbing materials including lead, gold, tungsten, depleted uranium, thorium, barium sulfate, tantalum, iridium, osmium, or the like, or any combination thereof. For the T shape grid walls, the material of the upper part of the grid walls may be the same as or different from that of the lower part. The grid cells may be made from some material with lower absorbability than that of the grid walls, e.g., metal, inorganic materials, organic materials, or the like, or any combination thereof. The cantilever 1220 may be configured or used to fix the anti-scatter grid with an X-ray detector through the holes on them. In some embodiments, as shown in FIG. 12, the cantilevers 1220 may be a portion of the anti-scatter grid 1210 by using some manufacturing process including cutting, casting, welding, lithographic micromachining, stacking, 3D printing, or the like, or any combination thereof. In some embodiments, they may be independent and be connected by some method. The connection may be may be detachable, non-detachable, or the combination thereof. The detachable manner may be a magnetic connection, a threaded connection, a pin connection, a hinged connection or be other ways of plugging, interlocking, or the like, or any combination thereof. The non-detachable manner may be the way of welding, riveting, casting, gluing, or the like, or any combination thereof. As shown in FIG. 12, there may be two cantilevers set on both sides of the anti-scatter grids symmetrically, 1220-A and 1220-B. It should be noted that the number of and the position of the cantilevers are merely provided for the purposes of describing conveniently, and is not intended to be limiting. For persons having ordinary skills in the art, the number of and the position of the cantilevers can be varied or modified. For example, the cantilevers may be set on each side of the anti-scatter grid, and the locations of the cantilevers may be symmetrical or asymmetrical. And in some embodiments, there may be a whole cantilever surrounding the anti-scatter grid. In some embodiments, the cantilevers may be made from some materials which may be metallic or nonmetallic, magnetic or nonmagnetic. In some embodiments, the metallic materials may include iron, copper, aluminum, tin, nickel, gold, silver, lead, zinc, or the like, alloy, or the like, or the combination thereof. In some embodiments, the nonmetallic materials may be plastic, rubber, wood, or the like, or the combination thereof. FIG. 13 shows a 3D view of an X-ray detector with an anti-scatter grid component 1200 mounted on according to some embodiments of the present disclosure. The X-ray detector 1300 may include a scintillator array 810, a photosensor array and a substrate, wherein the scintillator array and the photosensor array may be integrated together and represented by the number 1310 in this figure. In some embodiments, the photosensor may include a part 1310-A and a part 1310-B. The part 1310-A and 1310-B may positioned on different substrates. Through the cantilevers on the anti-scatter grid 1210, the X-ray detector and the anti-scatter grid 1210 may be fixed on a gantry. In some embodiments, the X-ray detector may be set on the gantry firstly and then the anti-scatter may be added on it. In some embodiments, the anti-scatter grid may be mounted on the detector then the integrated device may be fixed on the gantry. The connection may be detachable, non-detachable, or the combination thereof. The detachable manner may be a magnetic connection, a threaded connection, a pin connection, a hinged connection or be other ways of plugging, interlocking, or the like, or any combination thereof. The non-detachable manner may be the way of welding, riveting, casting, gluing, or the like, or any combination thereof. It should be understood that the parameters of the anti-scatter grid 820 in FIG. 13 is merely an example to illustrate the present disclosure. There are many modifications and variations to the present disclosure without departing from the spirits of the present disclosure. In some embodiments, the size and/or the position of each grid wall may be changed partly or wholly to arrive another uniform width w of primary radiation region. In some embodiments, the uniform parameter may be the ratio of primary radiation width and grid wall thickness w/t. In some embodiments, the photosensor or scintillator in the detector may be arranged in another type as described elsewhere in the present disclosure. Variations, deformations and/or modifications like those are not departing from the spirits of the present disclosure. Having thus described the basic concepts, it may be rather apparent to those skilled in the art after reading this detailed disclosure that the foregoing detailed disclosure is intended to be presented by way of example only and is not limiting. Various alterations, improvements, and modifications may occur and are intended to those skilled in the art, though not expressly stated herein. These alterations, improvements, and modifications are intended to be suggested by this disclosure, and are within the spirits and scope of the exemplary embodiments of this disclosure. Moreover, certain terminology has been used to describe embodiments of the present disclosure. For example, the terms “one embodiment,” “an embodiment,” and/or “some embodiments” mean that a particular feature, structure or characteristic described in connection with the embodiment is included in at least one embodiment of the present disclosure. Therefore, it is emphasized and should be appreciated that two or more references to “an embodiment” or “one embodiment” or “an alternative embodiment” in various portions of this specification are not necessarily all referring to the same embodiment. Furthermore, the particular features, structures or characteristics may be combined as suitable in one or more embodiments of the present disclosure. Further, it will be appreciated by one skilled in the art, aspects of the present disclosure may be illustrated and described herein in any of a number of patentable classes or context including any new and useful process, machine, manufacture, or composition of matter, or any new and useful improvement thereof. Accordingly, aspects of the present disclosure may be implemented entirely hardware, entirely software (including firmware, resident software, micro-code, etc.) or combining software and hardware implementation that may all generally be referred to herein as a “block,” “module,” “engine,” “unit,” “component,” or “system.” Furthermore, aspects of the present disclosure may take the form of a computer program product embodied in one or more computer readable media having computer readable program code embodied thereon. Similarly, it should be appreciated that in the foregoing description of embodiments of the present disclosure, various features are sometimes grouped together in a single embodiment, figure, or description thereof for the purpose of streamlining the disclosure aiding in the understanding of one or more of the various inventive embodiments. This method of disclosure, however, is not to be interpreted as reflecting an intention that the claimed subject matter requires more features than are expressly recited in each claim. Rather, inventive embodiments lie in less than all features of a single foregoing disclosed embodiment.
claims
1. A method, comprising:determining at a processor device a first set of test measurements based on a first test structure;determining at the processor device a first device under test (DUT) measurement based on an open test structure, a short test structure, and a through test structure and based on the first set of test measurements;determining at the processor device a second DUT measurement based on the open test structure and the short test structure and based on the first set of test measurements;calculating at the processor device an imperfection error based on the first DUT measurement and the second DUT measurement;determining at the processor device a set of intrinsic electrical characteristics for a device under test based on the open test structure, the short test structure, the through test structure, a left test structure and a right test structure and based on the imperfection error; andstoring the set of intrinsic electrical characteristics. 2. The method of claim 1, wherein the first test structure includes the device under test. 3. The method of claim 1, wherein determining a set of intrinsic electrical characteristics comprises:determining a second set of test measurements based on a second test structure including the device under test; anddetermining the set of intrinsic electrical characteristics based on the second set of test measurements. 4. The method of claim 3, wherein the first set of test measurements is associated with a two-port test structure and the second set of test measurements is associated with a three-port device. 5. The method of claim 4, wherein the two-port test structure includes a resistive element. 6. The method of claim 1, wherein calculating an imperfection error comprises subtracting the second test measurement from the first test measurement to determine the imperfection error. 7. The method of claim 1, wherein determining the set of intrinsic electrical characteristics comprises subtracting the imperfection error from test measurements of the short test structure. 8. The method of claim 1, wherein determining the first DUT measurement comprises determining the first DUT measurement based on a three-step method. 9. The method of claim 1, wherein determining the second DUT measurement comprises determining the second DUT measurement based on a three-step method. 10. The method of claim 1, wherein determining the set of intrinsic electrical characteristics comprises determining the set of intrinsic electrical characteristics based on a four-port method. 11. The method of claim 1, wherein the first set of test parameters comprise scatter measurements. 12. The method of claim 1, wherein the device under test is a two terminal device. 13. The method of claim 1, further comprising designing an integrated circuit device based on the set of intrinsic electrical characteristics to produce an integrated circuit design. 14. The method of claim 13, further comprising forming an integrated circuit based on the integrated circuit design. 15. A method, comprising:determining at a processor device a first set of test measurements based on a first test structure including a device under test;determining at the processor device a first DUT measurement based on the first set of test measurements and a three-step de-embedding method;determining at the processor device a second DUT measurement based on the first set of test measurements and an open-short de-embedding method;determining at the processor device an imperfection error based on the first DUT measurement and the second DUT measurement;determining at the processor device a set of intrinsic electrical characteristics based on the imperfection error and a four-port de-embedding method; andstoring the set of intrinsic electrical characteristics. 16. The method of claim 15, wherein the imperfection error is a short imperfection error. 17. The method of claim 15, wherein determining the first set of test measurements comprises determining a set of scatter parameter measurements for the device under test. 18. A non-transitory computer readable medium containing a computer program, the computer program comprising instructions that, when executed by a processor, perform a method comprising:determining a first set of test measurements based on a first test structure;determining a first device under test (DUT) measurement based on an open test structure, a short test structure, and a through test structure and based on the first set of test measurements;determining a second DUT measurement based on the open test structure and the short test structure and based on the first set of test measurements;calculating an imperfection error based on the first DUT measurement and the second DUT measurement; anddetermining a set of intrinsic electrical characteristics for the device under test based on the open test structure, the short test structure, the through test structure, a left test structure and a right test structure and based on the imperfection error. 19. The non-transitory computer readable medium of claim 18, wherein the first test structure includes the device under test. 20. The non-transitory computer readable medium of claim 18, wherein the instructions to determine a set of intrinsic electrical characteristics comprise instructions, when executed by the processor, perform a method to:determining a second set of test measurements based on a second test structure including the device under test; anddetermining the set of intrinsic electrical characteristics based on the second set of test measurements.
summary
summary
description
This application is a divisional of U.S. patent application Ser. No. 11/366,533 filed on Mar. 3, 2006, the entire contents of which are incorporated herein by reference. U.S. Ser. No. 11/366,533 is a continuation of International Application No. PCT/US2004/029079 filed on Sep. 7, 2004, which was published in English and which claims the benefit under 35 U.S.C. 119(e) of U.S. Provisional Patent Application No. 60/500,256 filed on Sep. 5, 2003. 1. Field of the Invention The invention relates to particle-optical systems using multiple beamlets of charged particles, such as an electron microscopy apparatus and electron lithography apparatus. Further the invention relates to particle-optical components and arrangements which may be used in particle-optical systems using multiple beamlets of charged particles; the particle-optical components are, however, not limited in the application to systems using multiple beamlets. Such particle-optical components may be used in particle-optical systems using only one single beam of charged particles or plural beams or beamlets of charged particles. The invention may be applied to charged particles of any type, such as electrons, positrons, myons, ions and others. 2. Brief Description of Related Art A conventional particle-optical system is known from U.S. Pat. No. 6,252,412 B1. The electron microscopy apparatus disclosed therein is used for inspecting an object, such as a semiconductor wafer. A plurality of primary electron beams is focused in parallel to each other on the object to form a plurality of primary electron spots thereon. Secondary electrons generated by the primary electrons and emanating from respective primary electron spots are detected. For each primary electron beam a separate electron beam column is provided. The plurality of separate electron beam columns is closely packed to each other. A density of the primary electron beam spots formed on the object is limited by a remaining foot step size of the electron beam columns forming the electron microscopy apparatus. Thus, also the number of primary electron beam spots which may be found at the same time on the object is limited in practice resulting in a limited throughput of the apparatus when inspecting semiconductor wafers of a high surface area at a high resolution. From U.S. Pat. No. 5,892,224, US 2002/0148961 A1, US 2002/0142496 A1, US 2002/0130262 A1, US 2002/0109090 A1, US 2002/0033449 A1, US 2002/0028399 A1, there are known electron microscopy apparatuses using a plurality of primary electron beamlets focused on the surface of the object to be inspected. The beamlets are generated by a multi-aperture plate having a plurality of apertures formed therein, wherein an electron source generating a single electron beam is provided upstream of the multi-aperture plate for illuminating the apertures formed therein. Downstream of the multiple-aperture plate a plurality of electron beamlets is formed by those electrons of the electron beam passing the apertures. The plurality of primary electron beamlets is focused on the object by an objective lens having an aperture which is passed by all primary electron beamlets. An array of primary electron spots is thus formed on the object. Secondary electrons emanating from each primary electron spot form a respective secondary electron beamlet, such that also a plurality of secondary electron beamlets corresponding to the plurality of primary electron beam spots is generated. The plurality of secondary electron beamlets pass the objective lens, and the apparatus provides a secondary electron beam path such that each of the secondary electron beamlets is supplied to a respective one of a plurality of detector pixels of a CCD electron detector. A Wien-filter is used for separating the secondary electron beam path from a beam path of the primary electron beamlets. Since one common primary electron beam path comprising the plurality of primary electron beamlets and one common secondary electron beam path comprising the plurality of secondary electron beamlets is used, one single electron-optical column may be employed, and the density of primary electron beam spots formed on the object is not limited by a foot step size of the single electron-optical column. The number of primary electron beam spots disclosed in the embodiments of the above mentioned documents is in the order of some ten spots. Since the number of primary electron beam spots formed at a same time on the object limits the throughput, it would be advantageous to increase the number of primary electron beam spots for achieving a higher throughput. It has been found, however, that it is difficult to increase the number of primary electron beam spots formed at a same time, or to increase a primary electron beam spot density, employing the technology disclosed in those documents while maintaining a desired imaging resolution of the electron microscopy apparatus. It is therefore an object of the present invention to provide particle-optical systems using charged-particle beamlets of an increased density and allowing to manipulate the charged-particle beamlets with an increased accuracy. It is a further object of the present invention to provide particle-optical components for manipulating beams and beamlets of charged particles with an increased accuracy. As will be described in more detail hereinafter particle-optical components, particle-optical arrangements and particle-optical systems according to the invention may use a plurality of charged-particle beamlets and manipulate the same with an increased accuracy. According to one embodiment of the invention there is provided a particle-optical arrangement for forming a plurality of charged-particle beamlets wherein the beamlets are arranged in an array pattern of a high regularity. The high regularity array pattern is formed by the beamlets at a desired location along the beam path of the beamlets. For instance, the high regularity array pattern may be formed at an image plane or intermediate image plane where the beamlets each form a respective focus. The particle-optical arrangement comprises at least one charged-particle source for generating at least one beam of charged particles. The charged-particle beamlets are formed by particles of the beam of charged particles passing through apertures formed in the multi-aperture plate. There may be one or plural further multi-aperture plates arranged in the beam path of the beamlets wherein the beamlets pass through apertures formed in the one or plural further multi-aperture plates. The particle-optical arrangement may further comprise at least one focusing lens or other particle-optical element for manipulating the at least one beam of charged particles and/or the plurality of charged-particle beamlets. Such particle-optical element typically contributes to an optical distortion of the particle-optical arrangement. Such distortion deteriorates an achievable accuracy for manipulating the beamlets and will prevent the formation of the desired high regularity array pattern of the beamlet array at the desired position in the beam path of the beamlets. The high regularity array pattern has a particle-optical correspondence with an array pattern of the apertures formed in the at least one multi-aperture plate. The positions of the apertures in the multi-aperture plates are now such determined that substantially the desired high regularity array pattern of the beamlets is formed downstream of the at least one multi-aperture plate. The array pattern of the apertures in the multi-aperture plate will then have a lower regularity as compared to the regularity of the high regularity array pattern. The displacement of positions of the apertures from a high regularity pattern to form a pattern of lower regularity is, however, not limited to compensating a distortion introduced by one or the other particle-optical element and may be provided for any other purposes. It is not necessary that the increase of regularity is provided for all directions of the patterns. It may be sufficient to increase the regularity only in one particular direction, such as a direction transversely to a movement of the object relative to an objective lens of the arrangement. Further, it may be sufficient that a projection of a certain subset of the beamlets in a predetermined direction onto a plane forms the pattern having the increased regularity when compared to a corresponding regularity determined from a corresponding subset of apertures projected in a direction which electron-optically corresponds to the predetermined direction. The regularities of the high regularity array pattern of the beamlets and of the lower regularity pattern of the apertures may be determined by e.g. some suitable mathematical means such as a method for determining a spatial correlation between the apertures and a one- or two-dimensional Fourier analysis applied to the positions of centers of the respective beamlets and of the respective apertures. The at least one particle-optical element may comprise a focusing lens, such as an objective lens, for focusing the beamlets onto an object positionable in the image plane of the particle-optical arrangement. For compensating typical distortions of focusing lenses, distances between adjacent apertures in the multi-aperture plate are preferably continuously decreasing with an increasing distance of the respective apertures from a center of the array pattern formed by the apertures in the multi-aperture plate. According to a further embodiment of the invention there is provided a particle-optical arrangement having, similar to the arrangement illustrated above, at least one charged-particle source, and at least one multi-aperture plate. The arrangement may further comprise at least one particle-optical element for manipulating at least one beam of charged particles generated by the source, or for manipulating the plurality of charged-particle beamlets. Such particle-optical element typically contributes to an optical astigmatism of the particle-optical arrangement. For compensating such astigmatism the apertures formed in the at least one multi-aperture plate comprise apertures having an elliptical shape rather than a perfectly circular shape. The provision of the elliptical aperture shapes is, however, not limited to compensating an astigmatism introduced by one or the other particle-optical element and may be provided for any other purposes. According to one embodiment, an ellipticity of the elliptical shapes of the aperture preferably increases with increasing distance from a center of the aperture pattern for compensating an astigmatism typically introduced by a focusing lens. A long axis of the elliptical shapes may be radially oriented with respect to a center of the aperture pattern, or the long axis may be oriented under an angle to the radial direction. If the long axis is oriented under an angle with respect to the radial direction, such angle may increase with increasing distance from the center of the aperture pattern. According to a further embodiment of the invention there is provided a particle-optical arrangement comprising, similar to the arrangement as illustrated above, at least one charged-particle source, and at least one multi-aperture plate. The arrangement may further comprise at least one particle-optical element for manipulating the at least one beam of charged particles generated by the source or for manipulating a plurality of charged-particle beamlets. The particle-optical element may contribute to an optical field curvature of the arrangement. For compensating such field curvature a diameter of the apertures formed in the multi-aperture plate changes with an increasing distance from a center of the aperture pattern. The change of diameters may be such that the diameter of the apertures increases or decreases with increasing distance from the center of the aperture pattern. The change of diameters of the apertures is, however, not limited to compensating a field curvature introduced by one or the other particle-optical element and may be provided for any other purposes. According to a further embodiment of the invention there is provided a particle-optical component which may be advantageously used in a particle-optical system using a plurality of charged-particle beamlets. The particle-optical component may be used in such system for compensating a field curvature introduced by one or the other particle-optical element of the system, or, the particle-optical component may be used in such system for any other suitable purpose. The particle-optical component comprises at least one multi-aperture plate having a plurality of apertures formed therein, for manipulating particles of a charged-particle beamlet passing therethrough. The multi-aperture plate is formed of plural layer portions which are arranged in substantially a single plane, wherein plural apertures are formed in each of the plural layer portions. The layer portions are formed of a material which is electrically sufficiently conductive such that the layer portion defining a respective aperture therein may be maintained at a predetermined electrical potential with a sufficient accuracy depending on the desired application. Adjacent conductive layer portions are not directly connected with each other. For electrically separating the adjacent conductive layer portions from each other an electrically sufficiently resistant gap may be advantageously formed between such adjacent conductive layer portions. The gap is sufficiently resistant to allow for different electrical potentials being applied to the adjacent conductive layer portions with the sufficient accuracy. Even though the adjacent conductive layer portions are not directly electrically connected with each other there may be provided predetermined resistors for connecting adjacent conductive layer portions or non-adjacent conductive layer portions with each other for maintaining the conductive layer portions at the desired electrical potentials. According to a preferred embodiment there are at least two ring-shaped portions provided wherein one ring-shaped portion is positioned in an interior of the other ring-shaped portion. A radial width of the ring-shaped conductive layer portions preferably decreases with an increasing distance from a center of the aperture pattern formed in the multi-aperture plate. The multi-aperture plate described herein above may be provided for manipulating charged particles of the beamlets passing through respective apertures formed in the multi-aperture plate. Such manipulation of the beamlets may be achieved by maintaining the plate defining the respective aperture at a suitable electrical potential. The manipulation of the beamlet may thus comprise providing a focusing, defocusing and deflecting effect or any other effect and combinations of these effects on the beamlet. The electrical potential at which the plate defining plural apertures is maintained may generate an electrical field extending in a direction upstream or downstream of the beamlet and away from the multi-aperture plate. Due to the presence of the plural apertures in the multi-aperture plate such electrical field will deviate from an homogeneous field which would be generated by a plate having no apertures formed therein. The deviation from the homogeneous electrical field may have a disadvantageous effect on the desired type of manipulation of the beamlet by the respective aperture. According to a further embodiment of the invention there is provided a particle-optical component comprising a first multi-aperture plate made of an insulating substrate and having a plurality of apertures formed therethrough. An interior of the apertures formed in the insulating substrate is covered with a conductive layer. An advantage of such conductive layer provided in the interior of the apertures is a contribution of the layers to screening stray electric fields originating from adjacent or more distant apertures. A conductivity of the layer may be designed such that a sufficient screening will be achieved. According to a simplified design rule, a total resistance across the multi-aperture plate, i.e. the resistance between the two main flat surfaces of the multi-aperture plate is in a range of about 250 Ω to 8 MΩ, a range of about 250 Ω to 4 MΩ, a range of about 4 MΩ to 8 MΩ, a range of about 250 Ω to 800 Ω, a range of about 800 Ω to 1.5 MΩ, a range of about 1.5 MΩ to 3 MΩ, a range of about 3 MΩ to 5 MΩ, and/or a range of about 5 MΩ to 8 MΩ. A further multi-aperture plate may be provided in close contact with the first multi-aperture plate on one or on both sides thereof. According to an embodiment the conductive layer also covers one or both main surfaces of the first multi-aperture plate. The conductive layer then forms an integral portion of the first multi-aperture plate, and the further multi-aperture plate, if such is provided, will be formed in direct contact with the conductive layer, accordingly. The further multi-aperture plate is preferably made of a conductive material having a conductivity higher than a conductivity of the conductive layer provided in the apertures of the first multi-aperture plate. According to a further embodiment of the invention there is provided a particle-optical component having at least one multi-aperture plate with a plurality of apertures formed therein, wherein the multi-aperture plate is made of a conductive material such that an electrical resistance between both main flat surfaces of the first multi-aperture plate is in a range of about 250 Ω to 8 MΩ, a range of about 250 Ω to 4 MΩ, a range of about 4 MΩ to 8 MΩ, a range of about 250 Ω to 800 Ω, a range of about 800 Ω to 1.5 MΩ, a range of about 1.5 MΩ to 3 MΩ, a range of about 3 MΩ to 5 MΩ, and/or a range of about 5 MΩ to 8 MΩ. The conductivity of the substrate material contributes to screening electrical fields generated in the apertures. A suitable material for manufacturing the substrate may be chosen from a glass material as it is used for manufacturing a multi-channel plate for an image amplifier. According to a further embodiment of the invention there is provided a particle-optical component having at least one multi-aperture plate having a plurality of beam-manipulating apertures formed therein for manipulating a charged-particle beamlet passing therethrough, wherein the plurality of beam-manipulating apertures is arranged in a predetermined array pattern. Further, field correcting apertures are formed in the multi-aperture plate for correcting a distortion of the electrical field generated by the multi-aperture plate. Positions of the field correcting apertures in the array pattern of the beam-manipulating apertures and sizes and shapes of the field correcting apertures may be chosen such that the electrical field generated by the multi-aperture plate substantially corresponds to a desired electrical field upstream and/or downstream of the multi-aperture plate. When the particle-optical component is used in a particle-optical system using a plurality of charged-particle beamlets, those beamlets will pass through the beam-manipulating apertures rather than through the field correcting apertures. This does not exclude, however, that intermediate beamlets pass through the field correcting apertures wherein the intermediate beamlets are removed by some other means from a bundle of charged-particle beamlets which the system intends to use. Such means for removing intermediate beamlets passing through the field correcting apertures may include beam stops arranged at suitable positions across the bundle of desired charged-particle beamlets. Such stop may be advantageously formed by a further multi-aperture plate having formed therein plural apertures which allow the desired beamlets to pass therethrough and having no apertures formed therein at positions corresponding to beam paths of the intermediate beamlets. It is further possible to intercept the intermediate beamlets in the particle-optical component itself. Herein, the stop may be advantageously formed by a bottom of an aperture-hole being not a through-hole of the plate. When the beam-manipulating apertures are densely packed in the multi-aperture plate, the field correcting apertures have preferably a smaller size than the beam-manipulating apertures located adjacent thereto. Further, when seen in a circumferential direction about a center of a given beam-manipulating aperture, the field correcting apertures are located circumferentially in-between other beam-manipulating apertures directly adjacent to the given beam-manipulating aperture. According to a further embodiment of the invention there is provided a particle-optical component comprising, similar to the particle-optical components illustrated herein above, at least one multi-aperture plate having a plurality of beam-manipulating apertures formed therein. For compensating deviations of an electrical field generated by the multi-aperture plate from a desired electrical field, shapes of the beam-manipulating apertures may be designed such that additional shape features are added to basic shapes of the field manipulating apertures. The basic shapes are designed according to electron-optical design rules in view of providing a desired beam-manipulating effect on the beamlet passing through the aperture. For instance, the basic shape may be a circular shape for providing an effect of a round lens, or the basic shape may be an elliptical shape for providing an effect of an astigmatic lens. The shape features are provided as radial recessions or protrusions in the basic shape. The shape features of a given aperture are provided at a manifold or symmetry around a circumference of the basic shape which corresponds to a manifold or symmetry of an arrangement of the beam-manipulating apertures in a surroundings of the given beam-manipulating beam aperture. For instance, if a given beam-manipulating aperture has four immediately adjacent beam-manipulating apertures as closest neighbors, the shape features of the given beam-manipulating aperture will have a fourfold symmetry about a center of the given aperture for compensating for a non-rotational symmetric field configuration in a volume upstream or downstream of the given beam-manipulating aperture. Such non-rotational symmetric field configuration is caused by the symmetry of the beam-manipulating apertures located about the given aperture. The closest neighbors about a given aperture may be determined by any method known from the art in other technical fields. According to one possible method a very closest neighbor to the given aperture is determined first by identifying that aperture among all other apertures different from the given aperture as very closest neighbor which is arranged at a minimum distance from the given aperture. Thereafter, all those apertures different from the given apertures are identified as closest neighbors which are arranged at a distance less than about 1.2 to about 1.3 times the minimum distance from the given aperture. For determining a symmetry of the shape features it is also possible to examine a symmetry of a larger surroundings about a given aperture, for instance by performing a Fourier analysis on the first array pattern around the given aperture. The given aperture will then have a shape with at least one symmetry component corresponding to a symmetry of the first array pattern around the given beam-manipulating aperture. With this method also boundary effects of apertures close to a periphery of an aperture pattern may be taken into account where, for example, one half space about the given aperture may not be occupied by other apertures. In a multi-aperture plate having a plurality of beam-manipulating apertures formed therein as a limited array pattern, the plate will extend beyond the pattern of beam-manipulating apertures. Thus, an electrical field generated by a region of the plate where no apertures are formed will be different from a field extending from a region where the aperture pattern is formed, resulting in an electrical field which deviates from a homogeneous electrical field or other desired electrical field in particular in a region close to a periphery of the pattern. At the periphery, optical properties provided by the apertures to the respective beams passing therethrough may be deteriorated as compared to optical properties provided by apertures located at a center of the pattern. According to a further embodiment of the invention there is provided a particle-optical arrangement comprising, similar to the arrangements illustrated above, a multi-aperture plate having a plurality of beam-manipulating apertures formed therein for manipulating a plurality of charged-particle beamlets. The beam-manipulating apertures are arranged in a first array pattern and there are field correcting apertures formed in the multi-aperture plate in a region adjacent to the first array pattern. The field correcting apertures may be arranged in an array forming an extension of the array pattern of the beam-manipulating apertures. The beamlets which the particle-optical arrangement is intended to provide do not pass through the field correcting apertures. This does not exclude, however, that intermediate beamlets passing through the field correcting apertures are intercepted by some other means downstream of the field correcting aperture or within the field correcting aperture as described above. According to a further embodiment of the invention there is provided a particle-optical arrangement comprising, similar to the arrangements described herein above, at least one charged-particle source, at least one multi-aperture plate having a plurality of apertures formed therein, a first voltage supply for supplying predetermined first voltages to the plurality of apertures, a first single-aperture plate arranged at a distance upstream or downstream from the multi-aperture plate, and a second voltage supply for supplying a predetermined second voltage to the first single-aperture plate. The apertures in the multi-aperture plate are provided for manipulating charged-particle beamlets passing therethrough. A manipulating effect of the apertures is, amongst others, determined by an electric field generated by the multi-aperture plate upstream and/or downstream thereof. The single-aperture plate is provided upstream and downstream, respectively, to the multi-aperture plate for shaping the electrical field to a desired shape such that the manipulating effect of the apertures is varied across the aperture pattern according to a desired dependency. According to an embodiment, the single-aperture plate is arranged at a distance less than 75 mm from the multi-aperture plate, preferably at a distance less than 25 mm and further preferred at a distance less than 10 mm or less than 5 mm. According to a further embodiment, the single-aperture plate is arranged at a distance from the aperture which is less than one half, in particular one fourth, of a focal length which a lens function of the apertures of the multi-aperture plate provides to the beamlets passing therethrough. According to still a further embodiment, the single-aperture plate is arranged at such a distance from the multi-aperture plate that an electric field on a surface of the multi-aperture plate is higher than 100 V/mm, higher than 200 V/mm, higher than 300 V/mm, higher than 500 V/mm, or higher than 1 kV/mm. According to another embodiment, a distance between the multi-aperture plate and the first single-aperture plate is less than five times a diameter of the single aperture, less than three times the diameter of the single aperture, less than two times this diameter or even less than the diameter of the single aperture itself. For providing a stronger dependency of the beam-manipulating effect of the plurality of apertures across the aperture array, it is preferred to provide a second single-aperture plate arranged in-between the multi-aperture plate and the first single-aperture plate. A third voltage supply is provided for supplying a predetermined third voltage to the second single-aperture plate. The third voltage may be chosen such that it is substantially equal to or lower than the average of the first voltages, or the third voltage may be chosen such that it is in-between the second voltage and the average of the first voltages. A first single-aperture plate may be provided on both sides of the multi-aperture plate. According to a further embodiment of the present invention there is provided a particle-optical arrangement comprising, similar to the arrangements described herein before, at least one charged-particle source for generating a beam of charged particles, and at least one multi-aperture plate having a plurality of apertures formed therein. A first focusing lens is arranged in a beam path of the beam of charged particles in-between the charged-particle source and the multi-aperture plate. The first focusing lens has an effect of reducing a divergence of the beam of charged particles generated by the source for illuminating the plurality of apertures formed in the multi-aperture plate with charged particles. The charged-particle beam downstream of the first focusing lens may be either a divergent beam or a parallel beam. However, a divergence or parallelity of the beam should correspond to a desired divergence or parallelity to a high accuracy. In practice, lens errors, such as an opening error or a chromatic error, contribute to a deviation from the desired divergence or parallelity. A decelerating electrode for providing a decelerating electrical field in a region between the first focusing lens and the multi-aperture plate is provided for decelerating the charged particles after passing the first focusing lens to a desired kinetic energy for passing the multi-aperture plate. Thus, the kinetic energy of the charged particles passing the focusing field is higher than the desired kinetic energy of the charged particles passing the multi-aperture plate. A possible advantage of such arrangement is a reduced contribution to a chromatic error of the first focusing lens at increased kinetic energies. The inventors have found that a focusing effect of a multi-aperture plate having a plurality of apertures formed therein may be well controlled and relatively accurately adjusted even when a kinetic energy of the electrons penetrating the multi-aperture plate is high. This may reduce chromatic aberration of a charged-particle beamlet traversing a respective aperture. Thus, according to a further embodiment of the invention, a kinetic energy of the electrons impinging on or traversing the multi-aperture plate may be higher than 5 keV, higher than 10 keV, higher than 20 keV or even higher than 30 keV. According to a further embodiment, the invention provides a particle-optical arrangement comprising, similar to the arrangements described hereinabove, at least one charged-particle source, at least one multi-aperture plate, and a first focusing lens providing a focusing field in a region upstream and/or downstream of the multi-aperture plate. The particle-optical arrangement further comprises an energy changing electrode for changing a kinetic energy of charged particles of the beam in a second region upstream and/or downstream of the multi-aperture plate. In view of reducing errors induced by the first focusing lens, the first region where the focusing field is provided and the second region where the energy changing field is provided are overlapping regions. According to an embodiment, the energy changing field is a decelerating electrical field for reducing the kinetic energy of the charged particles of the beam, and the overlapping regions are located substantially upstream of the multi-aperture plate. According to a further embodiment, the energy changing field is an accelerating field for increasing the kinetic energy of the charged particles of the beam, and the overlapping regions are located substantially downstream of the multi-aperture plate. An overlap between the energy changing field and the focusing field in the overlapping regions may be more than 1%, more than 5%, or more than 10%. The overlap between the energy changing field and the focusing field may be determined by plotting both a field strength of the focusing field and a field strength of the energy changing field along a beam axis as respective curves in arbitrary units and normalized such that peak values of both curves are at a same level. An overlapping area under both curves divided by the total area below one or the other curve may then be taken as a measure for the overlap. According to a further embodiment of the invention, there is provided a particle-optical arrangement comprising, similar to the arrangement described herein above, at least one charged-particle source, at least one multi-aperture plate, and a first focusing lens providing a focusing field in a region between the charged-particle source and the multi-aperture plate. The first focusing lens is provided for reducing a divergence of the charged-particle beam generated by the source upstream of the multi-aperture plate such that the beam immediately upstream of the multi-aperture plate has a remaining divergence. In other words, a cross section of the beam when passing the first focusing lens is smaller than a cross section of the beam when impinging on the multi-aperture plate. With such arrangement it is possible to illuminate apertures of a multi-aperture plate with a beam of a given cross section wherein the cross section of the beam passing the first focusing lens is smaller than the given cross section. This may have an advantage in that an opening error of the first focusing lens may be reduced as compared to a focusing lens collimating the beam for illuminating the given cross section to form a substantially parallel beam. According to some embodiments, a divergence of the beam immediately upstream of the multi-aperture plate may be higher than 0.5 mrad, higher than 1.0 mrad or even higher than 2 mrad, 5 mrad, or 10 mrad. It should be noted, however, that, according to some embodiments, a convergent illumination of the multi-aperture plate is advantageous. Applications for such convergent illuminations may be, in particular, in the field of electron lithography. In practice, a distance between adjacent centers of the apertures formed in the multi-aperture plate is a limited distance which may not be further reduced. If such multi-aperture plate is illuminated with a parallel beam, also a distance of adjacent foci of the beamlets downstream of the multi-aperture plate will correspond to the distance between adjacent apertures in the multi-aperture plate. By illuminating the multi-aperture plate with a convergent beam it is, however, possible to reduce the distance between adjacent foci of the beamlet while maintaining the distance between adjacent apertures of the multi-aperture plate at a same. This allows to form a beam spot pattern in an object plane of the apparatus such that the beam spots have very low distances from each other, that they may contact each other or that they even overlap with each other. Also a convergence of the illuminating beam may be in a range of higher than 0.5 mrad, higher than 1 mrad or even higher than 2 mrad. According to a further embodiment of the invention, there is provided a particle-optical arrangement comprising, similar to the arrangement described herein before, at least one charged-particle source for generating a beam of charged particles, at least one multi-aperture plate having a plurality of apertures formed therein, and a first focusing lens providing a focusing field portion in a region between the charged-particle source and the multi-aperture plate. The first focusing lens provides a magnetic field, and the charged-particle source is arranged within the magnetic field provided by the first focusing lens. With such arrangement with the charged-particle source being immersed in the magnetic field a lens error provided by the focusing field portion may be reduced. According to a preferred embodiment, the magnetic field portion in which the charged-particle source is provided is a portion with a substantially homogeneous magnetic field. According to a further embodiment of the invention, there is provided a particle-optical arrangement comprising, similar to the arrangements illustrated herein before, at least one charged-particle source for generating a beam of charged particles, and at least one multi-aperture plate having a plurality of apertures formed therein, wherein a plurality of charged-particle beamlets is formed downstream of the at least one multi-aperture plate such that the respective charged-particle beamlets each form a focus in a focus region of the multi-aperture plate downstream thereof. A second focusing lens provides a focusing field in the focus region wherein the focusing field has a focusing effect on the bundle of charged-particle beamlets. The second focusing lens may be necessary at some position downstream of the multi-aperture plate for some design reason according to which the particle-optical arrangement is designed. The position of the focusing field region of the second focusing field such that it coincides with the focus region of the multi-aperture plate may have an advantage in that an angular error of a respective beamlet at its focus, such as a chromatic error at the focus, has a reduced effect on the beamlet in a region downstream of the second focusing lens where an image of the focusing region is formed. According to a further embodiment of the invention, there is provided a particle-optical arrangement comprising, similar to the arrangements illustrated herein before, at least one charged-particle source and at least one multi-aperture plate for focusing charged-particle beamlets to each have a focus in a focusing region of the multi-aperture plate downstream thereto. An objective lens is provided for imaging the focusing region or an intermediate image thereof onto an object positionable in an object plane of the arrangement. By imaging foci of the charged-particle beamlets onto the object it is possible to obtain beam spots of comparatively low diameters on the object. Further, the apertures in the at least one aperture plate may be provided with diameters substantially greater than diameters of the beamlets in a region of the foci. Thus, it is possible to form the small foci of the beamlets with comparatively large aperture diameters. A ratio of the total area of the apertures over the total area of the aperture pattern is also comparatively high, accordingly. This ratio determines an efficiency of beamlet generation, i.e. a ratio of the total electron current of all beamlets over a total current of a beam illuminating the multi-aperture plate. Due to the large diameter apertures formed in the multi-aperture plate such efficiency will be comparatively high. According to a further embodiment of the invention, there is provided an electron-optical arrangement providing a function of a beam path splitter and beam path combiner, respectively. The arrangement may provide a primary beam path for a beam of primary electrons directed from a primary electron source to an object which is positionable in an object plane of the arrangement, and a secondary beam path for secondary electrons originating from the object. The primary and secondary beam paths may be beam paths for single or plural beams of electrons. For applications as illustrated herein above, the primary and secondary beam paths are preferable beam paths for a plurality of electron beamlets, however. The arrangement comprises a magnet arrangement having first, second and third magnetic field regions. The first magnetic field region is passed by both the primary and secondary electron beam paths and performs the function of separating those from each other. The second magnetic field region is arranged upstream of the first magnetic field region in the primary electron beam path and is not passed by the secondary electron path. The third magnetic field region is arranged in the secondary electron beam path downstream of the first magnetic field region and is not passed by the first electron beam path. The first and second magnetic field regions deflect the primary electron beam in substantially opposite directions and the first and third magnetic field regions deflect the secondary electron beam path in a substantially same direction. The arrangement has a low number of only three necessary magnetic field regions but may be still designed such that, for a given kinetic energy of the primary electrons and a given kinetic energy of the secondary electrons the arrangement provides electron-optical properties which are in first order stigmatic and/or in first order distortion free. According to a preferred embodiment, a deflection angle of the second magnetic field region for the primary electron beam path is higher than a deflection angle of the first magnetic field region for the primary electron beam path. Herein, it is further preferred that an intermediate image is not formed in the primary electron beam path between the first and second magnetic field regions. According to a further preferred embodiment, a first drift region, which is substantially free of magnetic fields, is provided in the primary electron beam path between the second and first magnetic field regions. According to a further preferred embodiment, a second drift region, which is substantially free of magnetic fields, is provided in the secondary electron beam path between the first and third magnetic field regions. It is, however, also possible, that substantially no second drift region is provided in the secondary electron beam path between the first and third magnetic field regions. If both the first and second drift regions are provided, it is then preferred that the second drift region is substantially shorter than the first drift region. According to a further preferred embodiment, a focusing lens is provided in-between of the first magnetic field region and the object plane, wherein the focusing lens is passed by both the primary and secondary electron beam paths. In view of an application of an electron microscope the focusing lens may be embodied as an objective lens. Herein, it is further preferred that at least one electrode is provided in both the first and second electron beam paths for decelerating the primary electrons before impinging on the object and for accelerating the secondary electrons after emerging from the object. With such electrode it is possible to change a kinetic energy with which the primary electrons impinge on the object while the kinetic energy of the primary electrons passing the magnet arrangement is maintained at a same value. Thus, it is possible to maintain the electron-optical properties of the beam path splitter/combiner at substantially same electron-optical properties while it is possible to change the kinetic energy of the primary electrons impinging on the object. A high accuracy of focusing the primary electrons on the object is achievable over a comparatively large range of kinetic energies of the primary electrons impinging on the object, accordingly. Herein, it is further preferred that the magnet arrangement comprises a fourth magnetic field region in the secondary electron beam path downstream of the third magnetic field region, wherein a magnetic field strength in the third magnetic field region is adjustable relative to a magnetic field strength in the first magnetic field region. The field strength in the fourth magnetic field region may be adjusted in dependence of the voltage supplied to the pair of electrodes. Since a change of the voltage supplied to the pair of electrodes changes the kinetic energy of the secondary electrons entering the magnet arrangement, the deflection angle of the first magnetic field region for the secondary electron beam path will also change. The possibility to adjust the field strength in the third and fourth magnetic field regions provides the possibility to compensate for such changes on the secondary electron beam path caused by changes of the voltage supply to the pair of electrodes. In fact, the fourth magnetic field region may provide a function of a compensating deflector. Further, the change of kinetic energies of the secondary electrons entering the magnet arrangement may result in a change of a quadrupole effect on the secondary electron beam path and caused by the first and third magnetic field regions. Preferably, at least one electron-optical component for compensating such change in the quadrupole effect is also provided in the secondary electron beam path. Such compensating component may be provided by one or two additional magnetic field regions provided in the secondary electron beam path, or one or two quadrupole lenses provided in the secondary electron beam path, or combinations of additional field regions and quadrupole lenses provided in the secondary electron beam path. According to a preferred embodiment there is provided a fifth magnetic field region in the secondary electron beam path downstream of the fourth magnetic field region, and a quadrupole lens downstream of the fifth magnetic field region. A field strength provided by the quadrupole lens and/or the fifth magnetic field region is preferably adjustable in dependence of the voltage supplied to the at least one electrode. According to a further preferred embodiment, an intermediate image of the object plane is formed by the secondary electrons in a region comprising the first, third, fourth and fifth magnetic field regions. In the exemplary embodiments described below, components that are similar in function and structure are designated as far as possible by similar reference numerals. Therefore, to understand the features of the individual components of a specific embodiment, the descriptions of other embodiments and of the summary of the invention should be referred to. FIG. 1 is a schematic diagram symbolically illustrating basic functions and features of an electron microscopy system 1. The electron microscopy system 1 is of a scanning electron microscope type (SEM) using a plurality of primary electron beamlets 3 for generating primary electron beam spots 5 on a surface of an object 7 to be inspected which surface is arranged in an object plane 101 of an objective lens 102 of an objective arrangement 100. Insert I1 of FIG. 1 shows an elevational view on object plane 101 with a regular rectangular array 103 of primary electron beam spots 5 formed thereon. In FIG. 1 a number of 25 primary electron beam spots 5 arranged in a 5×5-array 103 is shown. This number of primary electron beam spots is a low number for ease of illustrating the principles of the electron microscopy system 1. In practice, the number of primary electron beam spots may be chosen substantially higher, such as 30×30, 100×100 or others. In the illustrated embodiment the array 103 of primary electron beam spots 5 is a substantially regular rectangular array with a substantially constant pitch P1 in a range of 1 μm to 10 μm. It is, however, also possible that the array 103 is a distorted regular array or an irregular array or an array of some other symmetry, such as a hexagonal array. A diameter of the primary electron beam spots formed in the object plane 101 may be in a range of 5 nm to 200 nm. The focusing of the primary electron beamlets 3 to form the primary electron beam spots 5 is performed by the objective arrangement 100. The primary electrons incident on the object 7 at the beam spots 5 generate secondary electrons emanating from the surface of object 7. The secondary electrons form secondary electron beamlets 9 entering the objective lens 102. The electron microscopy system 1 provides a secondary electron beam path 11 for supplying the plurality of secondary electron beamlets 9 to a detecting arrangement 200. Detecting arrangement 200 comprises a projecting lens arrangement 205 for projecting the secondary electron beamlets 9 onto a surface plane 211 of an electron sensitive detector 207 of a detector arrangement 209. The detector 207 can be one or more selected from a solid state CCD or CMOS, a scintillator arrangement, a micro channel plate, an array of PIN diodes and others. Insert I2 of FIG. 2 shows an elevational view on image plane 211 and the surface of detector 207 where secondary electron beam spots 213 are formed as an array 217. A pitch P2 of array may be in a range of 10 μm to 200 μm. The detector 207 is a position sensitive detector having a plurality of detecting pixels 215. The pixels 215 are arranged in an array matching with array 217 formed by the secondary electron beam spots 213 such that each pixel 215 can detect an intensity of the secondary electron beamlet 9 associated therewith. The primary electron beamlets 3 are generated by a beamlet generating arrangement 300 comprising an electron source arrangement 301, a collimating lens 303, a multi-aperture plate arrangement 305 and a field lens 307. The electron source arrangement 301 generates a diverging electron beam 309 which is collimated by collimating lens 303 to form a beam 311 for illuminating multi-aperture arrangement 305. Insert I3 of FIG. 1 shows an elevational view of multi-aperture arrangement 305. Multi-aperture arrangement comprises a multi-aperture plate 313 having a plurality of apertures 315 formed therein. Centers 317 of apertures 315 are arranged in a pattern 319 which electron-optically corresponds to pattern 103 of the primary electron beam spots 5 formed in object plane 101. A pitch P3 of array 319 may be in a range of 5 μm to 200 μm. Diameters D of apertures 315 may be in a range of 0.2×P3 to 0.5×P3, a range of 0.3×P3 to 0.6×P3, a range of 0.4×P3 to 0.7×P3, a range of 0.5×P3 to 0.7×P3, a range of 0.5×P3 to 0.6×P3, a range of 0.6×P3 to 0.7×P3, a range of 0.7×P3 to 0.8×P3, and/or 0.8×P3 to 0.9×P3. Electrons of illuminating beam 311 passing through apertures 315 form the primary electron beamlets 3. Electrons of illuminating beam 311 impinging on plate 313 are intercepted from a primary electron beam path 13 and do not contribute to form the primary electron beamlets 3. As illustrated so far, it is one function of the multi-aperture arrangement 305 to form the plurality of primary electron beamlets 3 from the illuminating beam 311. One further function of the multi-aperture arrangement is to focus each primary electron beamlet 3 such that foci 323 are generated in a focus region or focus plane 325. Insert I4 of FIG. 1 shows an elevational view of focus plane 325 with foci 323 arranged in a pattern 327. A pitch P4 of this pattern may be a same or different from pitch P3 of pattern 319 of multi-aperture plate 313 as will be understood from the following specification. A diameter of foci 323 may be in a range of 10 nm to 1 μm. Field lens 307 and objective lens 102 together perform a function of imaging focus plane 325 onto object plane 101 to form the array 103 of primary electron beam spots 5 of a low diameter on the object 7 for achieving a high resolution of secondary electron images generated by detecting intensities of the secondary electron beamlets 9 by detector arrangement 209. A beam splitter/combiner arrangement 400 is provided in the primary electron beam path 313 in-between the beamlet generating arrangement 300 and objective arrangement 100 and in the secondary electron beam path 11 in-between the objective arrangement 100 and the detecting arrangement 200. FIG. 2 shows cross sections of some of a plurality of possible embodiments of multi-aperture arrangement 305. FIG. 2a shows a multi-aperture arrangement 305 having one single multi-aperture plate 313 with plural apertures 315 formed therein. Such single multi-aperture plate 313 may perform both the functions of generating the primary electron beamlets 3 from an illuminating beam 311 and of focusing the primary electron beamlets 3 downstream of multi-aperture plate 313. A focus length f provided by each aperture 315 may be estimated according to the formula f = - 4 ⁢ U Δ ⁢ ⁢ E wherein U is the kinetic energy of electrons passing multi-aperture plate 313 and ΔE represents a difference in electric field strengths provided upstream and downstream of multi-aperture plate 313. FIG. 2b shows a multi-aperture arrangement 305 having four multi-aperture plates 3131, 3132, 3133, 3134 arranged spaced apart from each other in a direction of the primary electron beam path 13. Each of the multi-aperture plates 3131, . . . , 3134 has a plurality of apertures 315 formed therein wherein the apertures 315 are centered with respect to common central axis 317 extending in a direction of the primary electron beam path. Multi-aperture plate 3131 is illuminated by illuminating beam 311, and the apertures 315 formed therein are of a diameter for selecting and generating the primary electron beamlets from the illuminating beam 311. Plate 3131 may be supplied with an electrical voltage substantially equal to a potential or kinetic energy of the electrons of the illuminating beam 311. The apertures 315 formed in each of plates 3132, 3133, 3134 are of an equal diameter larger than the diameter of apertures 315 formed in illuminated plate 3131. Plates 3132 and 3134 are thin plates and plate 3133 has a higher thickness than plates 3132 and 3134. Equal voltages may be supplied to plates 3132 and 3134, and a voltage different therefrom may be supplied to plate 3133, such that a function of an Einzel-lens is performed on each primary electron beamlet selected by illuminated plate 3131. FIG. 2c shows a multi-aperture arrangement 305 having an illuminated multi-aperture plate 3131 with small diameter apertures 315 for selecting primary electron beamlets formed therein. Two multi-aperture plates 3132 and 3133 having a greater thickness than illuminated aperture 3131 are provided downstream of illuminated multi-aperture plate 3131 for performing a function of an immersion lens on each primary electron beamlet. Different voltages will then be supplied to plates 3132 and 3133 for achieving the focusing function of the multi-aperture arrangement 305 during operation thereof. FIG. 2d shows a variant of the immersion lens type multi-aperture arrangement shown in FIG. 2c. The arrangement shown in FIG. 2c may have a disadvantage in that electrical fields generated along a given axis 317 due to the different voltages applied to plates 3132, 3133 will be effected by stray fields of corresponding fields generated along directly adjacent or more distant axes 317. These stray fields will usually not have a rotational symmetry about given axis 317 such that the function of the round lens provided by the immersion lens arrangement is adversely effected. The multi-aperture arrangement 305 of FIG. 2d has an insulating spacer 331 sandwiched between multi-aperture plates 3132 and 3133 wherein a conductive layer 333 covers an interior of apertures 315 in insulating spacer 331. The conductive layer 315 is sufficiently conductive for performing a screening function for generating stray fields and for screening remaining stray fields generated by adjacent apertures. According to an embodiment, the arrangement 305 of FIG. 2d may be manufactured as follows: a plate-shaped silicon substrate is provided as the insulating spacer 331; a silicon oxide layer is formed on both the upper and lower surfaces of the plate; upper 3132 and lower 3133 metal layers are deposited on the upper and lower silicon oxide layer, respectively; a resist pattern defining the apertures 315 is provided on the upper metal layer 3132; apertures 315 are formed in the upper metal layer 3132 by metal etching, using a conventional etching agent; corresponding apertures are formed in the upper silicon oxide layer by silicon oxide etching, using a conventional etching agent; apertures 315 are formed in the silicon substrate by silicon etching, using a conventional etching agent; corresponding apertures are formed in the lower silicon oxide layer by silicon oxide etching; apertures 315 are formed in the lower metal layer 3133 by metal etching to finally form a through-hole through the structure of the silicon substrate and the silicon oxide and metal layers provided thereon. Subsequently an oxidation process is performed for depositing an oxide layer on the surfaces of the upper 3132 and lower 3133 metal layers, and for depositing an oxide layer on the interior wall of the through-hole. Finally, a resistive layer is deposited on the oxide layer deposited on the interior wall of the through-hole. A sputtering process may be used for depositing the resistive layer. The resistive layer is deposited such that a resistance between upper 3132 and 3133 metal layer is in a range of 250 Ω to 8 MΩ. A rapid thermal oxidation (RTO) process or an electrochemical oxidation process may be used for depositing the oxide layer. FIG. 3 is a further schematic diagram of an embodiment of beamlet generating arrangement 300. As shown in FIG. 3, an electron source arrangement 301 generates a highly divergent electron beam 309 originating from a virtual source 329. In the illustrated embodiment, the electron source is of a thermal field emission (TFE) type having an angular intensity of 1 to 3 mA/sr and an emission half angle of 100 mrad. A collimating lens 303 is arranged in a beam path of divergent beam 309 and has a focusing power such that highly divergent beam 309 is transformed to an illuminating beam 311 of a reduced divergence. Divergent illuminating beam 311 then illuminates an illuminated region F1 of a multi-aperture plate 313 of multi-aperture arrangement 305. The illumination of multi-aperture plate 313 with diverging beam 311 has the following advantages over an illumination of region F1 with a parallel beam: A cross section F2 traversed by the beam 309, 311 in collimating lens 303 is substantially smaller than the illuminated area F1. A collimating lens of a reduced diameter may be used as compared to an illumination with the parallel beam, thus reducing opening errors introduced by collimating lens 303. Further, a focusing power of collimating lens 303 may be reduced as compared to a focusing lens for transforming divergent beam 309 to a parallel beam which also contributes to reducing errors introduced by collimating lens 303. Further, a decelerating electric field region 321 indicated by a cross-hatched area in FIG. 3 is provided upstream of multi-aperture plate 313. The electrons of illuminating beam 311 are decelerated in decelerating field region 317 to a desired kinetic energy designed such that foci 323 of the primary electron beamlets 3 are formed in a focus plane 325 downstream of multi-aperture arrangement 305. As a consequence the primary electrons have a higher kinetic energy when passing collimating lens 303 such that a chromatic error (ΔE/E) of collimating lens 303 may also be reduced. Field lens 307 is arranged such that a location of a focusing effect thereof coincides with focus plane 325 or the focus region where the foci 323 of the primary electron beamlets 3 are formed by the multi-aperture arrangement 305. This has an advantage that a lens error, such as a chromatic error, of field lens 307 has a reduced effect on the primary electron beam spots 5 formed on object 7 arranged in object plane 101. Such chromatic error of field lens 307 will result in an angular error of electron beams starting at foci 323. Since, however, the foci 323 are imaged onto the object 7 such angular errors will have no effect, and also electron beams starting with an angular error from foci 323 will hit the object plane substantially at a correct image position corresponding to a position of the respective 323. The angular error generated by field lens 307 will then only effect a landing angle of the primary electron beamlets 3 at the primary electron beam spots 5 formed on the object 7. Positions of the beam spots are not effected by such error. FIG. 4 schematically illustrates a further variant of a structure of a primary electron beamlet generating arrangement 300. A virtual source 319 is arranged on a z-axis in a beam liner tube 339 having a downstream end flange 340. A multi-aperture plate 313 is mounted in a center of a cup-shaped electrode 341. The electrons are extracted from source 319 with a voltage of 30 kV and between flange 340 and electrode 341 a retarding field of about 350 V/mm is generated upstream of multi-aperture plate 313. FIG. 5 shows some physical properties of the arrangement 300 of FIG. 4 plotted along the z-axis in arbitrary units. A curve 342 indicates the decelerating electrical field generated between electrodes 340, 341. In FIG. 5 the source 319 is located at z=0 mm and the multi-aperture plate is located at z=270 mm. The source 319 is immersed in a magnetic field of collimating lens 303. A curve 343 in FIG. 5 shows the magnetic field strength oriented in z-direction and generated by collimating lens 303 in dependence of the position along the z-axis. As can be seen from FIG. 5, the source 319 is located in a portion of the field generated by the lens where Bz is substantially constant. Such constant magnetic field has only a low focusing effect and a very low aberration on the electrons emitted from the source 319. The main focusing effect is achieved at those portions of the magnetic field Bz where the same has a substantial gradient. From FIG. 5 it appears that the focusing function of collimating lens 303 is provided at z-positions from about 200 mm to 300 mm. The focusing power of collimating lens 303 coincides with the decelerating electrical field 342 generated by electrodes 340, 341. Such coinciding focusing magnetic field and decelerating electrical field allows to provide a focusing function on the primary electron beam while maintaining optical errors introduced therewith at a low level. This is evident from a line 344 shown in FIG. 5 indicating the development of a chromatic error Cs of the optical arrangement along the z-axis. Cs is zero at z=0 and increases with increasing values of z. Due to the overlapping magnetic and electrical field regions it is possible to reduce Cs to a value close to zero at a position 345 at about z=230 mm. Downstream of this position 345 Cs then continuously increases again. FIG. 6 shows a further variant of a primary electron beamlet generating arrangement 300 having a electron source 319 immersed in a constant portion of a magnetic field of a collimating lens 303 and within a beam tube 339 having a downstream flange electrode 340. Electrode 340 is opposite to an electrode 341 provided as an upstream flange of a further beam tube 348. A multi-aperture arrangement 305 is provided in beam tube 348 close to a downstream end thereof. Between electrodes 340 and 341 a decelerating electrical field is generated which overlaps with a focusing gradient magnetic field generated by collimating lens 303. At a surface of multi-aperture arrangement a remaining electrical field is relatively small. The multi-aperture arrangement 305 generates a plurality of primary electron beamlets (not shown in detail in FIG. 6) each having a focus in a focus plane 325. FIG. 7 shows a pattern 319 of apertures 315 formed in a multi-aperture plate 313, similar to insert I3 of FIG. 1. Each non-periperhal aperture “a” has four directly neighboring apertures “b”, “c”, “d” and “e”, and it has four second closest neighboring apertures “f”, “g”, “h” and “i”. FIG. 7 indicates a basic array vector [10] in which apertures 315 are arrayed at the closest neighbor pitch, and FIG. 7 indicates a basic array vector [11] in which the apertures 315 are arrayed with a second closest neighbor pitch. From FIG. 7 it can be seen that stray fields generated by apertures “b” through “i” adjacent to given aperture “a” have a fourfold symmetry about a center 317 of the given aperture. These stray fields will cause a distorting effect on the focusing performance on the beamlet which passes through given aperture “a”. FIG. 8 illustrates an embodiment for correcting such multipole stray fields generated by apertures adjacent to given aperture “a”. Aperture “a” has a basic circular shape wherein additional features having a fourfold symmetry are arranged about center 317 of given aperture “a” are provided about “a” circumference of aperture “a”. The additional features are formed as shaped protrusions 351 of the aperture into plate 313. Additional features 351 have an influence on stray fields generated by the apertures provided with the additional features. The additional features are designed such that, if the same are provided to each of apertures “a” through i, a multipole component of the stray fields generated with respect to given aperture “a” are reduced. The additional features having the same symmetry as the closest neighbors of a given aperture may be provided at an aperture of any basic shape. For instance, the basic shape may be circular, elliptical or of some other shape. FIG. 9 shows a further embodiment of reducing an effect of stray fields having a multipole characteristic. Again, apertures 315 are arranged in a rectangular regular array pattern 319. Apertures 315 (5×5-apertures in the example of FIG. 9) are involved in manipulating electron beamlets passing therethrough. At interstitial positions between apertures 315 smaller field correcting apertures 353 are formed. The field correcting apertures 353 also form a rectangular regular grid of a same pitch as grid 319. The grid of the field correcting apertures 353 is displaced from grid 319 of the apertures by one half of a pitch. A diameter of the field correcting apertures 353 is determined such that a multipole characteristic of stray fields generated by both the apertures 315 and the field correcting apertures 353 is reduced as compared to the situation shown in FIG. 7 where no field correcting apertures 353 are provided. FIG. 10 shows a cross section through the multi-aperture arrangement 305 shown in FIG. 9. The multi-aperture arrangement 305 comprises an insulating spacer 331 sandwiched between two multi-aperture plates 3131 and 3132. The apertures 315 are formed as through-holes through all of the multi-aperture plates 3131, 3132 and the insulating spacer 331, whereas the field correcting apertures 353 are only formed in the upper multi-aperture plate 3131 exposed to an illuminating electron beam 311, and in the insulating spacer 331. The multi-aperture plate 3132 does not have apertures formed at those positions corresponding to positions of apertures 353 formed in the upper multi-aperture plate 3131 and in the insulating spacer 331. According to an embodiment, the multi-aperture arrangement 305 shown in FIG. 10 may be manufactured by a method such as a lithographic process wherein a substrate, such as a mono-crystalline silicon substrate having a surface oriented in a (110) lattice plane of the substrate, forming insulating spacer 331 is provided on both surfaces thereof with a metallization layer forming multi-aperture plates 3131 and 3132, respectively. A resist pattern defining the apertures 315 is provided on metallization layer 3131, and a first etching step is performed with a conventional first etching agent which etches metal; a second etching step is performed with a conventional second etching agent which etches silicon, and a third etching step is performed with the first etching agent to form the through-holes of apertures through all of the layers 3131, 331 and 3132. Thereafter, the resist pattern corresponding to the pattern of the field correcting apertures 353 is provided on plate 3131 and etching is performed with the first etching agent through upper layer 3131. Thereafter, etching is continued with the second etching agent which etches only silicon and does not etch metal. Thus, apertures 353 are formed through silicon substrate 331, and etching is stopped at the bottom of apertures 353 in the silicon substrate; lower metal layer 3132 has a function of an etch stop, accordingly. A multi-aperture component as shown in one of FIGS. 2a, 2b, 2c, 2d and in FIG. 10 may be obtained, for example, from Team Nanotec GmbH, 78052 Villingen-Schwenningen, Germany. Now reference is made to FIG. 7 again. The central aperture of the aperture array 319 is surrounded by two rows of further apertures adjacent thereto at upper, lower, left and right sides. In contrast thereto central peripheral aperture “g” does not have any adjacent apertures at its right side, and upper peripheral aperture “f” does not have adjacent apertures provided at its upper and right sides. The surrounding electrical field will be different for central aperture “h”, central peripheral aperture “g” and upper peripheral aperture “f”. Thus, apertures “h”, “g” and “f” will have different beam-manipulating effects on the respective beamlets passing therethrough. Such differences will be particularly increased for apertures close to a periphery of pattern 319 of the beam-manipulating apertures. FIG. 9 shows one embodiment of the invention that reduces such influences on peripheral beam-manipulating apertures. The array 319 (5×5-apertures in the illustrated example) is surrounded by additional apertures 354. In FIG. 9 one row of additional apertures 354 is formed around a periphery of array pattern 319. It is, however, possible to provide two or more rows of additional apertures 354 around the periphery of array 319. The additional apertures 354 have an effect that the peripheral apertures “i”, “b”, “f”, “c”, “g” of the array pattern 319 have adjacent apertures on all of the upper, lower, left and right sides, thus reducing the periphery effect illustrated above. The additional apertures 354 may be arranged as a continuation of pattern 319, i.e. they are provided with a same pitch as array 319, and the additional apertures 354 have the same diameters as those apertures “i”, “b”, “f”, “c”, “g”, . . . located at the periphery of array 319. It is, however, possible to provide the additional apertures 354 with some other pattern and diameters around the periphery of the pattern 319 of apertures 315. The additional apertures 354 may be formed in a similar manner to the field correcting apertures 353, i.e. not formed as through-holes through the multi-aperture arrangement 305 as indicated in FIG. 10. Thus, there will be no primary electron beamlets emerging from the additional apertures 354. It is, however, also possible to form the additional aperture 354 as through-holes through the multi-aperture arrangement 305 such that also the additional apertures 354 generate primary electron beamlets downstream thereof. The beamlets formed by the additional apertures 354 may then be intercepted by some other means, such as a suitable stop, provided downstream of the multi-aperture arrangement. It will be also possible to form the illuminating beam 311 such that only the pattern 319 of the apertures 315 is illuminated with the illuminating beam and such that the additional apertures 354 will not be illuminated by the illuminating beam 311. FIG. 11 shows, similar to FIG. 7, an elevational view on a multi-aperture plate 313 having a plurality of beam-manipulating apertures 315 formed therein. The apertures 315 are arranged in an array 319 which is a regular hexagonal array (like honeycomb). A given aperture “a” is surrounded by six closest neighboring apertures 315 such that stray fields caused by the surrounding apertures at a position of the given apertures have a sixfold symmetry. Compared to the rectangular array of FIG. 7 having a fourfold symmetry, the sixfold symmetry is of a higher order such that the multipole effect of stray fields generated in the hexagonal array are substantially reduced when compared to the rectangular array. Reference is now made to FIG. 1 again. FIG. 1 is a schematic and idealized sketch for illustrating the main functions of the electron microscopy system 1. Insert I3 of FIG. 1 shows the apertures 315 of multi-aperture arrangement 305 arranged in a regular rectangular pattern 319 of equal pitch, resulting in primary electron beam spots 5 also arranged in a rectangular regular pattern 103 of equal pitch. Patterns 319 and 103 electron-optically correspond to each other in that sense that the primary electron beam path 13 supplies the primary electron beamlets 3 generated according to pattern 319 onto the substrate 7 by electron-optical components to form the pattern 103 on the object. The electron-optical components involved therein comprise the electron source arrangement 301, the collimating lens 303, the multi-aperture arrangement 305, the field lens 307, the beam splitter arrangement 400 and the objective arrangement 100. In practice, these electron-optical components introduce imaging errors such that the rectangular regular pattern will not be transformed into the exactly regular rectangular pattern 103. FIG. 12 for illustration gives an example of an extremely distorted pattern 103 of primary electron beam spots that will be formed in practice from the regular rectangular pattern 319 according to the insert I3 of FIG. 1. Beam spots 5 will not be arranged in a regular rectangular pattern, and grid lines 107 of pattern 103 will be curved lines such that a pitch between adjacent beam spots 5 increases with an increasing distance from a center 109 of pattern 103. Thus, pattern 103 has a “lower regularity” or progressively larger aperture displacement errors, the further each aperture is away from the array center as compared with pattern 319 of FIG. 1, I3. FIG. 13 shows a variant of an array arrangement 319 of apertures 315 of multi-aperture plate 313 which may be used to correct a distortion of the pattern 103 of beam spots 5 shown in FIG. 12. The apertures 315 of multi-aperture plate 313 are positioned along grid lines 357 having a curvature opposite to the curvature of grid lines 107 of pattern 103 shown in FIG. 12. Apertures 315 are positioned at a pitch distance from adjacent apertures. In this example, the pitch distance decreases with increasing distance from a center 358 of pattern 319. Pattern 319 is designed such that the primary electron beamlets generated thereby result in a rectangular regular pattern 103 of beam spots 5 formed on the object plane, as shown in FIG. 1, I1. In an embodiment of the electron microscopy system 1 shown in FIG. 1 it may be sufficient, however, to improve the regularity of beam spot pattern 103 only to such an extent that pattern 103 has a reduced distortion or improved regularity, respectively, while it is still not of a perfectly regular rectangular array. For instance, a regularity in only one direction of the pattern, such as the horizontal direction, or some other suitable direction may be improved. A regularity in such direction may be determined, for instance, by some mathematical method well known in the art, such as a Fourier analysis. FIG. 14 shows a further example (also exaggerated for illustration) of a resulting pattern 103 of beam spots 5 formed on the object plane. In this example the electron-optical components involved in forming the pattern 103 introduce a field astigmatism such that the beamlets or beam spots are not formed as small circular spots for each primary electron beam spot 5 of the pattern 103. Moreover, beam spots 5 are of an elliptical or oval shape with a long axis thereof which increases with increasing distance from a center 109 of pattern 103. A desired high resolution of the electron microscopy system 1 illustrated in FIG. 1 may not be achieved with distorted beam spots. FIG. 15 shows a variant of a pattern 319 of apertures 315 of a multi-aperture plate 313 which may be used for compensating such effect of field astigmatism. Apertures 315 are of an elliptical shape having a long axis increasing with a distance from a center 358 of pattern 319 wherein an orientation of the long axis 1 with respect to center 358 is transverse to the orientation of long axis 1 of beam spots 5 as shown in FIG. 14. With such compensating elliptical or oval shapes it is possible to reduce an influence of a field astigmatism provided by the electron-optical components such that an ellipticity of beam spots 5 formed on object plane 101 will be reduced. As illustrated in FIG. 1 it is one feature of the electron microscopy system 1 that spot plane 325 where foci 323 of the primary electron beamlets are generated by the multi-aperture arrangement 305 is imaged into an object plane 101 in which the surface of the object 7 to be inspected is positioned. Preferably, object plane 101 and the surface of the object 7 coincide. In practice, the electron-optical components symbolically illustrated as M in FIG. 16, contribute to a field curvature of the electron-optical system such that flat plane 325 of foci 323 is imaged into a curved plane 101 close to the object surface 7. It is then not possible that the curved object plane 101 coincides with the flat surface of object 7, and the foci 323 are not perfectly imaged onto the surface of object 7, accordingly. FIG. 17 shows one solution to such problem of field curvature of the optical components M involved in imaging the focus plane 325 onto object surface 7. Multi-aperture arrangement 305 is designed such that the plane 325 where the foci 323 of the primary electron beamlet 3 are generated is a curved plane. The curvature of focus plane is chosen such that the optical components M image plane 325 into a flat image plane 101 such that it is possible to position the object planar surface 7 to coincide with flat image plane 101. FIG. 18 shows one variant of a multi-aperture plate 313 of the multi-aperture arrangement 305 for compensating a field curvature by generating foci 323 of beamlets 3 on a curved focus plane 325 as shown in FIG. 17. For such purpose a diameter “d” of the apertures 315 increases with increasing distance from a center 358 of aperture pattern 319. The increase in diameter of the apertures results in a reduced focusing power of a respective aperture and in an increased focal length of the lens function provided by the respective aperture 315. Thus, the focal length provided by central apertures of pattern 319 are smaller than focal lengths provided by apertures 315 at the periphery of pattern 319, resulting in a curvature of the plane 325 where the foci 323 are located as indicated in FIG. 17. It is to be noted that in the example shown in FIGS. 17 and 18 the effect of the field curvature is compensated by diameters of the apertures increasing with the distance from the center 358 of pattern 319. However, depending on the optical properties of the optical components M involved in imaging focus plane 325 into object plane 101 it may be advantageous to have the aperture diameters “d” decreasing with increasing distance from center 358. It may also be advantageous that with increasing distance from the center 358 the diameters increase to a predetermined distance from the center and decrease thereafter. Further, it is not necessary that the diameters change symmetrically with respect to center 358 of pattern 319. It is also possible that diameters change from the left to the right of pattern 319 or from up to down or vice versa or any combinations thereof. Further, changes in diameters of apertures 315 may be also used to account for variations in an electron density in the illuminating beam 311. For instance, if illuminating beam 311 is a non-homogeneous beam with a highest density in its center, the arrangement as shown in FIG. 18 will increase a beam strength of peripheral beamlets 3 with respect to central beams such that all primary electron beamlets 3 may have a substantially same beam strengths or beam current. FIG. 19 is a further variant of a multi-aperture arrangement 305 which may be used for providing a curved focus plane 325 as indicated in FIG. 17. A multi-aperture plate 313 is divided into a central circular plate portion 3620 and a plurality of concentric ring-shaped or annular plate portions 3621, 3622, . . . Adjacent plate portions 362 are electrically insulated from each other, and in each plate portion 362 a plurality of apertures 315 is formed. A voltage supply 361 is provided for supplying pre-defined voltages U0, U1, U2, . . . to the respective plate portions 3620, 3621, 3622, . . . According to an embodiment, the voltage supply 361 comprises a constant current source 363 and a plurality of resistors R1, R2, R3 . . . and a fixed voltage point 364 such that voltages U0, U1, U2 differ from each other. Constant current I and resistors R1, R2, . . . are chosen such that a focal length of the lens function provided by the respective apertures 315 increases with increasing distance from a center 358 of aperture pattern 319. According to an alternative embodiment, separate voltage sources may be provided for supplying voltages U0, U1, U2, . . . to the plate portions 3621, 3622, . . . The ring-shaped plate portions 3621, 3622, . . . are electrically insulated from each other by an insulating gap 365 indicated in insert I of FIG. 19. The insulating gap 365 extends in a zigzag line between adjacent apertures 315. It is to be noted that the above-mentioned features of shapes and designs of apertures of the multi-aperture plate may be combined with each other. For instance, an aperture may be of an elliptical shape as shown in FIG. 15 and may comprise additional shape features as shown in FIG. 8. Further, the array arrangement of the apertures may have aperture positions chosen such that a higher regularity spot pattern is formed on the wafer while the respective apertures in such array are of elliptical shape or have changing aperture diameters, as shown in FIG. 18, and have additional shape features as shown in FIG. 8. A multi-aperture plate having properties as illustrated above may be manufactured by a MEMS technology known to the person skilled in the art. Such technology may involve reactive ion etching. The multi-aperture plate according to one embodiment of the invention may be obtained, for example, from Team Nanotec GmbH, 78052 Villingen-Schwenningen, Germany. FIGS. 20a to 20e show further variants of multi-aperture arrangement 305 for providing foci of electron beamlets 3 located on a curved focus plane 325. The multi-aperture arrangement 305 shown in FIG. 20a comprises a multi-aperture plate 313 having a plurality of apertures 305 formed therein for generating electron beamlets 3 and focusing the same at foci 323 located at a focus plane 325 which is a curved plane. A focal length f of an aperture 305 may be calculated by f = - 4 ⁢ U Δ ⁢ ⁢ E ,wherein U is the kinetic energy of the electrons of illuminating beam 311 when passing multi-aperture plate 313, and ΔE may be written as E1-E2 wherein E1 is an electrical field strength immediately upstream of multi-aperture plate 313 at a location of the respective aperture, and E2 is the electrical field strength immediately adjacent downstream of the aperture plate 313 at the same location. Since the kinetic energy U is substantially constant over the cross section of illuminating beam 311 electrical fields E1 and E2 adjacent to the multi-aperture plate 313 may be shaped such that the focal length f provided by a respective aperture 315 depends from a position of the aperture across illuminating beam 311. Such shaping of the electrical fields E1 and E2 may be achieved by one or plural single-aperture plates 367 positioned at a distance upstream or downstream from multi-aperture plate 313. In FIG. 20a one single-aperture plate 367 is positioned at a distance upstream of multi-aperture plate 313 and an aperture 368 formed in single-aperture plate 3671 is chosen such that illuminating beam 311 penetrates aperture 368 to illuminate the apertures 315 formed in multi-aperture plate 313. A further single-aperture plate 3672 is positioned at a distance downstream from multi-aperture plate 313, and a still further single-aperture plate 3673 is positioned at a distance downstream of single-aperture plate 3672. Apertures 368 formed in single-aperture plate 3672, 3673 are designed such that the beamlets 3 generated by multi-aperture plate 313 may pass the apertures 368. A voltage supply (not shown in FIG. 20) is provided to supply a voltage of 30 kV in the illustrated example or some other suitable voltage to single-aperture plate 3671, a voltage of 9 kV in the illustrated example or some other suitable voltage to multi-aperture plate 313, a voltage of 9 kV to single-aperture plate 3672 and a voltage of 30 kV to single-aperture plate 3673. Field lines of electrical field E1 generated by plates 313 and 3671 upstream of multi-aperture plate 313 are indicated in FIG. 20a as well as field lines of electrical field E2 generated by plates 313, 3672, 3673 downstream of multi-aperture plate 313. E1 is substantially constant across the cross section of illuminating beam 311 at positions close to multi-aperture plate 313. Electrical field E2 has a stronger dependence on a lateral position on the multi-aperture plate 313 as indicated by a field line 369 having a curved shape and penetrating from a space between single-aperture plates 3672, 3673 into a space between multi-aperture plate 313 and single-aperture plate 3672. An aperture 305 positioned at a center of the aperture pattern will have a shorter focal length f than an aperture 305 positioned at a periphery of the aperture pattern, resulting in foci 323 of beamlet 3 located on a curved focus plane 325 as indicated broken lines in FIG. 20a. FIG. 20b shows a multi-aperture arrangement 305 of a same structure as that shown in FIG. 20a. Different therefrom, single-aperture plate 3671 is supplied with a same voltage of 9 kV as multi-aperture plate 313, such that electrical field E1 upstream of multi-aperture plate 313 is substantially zero. Due to the non-homogeneous electrical field E2 downstream of multi-aperture plate 313 the focal length of apertures 315 varies as shown in FIG. 20b such that the focus plane 325 is a curved plane. The multi-aperture arrangement 305 shown in FIG. 20c comprises one multi-aperture plate 313 and two single-aperture plates 3671 and 3672 positioned upstream of multi-aperture plate 313. One single-aperture plate 3673 is provided downstream multi-aperture plate 313. Voltages of 30 kV are supplied to single-aperture plates 3671 and 3673, and voltages of 9 kV are supplied to single-aperture plate 3672 and multi-aperture plate 313. Upstream electric field E1 is strongly inhomogeneous at locations close to multi-aperture plate 313 such that a focal length of the respective apertures 315 depends on their lateral position in the illuminating beam 311, resulting in a focus plane 325 suitably curved for correcting a field curvature as illustrated in FIG. 17. The multi-aperture arrangement 305 shown in FIG. 20d is of a similar structure than the arrangement shown in FIG. 20c. In contrast thereto a voltage of 9 kV is supplied to downstream single-aperture 3673 such that a substantially vanishing electrical field E2 is generated downstream of multi-aperture plate 313. Still, the inhomogeneous electrical field E1 provided upstream of multi-aperture plate 313 results in the desired variation of the focal lengths of respective apertures across the illuminating beam cross section. In FIGS. 20a to 20d the multi-aperture plate 313 is at a lower potential (9 kV) as compared to the outer single-aperture plates 3671, 3673, respectively (30 kV). This results in a focusing effect of the apertures 315 such that real foci 323 are generated downstream of the multi-aperture plate 313. In contrast thereto a multi-aperture arrangement 305 shown in FIG. 20e has a multi-aperture plate 313 supplied with 30 kV and a single-aperture plate 3671 upstream and a single-aperture plate 3673 downstream of multi-aperture plate 313 are supplied with a lower potential of 9 kV. This results in a defocusing effect of apertures 315 formed in multi-aperture plate 313 such that virtual foci 323 located on a curved focus plane 325 upstream of the multi-aperture plate within the beam path of illuminating beam 311 are generated. Even though the foci 323 shown in FIG. 20e are virtual foci, it is still possible to image these virtual foci 323 onto the object to be inspected, wherein the curvature of focus plane 325 is designed such that a field curvature is compensated for, as illustrated in FIG. 17. In the above variants shown in FIG. 20 the voltages of 9 kV and 30 kV are merely exemplary voltages, and it is possible to supply the plates 313 and 367 with voltages different therefrom. For instance, the single-aperture plates 3672 may be supplied with voltages which are even slightly lower than the voltage which is supplied to multi-aperture plate 313 and which are lower than the high voltages supplied to plates 3671, 3673 in FIG. 20a and FIG. 20c and supplied to plate 3673 in FIGS. 20a, 20b and 20c. FIG. 21 is a schematic illustration of the primary electron beam path 13 between focus plane 325 and object plane 101 in which object surface 7 is positioned, wherein the beam path in the beam splitter is shown unfolded for ease of representation. Downstream of field lens 307 coinciding with focus plane 325 primary electron beam path 13 is a converging beam path having a cross-over in an intermediate plane 111 upstream of objective lens 102 and downstream of beam splitter/combiner arrangement 400 wherein the beam path passes an upstream magnetic field portion 403 and a downstream magnetic field portion 407 as illustrated below. FIG. 22 is a schematic illustration of beam splitter arrangement 400 and objective lens 102. The primary electron beam path 13 comprising the plurality of primary electron beamlets enters a first magnetic field portion 403 of beam splitter/combiner arrangement 400. In field portion 403 there is provided a homogeneous magnetic field deflecting the primary electron beam path by an angle α to the left. Thereafter the primary electron beam path 13 passes a drift region 405 which is substantially free of magnetic fields such that the primary electron beam path 13 follows a straight line in drift region 405. Thereafter the primary electron beam path 13 enters a field region 407 in which a homogeneous magnetic field is provided for deflecting the primary electron beam path 13 by an angle β to the right. Thereafter, primary electron beam path 13 enters the objective lens 102 for focusing the primary electron beamlets onto the surface of object 7 positioned in object plane 101. The objective lens arrangement 100 comprises a magnetic lens group having a magnetic focusing function and an electrostatic lens group 115 having an electrostatic focusing function on the primary electron beamlets. Further, the electrostatic lens group 115 comprising an upper electrode 117 and a lower electrode 119 performs a decelerating function on the primary electrons by an electrical field generated between electrodes 117 and 119 for decelerating the primary electrons before impinging on object surface 7. A controller 121 is provided for changing the voltage supplied to lower electrode 119 such that the kinetic energy with which the primary electrons impinge onto the object, the landing energy, may be adjusted in a range of about 0,3 keV to 2,0 keV. The kinetic energy with which the primary electrons pass the beam splitter/combiner arrangement 400 is constant and independent of the landing energy of the primary electrons on the object surface and of a value of 30 keV in the present example. Field portion 403 extends over a length L1, drift region extends over a length L2, second field portion 407 extends over a length L3 and a distance between a lower edge of second field portion 407 and object plane 101 is L4 in the present example. L1 is about 75 mm, L2 is about 90 mm, L3 is about 60 mm and L4 is about 80 mm. A person skilled in the art will be familiar with the technology for designing and constructing the beam splitter comprising plural magnetic field regions as illustrated above. Reference may be made to U.S. Pat. No. 6,040,576 or “SMART: A Planned Ultrahigh-Resolution Spectromicroscope For BESSY II” by R. Fink et al, Journal of Electron Spectroscopy and Related Phenomena 84, 1987, pages 231 to 250 or “A Beam Separator With Small Aberrations” by H. Müller et al, Journal of Electron Microscopy 48(3), 1999, pages 191 to 204. The absolute values of the field strength in field portions 403 and 407 are about equal, and length L1 and L3 of field portions 403 and 407 are chosen such that a spatial dispersion induced by the deflection by the angle α to the left and the subsequent deflection by the angle β to the right is substantially zero. Further, the field portions 403 and 407 and the drift region 405 are chosen such that the deflections induced by the beam splitter/combiner arrangement 400 on the primary electron beam path 13 are in first order substantially stigmatic and in first order substantially distortion free. Thus, the pattern 327 of the foci 323 generated by multi-aperture arrangement 305 may be imaged onto the object plane 101 with a high quality. This imaging quality is maintained substantially independent of the landing energy of the primary electrons onto the object 7. The secondary electron beam path 11 comprising the plurality of secondary electron beamlets 9 is separated from the primary electron beam path 13 by field region 407 which deflects the secondary electron beam path 11 by an angle γ to the right. The secondary electrons emanating from the object 7 with a kinetic energy range of about 0 eV to 100 eV will be accelerated by the electrical field generated by upper and lower electrodes 117, 119 to a kinetic energy which is dependent on a setting provided by controller 121 for adjusting the landing energy of the primary electrons. Thus, the kinetic energy of the secondary electrons entering field region 407 will change in dependence of the landing energy of the primary electrons. Instead of using the upper and lower electrodes 117, 119 for generating the electrical field, it is also possible to omit lower electrode 119 and to use object 7 as lower electrode for generating a major portion of the electrical field. A corresponding voltage is then applied to the object. Deflection angle γ for the secondary electron beam path 11 provided by field region 407 will change, accordingly. After leaving field region 407, the secondary electron beam path passes a drift region 409 which is substantially free of magnetic fields before entering a further magnetic field region 411 providing a homogeneous magnetic field deflecting the secondary electron beam path 11 further to the right. A field strength of field region 411 may be adjusted by a controller 413. When leaving the field region 411 the secondary electron beam path 11 immediately enters a further field region 415 providing a homogeneous magnetic field, a field strength of which may be also adjusted by controller 413. Controller 413 operates in dependence of a setting of the landing energy of primary electron beams and adjusts the magnetic field strength in field regions 411 and 415 such that the primary electron beam path leaves field region 415 at a pre-defined position and in a pre-defined direction which are independent of the landing energy of the primary electrons and the deflection angle γ, respectively. Thus, the two field regions 411, 415 perform a function of two subsequent beam deflectors which make it possible to adjust the secondary electron beam to coincide with the pre-defined secondary electron beam path 11 when the same leaves magnetic field region 415. The changes in the magnetic field strengths of field regions 411, 415 caused by controller 413 result in changes of a quadrupole effect which these electron optical elements 411, 415 have on the secondary electrons. To compensate for such changes of a quadrupole effect a further magnetic field region 419 is provided immediately downstream of field region 415. In magnetic field region 419 a homogeneous magnetic field is provided, a field strength of which is controlled by controller 413. Further, downstream of magnetic field region 419 a quadrupole lens 421 is provided which is controlled by controller 413 to compensate in cooperation with magnetic field region 419 the remaining quadrupole effect induced by field portions 411, 415 when compensating the beam path for different landing energies of the primary electrons. The electron-optical components 407, 409, 411, 415, 419 and 421 provided in the secondary electron beam path are configured such that, for one particular setting of the landing energy of the primary electrons, the secondary electron beam path 11 through the beam splitter/combiner arrangement 400 is in first order substantially stigmatic, in first order distortion free, and in first order dispersion corrected. For other settings of the landing energy than 2 kV this imaging quality may be maintained, a reduction of the dispersion correction to a limited amount occurs, however. It is to be noted that an intermediate image of object plane 101 is formed in a region of field portions 407, 411, 415 and 419. A position of the intermediate image will change along the beam axis in dependence of the setting of the landing energy of the primary electrons and the kinetic energy of the secondary electrons, accordingly. It is to be noted that apart from magnetic field regions 403 and 407 no further beam deflecting magnetic field regions are provided in the primary electron beam path 13 of the electron microscopy system 1. The term “further beam deflecting magnetic field regions” shall comprise magnetic field regions which are provided for providing a substantial deflection angle to the primary electron beam and shall not comprise such field regions which are merely present for some other purposes, such as providing a possibility of a fine-adjustment of the primary electron beam path. Thus, a beam deflecting magnetic field region providing a substantial angle of deflection will be a field region providing a deflection angle higher than 5° or higher than 10°. As already mentioned such further beam deflecting magnetic field regions are not present in the primary electron beam path, and still the beam splitter 400 is configured such that it provides sufficiently well determined optical properties for the plurality of primary electron beamlets passing therethrough such that the high quality primary electron beam spot pattern 103 is formed in the object plane. In particular, the primary electron beam path is to first order stigmatic and free of distortion. An electron lithography apparatus will be illustrated with reference to FIG. 23. The electron lithography system shown in FIG. 23 comprises a beamlet generating arrangement 300 and an objective arrangement 100. The beamlet generating arrangement 300 generates a plurality of writing electron beamlets 3 which are directed to an object 7 by the objective arrangement 100. The object, such as a semiconductor wafer, is coated with a charged-particle-sensitive resist which is exposed by the writing electron beamlets 3. After developing the resist, and subsequent etching structures may be formed in the substrate in dependence on the exposure by the writing beamlets 3. The writing beamlets are generated in the beamlet generating arrangement 300 similar to the generation of primary electron beamlets as illustrated with respect to the electron microscopy system above: An electron source arrangement 301 generates a diverging electron beam 309 which is collimated by a collimating lens 303 to form a beam 311 for illuminating a multi-aperture arrangement 305. Downstream of the multi-aperture arrangement 305 an array of foci 323 of the writing electron beamlets is formed. In a plane 325 where the foci 323 are formed there is provided a beam blanking arrangement 340 for switching the plurality of writing beams selectively on and off. The beam blanking arrangement 340 comprises a further multi-aperture plate (not shown in FIG. 23) arranged such that a respective focus 323 is formed in each aperture thereof. Each aperture provides the function of a beam deflector which may be formed by two electrodes on opposite sides of the aperture. The electrodes are supplied by voltages controlled by a computer. When no voltage is applied to the electrodes of the aperture, the beamlet passing therethrough will pass along a straight line, i.e. the beamlet will not be deflected. When a suitable voltage is supplied to the electrodes an electrical field will be generated within the aperture to deflect the respective beamlet by a suitable angle. According to an embodiment the beam blanking arrangement 340 is of a type illustrated in “A Multi-Blanker For Parallel Electron Beam Lithography” by G. I. Winograd, Ph.D. Thesis, Stanford University, 2001, which document is incorporated herein by reference. Downstream of plane 325 where the foci 323 are formed there is provided a further multi-aperture plate (not shown in FIG. 23) having a plurality of apertures positioned such that each writing electron beamlet will pass through the aperture when it is not deflected by the deflecting arrangement, and such that it will substantially not pass through the aperture when the beam is deflected. Thus, downstream of this further aperture plate the writing electron beamlets are selectively switched on and off, depending on whether the respective deflector is supplied with a voltage or not. In a situation shown in FIG. 23 only one writing beam passes the beam blanking unit, i.e. only one beam is switched on. Downstream of the beam blanking unit there are provided subsequent beam deflectors 451, 452 for displacing the writing beamlets by a distance d with respect to their beam path before traversing the beam deflectors 451, 452. The objective arrangement 100 includes an objective lens 102 of a type referred to as a “comb lens” as it is disclosed in US 2003/0066961 A1. The objective lens 102 comprises two rows 113 of field source members extending in a direction transversely to the primary electron beam path. The field source members 115 which may be excited such that a desired electrical field configuration is provided at a desired position in a space between the two rows of field source members. Thus, an accurate beam-manipulating field configured to focus the plurality of primary electron beamlets onto the object may be provided in that region where the displaced writing beamlets 3 are incident on the objective lens arrangement 100. By using the comb lens as the objective lens 102 it is possible to displace the focusing lens function together with a scan deflection provided by the beam deflectors 451, 452, and finely focused writing electron beam spots will be formed on the substrate surface. By switching the respective writing electron beamlets on and off and scanning the writing electron beam spots 5 across the substrate surface it is possible to expose the resist provided on the object according to a predefined exposure pattern stored in the controlling computer. Thus, it will be seen that the disclosure of the present application in particular includes the following items (1) to (106): (1) A particle-optical arrangement comprising: at least one charged-particle source for generating at least one beam of charged particles; at least one multi-aperture plate arranged in a beam path of the at least one beam of charged particles, wherein the at least one multi-aperture plate has a plurality of apertures formed therein in a predetermined first array pattern, wherein a plurality of charged-particle beamlets is formed from the at least one beam of charged particles downstream of the multi-aperture plate, and wherein a plurality of beam spots is formed in an image plane of the particle-optical apparatus by the plurality of charged-particle beamlets, the plurality of beam spots being arranged in a second array pattern; and at least one particle-optical element for manipulating the at least one beam of charged particles and/or the plurality of charged-particle beamlets; wherein the first array pattern has at least one first pattern regularity in a first direction, and the second array pattern has at least one second pattern regularity in a second direction electron-optically corresponding to the first direction, and wherein the second regularity is higher than the first regularity. (2) The particle-optical arrangement according to Item (1), wherein the first pattern regularity of the first array pattern is reduced with respect to the second pattern regularity of the second array pattern for compensating a distortion of the at least one particle-optical element. (3) The particle-optical arrangement according to Item (2), wherein the at least one particle-optical element comprises an objective lens for focusing the beamlets onto an object positionable in the image plane. (4) The particle-optical arrangement according to one of Item (1) to (3), wherein a distance between apertures adjacent to each other in the first direction of the multi-aperture plate continuously decreases in dependence of a distance from a center of the first array pattern. (5) The particle-optical arrangement according to one of Items (1) to (4), wherein the second array pattern has the second pattern regularity higher than the first pattern regularity only in one single first direction. (6) The particle-optical arrangement according to Item (5), wherein the second pattern is a substantially constant pitch pattern in the one single first direction. (7) The particle-optical arrangement according to one of Items (1) to (6), wherein the second array pattern has the second pattern regularity higher than the first pattern regularity in two first directions oriented transversely to each other. (8) A particle-optical arrangement, in particular in combination with the particle-optical arrangement according to one of Items (1) to (7), the arrangement comprising: at least one charged-particle source for generating at least on beam of charged particles; at least one multi-aperture plate arranged in a beam path of the at least one beam of charged particles, wherein the at least one multi-aperture plate has a plurality of apertures formed therein in a predetermined first array pattern, wherein a plurality of charged-particle beamlets is formed from the at least one beam of charged particles downstream of the multi-aperture plate, and wherein a plurality of beam spots is formed in an image plane of the particle-optical arrangement by the plurality of charged-particle beamlets; and at least one particle-optical element for manipulating the at least one beam of charged particles and/or the plurality of charged-particle beamlets; wherein a diameter of the apertures in the multi-aperture plate varies with an increasing distance from a center of the first pattern. (9) The particle-optical arrangement according to Item (8), wherein the diameter of the apertures in the aperture plate increases or decreases with the increasing distance from the center of the first pattern for compensating a field curvature of the at least one particle-optical element. (10) The particle-optical arrangement according to Item (8) or (9), wherein the diameter of the apertures in the aperture plate increases with the increasing distance from the center of the first pattern for compensating an inhomogeneous current thereof of the at least one beam of charged particles across a cross section. (11) The particle-optical arrangement according to one of Item (8) or (10), wherein the diameter of the apertures in the aperture plate increases with the increasing distance from the center of the first pattern. (12) A particle-optical arrangement, in particular in combination with the particle-optical arrangement according to one of Items (1) to (11), the arrangement comprising: at least one charged-particle source for generating at least one beam of charged particles; at least one multi-aperture plate arranged in a beam path of the at least one beam of charged particles, wherein the at least one multi-aperture plate has a plurality of apertures formed therein in a predetermined first array pattern, wherein a plurality of charged-particle beamlets is formed from the at least one beam of charged particles downstream of the multi-aperture plate, and wherein a plurality of beam spots is formed in an image plane of the particle-optical arrangement by the plurality of charged-particle beamlets; and at least one particle-optical element for manipulating the at least one beam of charged particles and/or the plurality of charged-particle beamlets; wherein a shape of at least one group of the apertures is an elliptical shape. (13) The particle-optical arrangement according to Item (12), wherein the shape of the at least one group of the apertures is of the elliptical shape for compensating an astigmatism of the at least one focusing lens. (14) The particle-optical arrangement according to Item (11) or (13), wherein an ellipticity of the elliptical shape of the apertures increases in dependence of a distance of the aperture from a center of the first pattern. (15) The particle-optical arrangement according to one of Items (12) to (14), wherein a long axis of the elliptical shapes of the apertures is radially oriented with respect to a center of the first pattern. (16) The particle-optical arrangement according to one of Items (12) to (15), wherein a long axis of the elliptical shapes of the apertures is oriented under an angle with respect to a radial direction with respect to a center of the first pattern. (17) The particle-optical arrangement according to Item (16), wherein the angle increases in dependence of a distance of the respective aperture from the center of the first pattern. (18) The particle-optical arrangement according to one of Items (1) to (17), further comprising at least one voltage source for supplying at least one voltage to the at least one multi-aperture plate. (19) A particle-optical component comprising: at least one multi-aperture plate having a plurality of apertures formed therein, each for manipulating particles of a charged particle beamlet passing therethrough; wherein the multi-aperture plate comprises plural conductive layer portions arranged substantially in a single plane, wherein plural apertures are formed in each of the plural conductive layer portions, and wherein a resistant gap, in particular a non-conductive gap, is formed between adjacent conductive layer portions. (20) The particle-optical component according to Item (19), wherein the component is configured such that adjacent conductive layer portions are at different electric potentials. (21) The particle-optical component according to one of Items (19) to (20), further comprising at least one voltage source for supplying predetermined voltages to the plural conductive layer portions. (22) The particle-optical component according to one of Items (19) to (21), further comprising at least one resistor electrically coupling different conductive layer portions. (23) The particle-optical component according to Item (22), wherein a resistance of a first resistor connecting a first pair of adjacent conductive layer portions located at a first distance from a center of a first pattern of the plurality of apertures formed in the at least one multi-aperture plate is higher than a resistance of a second resistor connecting a second pair of adjacent conductive layer portions located at a second distance smaller than the first distance from the center of the first pattern. (24) The particle-optical component according to one of Items (19) to (23), wherein the plurality of conductive layer portions comprises a first conductive layer portion substantially surrounding a second conductive layer portion. (25) The particle-optical component according to one of Items (19) to (24), wherein the plurality of conductive layer portions comprises a plurality of ring-shaped portions symmetrically arranged with respect to a center of the first pattern. (26) The particle-optical component according to Item (25), wherein a radial width of the ring-shaped conductive layer portions decreases with an increasing distance from the center of the first pattern. (27) A particle-optical arrangement, in particular in combination with the particle-optical arrangement according to one of Items (1) to (18), the arrangement comprising: at least one charged-particle source for generating at least one beam of charged particles, or a plurality of charged particle beamlets; and at least one particle-optical component according to one of Items (19) to (26). (28) The particle-optical arrangement according to Item (27), wherein a plurality of charged-particle beamlets is formed from the at least one beam of charged particles downstream of the multi-aperture plate, and wherein a plurality of beam spots is formed in an object plane of the particle-optical arrangement by the plurality of charged-particle beamlets; the arrangement further comprising at least one focusing lens arranged in a beam path of the at least one beam of charged particles upstream of the multi-aperture plate and/or in a beam path of the plurality of charged-particle beamlets downstream of the multi-aperture plate; wherein the arrangement is configured such that adjacent conductive layer portions are at different electric potentials for compensating a field curvature of the at least one focusing lens. (29) The particle-optical arrangement according to one of Items (27) to (28), wherein a focusing effect performed by the apertures on a respective beamlet decreases with increasing distance from a center of the first pattern. (30) A particle-optical component, in particular according to one of Items (19) to (26), the component comprising: a first multi-aperture plate made of an insulating substrate having a plurality of apertures formed therethrough, wherein at least an interior of the apertures formed in the insulating substrate is covered with a conductive layer. (31) The particle-optical component according to Item (30), wherein the conductive layer is further formed on at least one main flat surface of the first multi-aperture plate. (32) The particle-optical component according to Item (30) or (31), wherein at least one second multi-aperture plate is provided on a main flat surface of the first multi-aperture plate, wherein the apertures formed in the first multi-aperture plates and apertures formed in the second multi-aperture plates form common throughholes through the structure of the first and second multi-aperture plates. (33) The particle-optical component according to Item (32), wherein a conductivity of the conductive layer is lower than a conductivity of the second multi-aperture plate. (34) The particle-optical component according to one of Items (30) to (33), wherein an electrical resistance between both main flat surfaces of the first multi-aperture plate is in a range of about 250 Ω to 8 MΩ, a range of about 250 Ω to 4 MΩ, a range of about 4 MΩ to 8 MΩ, a range of about 250 Ω to 800 Ω, a range of about 800 Ω to 1.5 MΩ, a range of about 1.5 MΩ to 3 MΩ, a range of about 3 MΩ to 5 MΩ, and/or a range of about 5 MΩ to 8 MΩ. (35) A particle-optical component, in particular according to one of Items (19) to (34), the component comprising: a first multi-aperture plate having first and second main flat surfaces and a plurality of apertures formed therethrough, wherein the multi-aperture plate is made of a material having a conductivity such that an electrical resistance between both main flat surfaces of the first multi-aperture plate is in a range of about 250 Ω to 8 MΩ, a range of about 250 Ω to 4 MΩ, a range of about 4 MΩ to 8 MΩ, a range of about 250 Ω to 800 Ω, a range of about 800 Ω to 1.5 MΩ, a range of about 1.5 MΩ to 3 MΩ, a range of about 3 MΩ to 5 MΩ, and/or a range of about 5 MΩ to 8 MΩ. (36) A particle-optical arrangement, in particular in combination with the particle-optical arrangement according to one of Items (1) to (29), the arrangement comprising: at least one charged-particle source for generating at least on beam of charged particles, or a plurality of charged particle beamlets; and at least one particle-optical component according to one of Items (30) to (35). (37) A particle-optical component, in particular in combination with the particle-optical component according to one of Items (19) to (35), the component comprising: at least one multi-aperture plate having a plurality of beam-manipulating apertures formed therein, each for manipulating a charged-particle beamlet passing therethrough, wherein the plurality of beam-manipulating apertures is arranged in a predetermined first array pattern; and wherein at least one of the beam-manipulating apertures has associated therewith plural field-correcting apertures formed in the multi-aperture plate. (38) The particle-optical component according to Item (37), wherein each of the field-correcting apertures associated with a respective beam-manipulating aperture has a size smaller than a size of the respective beam-manipulating aperture. (39) The particle-optical component according to Item (37) or (38), wherein the field correcting apertures are formed as through-holes extending through the multi-aperture plate. (40) The particle-optical component according to Item (37) or (38) wherein the field correcting apertures are formed as blind-holes having a bottom formed in the multi-aperture plate. (41) The particle-optical component according to one of Items (37) to (40), wherein the particular one of the at least one beam-manipulating aperture having the plural field-correcting apertures associated therewith has a number of closest neighboring beam-manipulating apertures spaced apart in a circumferential direction thereabout, wherein at least one of the field-correcting apertures is positioned, when seen in the circumferential direction, in between two adjacent closest neighboring beam-manipulating apertures which are located adjacent to each other in the circumferential direction. (42) A particle-optical arrangement, in particular in combination with the particle-optical arrangement according to one of Items (1) to (36), the arrangement comprising: at least one charged-particle source for generating at least one beam of charged particles; and at least one particle-optical component according to one of Items (35) to (37). (43) The particle-optical arrangement according to Item (42), further comprising a multi-aperture stop for forming the plurality of charged-particle beamlets from the beam of charged particles such that the field-correcting apertures are not exposed to charged particles, wherein the multi-aperture stop is positioned upstream of the particle-optical component. (44) The particle-optical arrangement according to Item (42), further comprising a multi-aperture stop for intercepting charged particles having passed the field-correcting apertures, wherein the multi-aperture stop is positioned downstream of the particle-optical component. (45) A particle-optical component, in particular in combination with the particle-optical component according to one of Items (19) to (41), the component comprising: at least one multi-aperture plate having a plurality of beam-manipulating apertures formed therein, each for manipulating particles of a charged-particle beamlet passing therethrough, wherein the plurality of beam-manipulating apertures is arranged in a predetermined first array pattern; and wherein at least one of the beam-manipulating apertures has a number N of closest neighboring beam-manipulating apertures spaced apart in a circumferential direction thereabout, and wherein a symmetry of a shape of the at least one beam-manipulating aperture comprises a N-fold symmetry. (46) A particle-optical component, in particular in combination with the particle-optical component according to one of Items (19) to (41), the component comprising: at least one multi-aperture plate having a plurality of beam-manipulating apertures formed therein, each for manipulating particles of a charged-particle beamlet passing therethrough, wherein the plurality of beam-manipulating apertures is arranged in a predetermined first array pattern; and wherein at least one of the beam-manipulating apertures has a shape having at least one symmetry component corresponding to a symmetry of the first array pattern around the at least one beam-manipulating aperture. (47) The particle-optical component according to Item (45) or (46), wherein the first array pattern is a substantially rectangular array pattern and wherein the symmetry comprises a fourfold symmetry. (48) The particle-optical component according to Item (45) or (46), wherein the first array pattern is a substantially hexagonal array pattern and wherein the symmetry comprises a sixfold symmetry. (49) A particle-optical arrangement, in particular in combination with the particle-optical arrangement according to one of Items (1) to (40), the arrangement comprising: at least one charged-particle source for generating at least on beam of charged particles, or a plurality of charged-particle beamlets; and at least one particle-optical component according to one of Items (45) to (48). (50) A particle-optical arrangement, in particular in combination with the particle-optical arrangement according to one of Items (1) to (49), the arrangement comprising: at least one charged-particle source for generating at least on beam of charged particles, or a plurality of charged-particle beamlets; and at least one multi-aperture plate arranged in a beam path of the at least one beam of charged particles and the plurality of charged-particle beamlets, respectively, wherein the at least one multi-aperture plate has a plurality of apertures formed therein in a predetermined first array pattern, and wherein a plurality of beam spots is formed in an object plane of the particle-optical arrangement downstream of the multi-aperture plate, the plurality of beam spots being arranged in a second array pattern; wherein a number of the beam spots is less than a number of the apertures formed in the multi-aperture plate. (51) The particle-optical arrangement according to Item (50), wherein apertures not contributing to forming the beam spots are formed as blind-holes in the multi-aperture plate. (52) The particle-optical arrangement according to Item (50) or (51), wherein beamlets forming the beam spots pass the apertures of a central region of the first array pattern, and wherein the apertures of a peripheral region of the first array pattern do not contribute to forming the beam spots. (53) The particle-optical arrangement according to one of Items (50) to (52), further comprising a multi-aperture stop for forming the plurality of charged-particle beamlets from the beam of charged particles such that the apertures of the peripheral region are not exposed to charged particles, wherein the multi-aperture stop is positioned upstream of the particle-optical component. (54) The particle-optical arrangement according to one of Items (50) to (53), further comprising a multi-aperture stop for intercepting charged particles having passed the apertures of the peripheral region, wherein the multi-aperture stop is positioned downstream of the particle-optical component. (55) A particle-optical arrangement, in particular in combination with the particle-optical arrangement according to one of Items (1) to (54), the arrangement comprising: at least one charged-particle source for generating a beam of charged particles, at least one multi-aperture plate having a plurality of apertures formed in the plate, wherein the plurality of apertures are arranged in a first pattern, wherein a plurality of charged-particle beamlets is formed from the beam of charged particles downstream of the aperture plate, a first voltage supply for supplying predetermined first voltages to the plurality of apertures, a first single-aperture plate arranged at a distance upstream or downstream of the multi-aperture plate, the first single-aperture plate having a single aperture for allowing the beam of charged particles or the plurality of charged-particle beamlets to pass therethrough; and a second voltage supply for supplying a predetermined second voltage to the first single-aperture plate, wherein the distance between the multi-aperture plate and the first single-aperture plate is less than five times a diameter of the single aperture of the first single-aperture plate, preferably less than four three the diameter, preferably less than two times the diameter and further preferred less than the diameter of the single aperture of the first single-aperture plate. (56) A particle-optical arrangement, in particular in combination with the particle-optical arrangement according to one of Items (1) to (55), the arrangement comprising: at least one charged-particle source for generating a beam of charged particles, at least one multi-aperture plate having a plurality of apertures formed in the plate, wherein the plurality of apertures are arranged in a first pattern, wherein a plurality of charged-particle beamlets is formed from the beam of charged particles downstream of the aperture plate, a first voltage supply for supplying predetermined first voltages to the plurality of apertures, a first single-aperture plate arranged at a distance upstream or downstream of the multi-aperture plate, the first single-aperture plate having a single aperture for allowing the beam of charged particles or the plurality of charged-particle beamlets to pass therethrough; and a second voltage supply for supplying a predetermined second voltage to the first single-aperture plate, wherein the distance between the multi-aperture plate and the first single-aperture plate is less than 75 mm, preferably less than 50 mm, further preferred less than 25 mm, further preferred less than 10 mm, and further preferred less than 5 mm. (57) A particle-optical arrangement, in particular in combination with the particle-optical arrangement according to one of Items (1) to (56), the arrangement comprising: at least one charged-particle source for generating at least one beam of charged particles, at least one multi-aperture plate having a plurality of apertures formed in the plate, wherein the plurality of apertures are arranged in a first pattern, wherein a plurality of charged-particle beamlets is formed from the beam of charged particles downstream of the aperture plate, a first voltage supply for supplying predetermined first voltages to the plurality of apertures, a first single-aperture plate arranged at a distance upstream or downstream of the multi-aperture plate, the first single-aperture plate having a single aperture for allowing the beam of charged particles or the plurality of charged-particle beamlets to pass therethrough; and a second voltage supply for supplying a predetermined second voltage to the first single-aperture plate, wherein the distance between the multi-aperture plate and the first single-aperture plate is selected such that it is less than one half, and in particular, less than one fourth, of an average focal length of the apertures of the multi aperture plate. (58) A particle-optical arrangement, in particular in combination with the particle-optical arrangement according to one of Items (1) to (57), the arrangement comprising: at least one charged-particle source for generating a beam of charged particles, at least one multi-aperture plate having a plurality of apertures formed in the plate, wherein the plurality of apertures are arranged in a first pattern, wherein a plurality of charged-particle beamlets is formed from the beam of charged particles downstream of the aperture plate, a first voltage supply for supplying predetermined first voltages to the plurality of apertures, a first single-aperture plate arranged at a distance upstream or downstream of the multi-aperture plate, the first single-aperture plate having a single aperture for allowing the beam of charged particles or the plurality of charged-particle beamlets to pass therethrough; and a second voltage supply for supplying a predetermined second voltage to the first single-aperture plate, wherein the distance between the multi-aperture plate and the first single-aperture plate is selected such that an average electrical field on a surface of the multi aperture plate at a center thereof is higher than 100 V/mm, higher than 200 V/mm, higher than 300 V/mm, higher than 500 V/mm, or higher than 1 kV/mm. (59) The particle-optical arrangement according to one of Items (48) to (58), further comprising: a second single-aperture plate arranged in between the multi-aperture plate and the first single-aperture plate and substantially parallel thereto, and a third voltage supply for supplying a predetermined third voltage to the second single-aperture plate, wherein the third voltage is below or equal to the average of the first voltages, or wherein the third voltage is in between the second voltage and the average of the first voltages. (60) A particle-optical arrangement, in particular in combination with the particle-optical arrangement according to one of Items (1) to (59), the arrangement comprising: at least one charged-particle source for generating a beam of charged particles, at least one multi-aperture plate having a plurality of apertures formed in the plate, wherein the plurality of apertures are arranged in a first pattern, wherein a plurality of charged-particle beamlets is formed from the beam of charged particles downstream of the aperture plate, a first voltage supply for supplying predetermined first voltages to the plurality of apertures, a first single-aperture plate arranged at a distance upstream or downstream of the multi-aperture plate, the first single-aperture plate having a single aperture for allowing the beam of charged particles or the plurality of charged-particle beamlets to pass therethrough; and a second voltage supply for supplying a predetermined second voltage to the first single-aperture plate, a second single-aperture plate arranged in between the multi-aperture plate and the first single-aperture plate, and a third voltage supply for supplying a predetermined third voltage different from the predetermined second voltage to the second single-aperture plate, wherein an arrangement of the multi aperture plate an the first and second single-aperture plates and a setting of the first, second and third voltages is configured to generate an electrical field at a surface of the multi-aperture plate, wherein a change in the voltage supplied to the first single-aperture plate such that the third voltage is supplied to the first single-aperture plate will result in a change of a field strength of the electrical field of more than 1%, more than 5%, or more than 10%. (61) The particle-optical arrangement according to one of Items (55) to (60), further comprising: a third single-aperture plate arranged at a distance from the multi-aperture plate and substantially parallel thereto, wherein the multi-aperture plate is positioned in between of the first and third single-aperture plates, the third single-aperture plate having a single aperture for allowing the beam of charged particles or the plurality of charged-particle beamlets to pass therethrough; and a fourth voltage supply for supplying a predetermined fourth voltage to the third single-aperture plate, wherein the distance between the multi-aperture plate and the third single-aperture plate is less than five times a diameter of the single aperture of the third single-aperture plate, preferably less than four three the diameter, preferably less than two times the diameter and further preferred less than the diameter of the single aperture of the third single-aperture plate. (62) A particle-optical arrangement, in particular in combination with the particle-optical arrangement according to one of Items (1) to (61), the arrangement comprising: at least one charged-particle source for generating a beam of charged particles, at least one multi-aperture plate having a plurality of apertures formed in the plate, wherein the plurality of apertures being arranged in a first pattern, wherein a plurality of charged-particle beamlets is formed from the beam of charged particles downstream of the aperture plate; a first focusing lens providing a focusing field in a first region between the charged-particle source and the multi-aperture plate; and a decelerating electrode providing a decelerating field in a second region in between of the first focusing lens and the multi-aperture plate, such that a kinetic energy of the charged particles passing the first focusing lens is higher than a kinetic energy of the charged particles passing the multi-aperture plate. (63) A particle-optical arrangement, in particular in combination with the particle-optical arrangement according to one of Items (1) to (62), the arrangement comprising: at least one charged-particle source for generating at least one beam of charged particles, at least one multi-aperture plate having a plurality of apertures formed in the plate, wherein the plurality of apertures being arranged in a first pattern, and wherein a plurality of charged-particle beamlets is formed from the beam of charged particles downstream of the aperture plate; wherein a kinetic energy of the beam of charged particles immediately upstream of the multi aperture plate is higher than 5 keV, in particular higher than 10 keV, in particular higher than 20 keV, and in particular higher than 30 keV. (64) A particle-optical arrangement, in particular in combination with the particle-optical arrangement according to one of Items (1) to (63), the arrangement comprising: at least one charged-particle source for generating a beam of charged particles, at least one multi-aperture plate having a plurality of apertures formed in the plate, wherein the plurality of apertures being arranged in a first pattern, wherein a plurality of charged-particle beamlets is formed from the beam of charged particles downstream of the aperture plate; a first focusing lens providing a focusing field in a first region upstream and/or downstream of the multi-aperture plate; and an energy changing electrode providing an electrical field for changing a kinetic energy of charged particles of the beam in a second region upstream and/or downstream of the multi-aperture plate, and wherein the first region where the focusing field is provided and the second region where the energy changing field is provided are overlapping regions. (65) The particle-optical arrangement according to Item (64), wherein the overlapping regions are located substantially upstream of the multi-aperture plate. (66) The particle-optical arrangement according to Item (64), wherein the overlapping regions are located substantially downstream of the multi-aperture plate. (67) The particle-optical arrangement according to one of Items (64) to (66), wherein the energy changing field is a decelerating electrical field for reducing the kinetic energy of the charged particles of the beam. (68) The particle-optical arrangement according to one of Items (64) to (66), wherein the energy changing field is an accelerating electrical field for increasing the kinetic energy of the charged particles of the beam. (69) The particle-optical arrangement according to one of Items (64) to (68), wherein an overlap between the energy changing field and the focusing field is more than 1%, in particular more than 5%, or more than 10%. (70) A particle-optical arrangement, in particular in combination with the particle-optical arrangement according to one of Items (1) to (69), the arrangement comprising: at least one charged-particle source for generating at least one beam of charged particles, at least one multi-aperture plate having a plurality of apertures formed in the plate, wherein the plurality of apertures being arranged in a first pattern, wherein a plurality of charged-particle beamlets is formed from the beam of charged particles downstream of the aperture plate; and a first focusing lens providing a focusing field in a region between the charged-particle source and the multi-aperture plate; wherein the beam of charged particles is a divergent or convergent beam in a region immediately upstream of the multi-aperture plate. (71) A particle-optical arrangement, in particular in combination with the particle-optical arrangement according to one of Items (1) to (70), the arrangement comprising: at least one charged-particle source for generating at least one beam of charged particles, at least one multi-aperture plate having a plurality of apertures formed in the plate, wherein the plurality of apertures is arranged in a first pattern, wherein a plurality of charged-particle beamlets is formed from the beam of charged particles downstream of the aperture plate; and a first focusing lens providing a magnetic field having a focusing field portion in a region between the charged-particle source and the multi-aperture plate; wherein the at least one charged-particle source is arranged within the magnetic field provided by the first focusing lens. (72) The particle-optical arrangement of Item (71), wherein the magnetic field where the at least one charged-particle source is arranged is a substantially homogeneous magnetic field. (73) A particle-optical arrangement, in particular in combination with the particle-optical arrangement according to one of Items (1) to (72), the arrangement comprising: at least one charged-particle source for generating a beam of charged particles; at least one multi-aperture plate having a plurality of apertures formed in the plate, wherein the plurality of apertures is arranged in a first pattern, wherein a plurality of charged-particle beamlets is formed from the beam of charged particles downstream of the multi-aperture plate, each of the charged-particle beamlets having a focus in a focusing region of the multi-aperture plate; and a second focusing lens providing a focusing field in the focusing region. (74) A particle-optical arrangement, in particular in combination with the particle-optical arrangement according to one of Items (1) to (73), the arrangement comprising: at least one charged-particle source for generating a beam of charged particles; at least one multi-aperture plate having a plurality of apertures formed in the plate, wherein the plurality of apertures is arranged in a first pattern, wherein a plurality of charged-particle beamlets is formed from the beam of charged particles downstream of the multi-aperture plate, each of the charged-particle beamlets having a focus in a focusing region of the multi-aperture plate downstream of the multi-aperture plate; and an objective lens for imaging substantially the focusing region of the multi-aperture plate onto an object positionable in an object plane of the arrangement. (75) The particle-optical arrangement according to one of Items (1) to (74), wherein two multi-aperture plates are provided on opposite sides of an insulating spacer, wherein apertures in both the multi-aperture plates and apertures in the insulating spacer together form a plurality of through-holes. (76) The particle-optical arrangement according to one of Items (1) to (74), wherein a central multi-aperture plate is sandwiched between two insulating spacers and wherein two outer multi-aperture plates are each provided on one respective insulating spacer, wherein apertures in the central and outer multi-aperture plates and apertures in the insulating spacers together form a plurality of through-holes. (77) The particle-optical arrangement according to one of Items (1) to (76), wherein the apertures of the multi-aperture plate are positioned according to a substantially rectangular pattern. (78) The particle-optical arrangement according to one of Items (1) to (76), wherein the apertures of the multi-aperture plate are positioned according to a substantially hexagonal pattern. (79) An electron-optical arrangement, in particular in combination with the particle optical-arrangement according to claim one of Items (1) to (78), the electron microscopy arrangement providing a primary beam path for a beam of primary electrons directed from a primary electron source to an object positionable in an object plane of the arrangement, and a secondary beam path for secondary electrons originating from the object, the electron microscopy arrangement comprising a magnet arrangement having: a first magnetic field region passed by the primary electron beam path and the secondary electron beam path for separating the primary electron beam path and the secondary electron beam path from each other, a second magnetic field region arranged in the primary electron beam path upstream of the first magnetic field region, wherein the second magnetic field region is not passed by the secondary electron beam path, and wherein the first and second magnetic field regions deflect the primary electron beam in substantially opposite directions, a third magnetic field region arranged in the secondary electron beam path downstream of the first magnetic field region, wherein the third magnetic field region is not passed by the first electron beam path, and wherein the first and third magnetic field regions deflect the secondary electron beam path in a substantially same direction. (80) The electron microscopy arrangement according to Item (79), wherein no further magnetic field regions deflecting the primary electron beam by more than 5°, in particular more than 10°, are provided in the primary electron beam path apart from the first and second magnetic field regions. (81) The electron microscopy arrangement according to Item (79) or (80), wherein a deflection angle of the second magnetic field region for the primary electron beam path is higher than a deflection angle of the first magnetic field region for the primary electron beam path. (82) The electron microscopy arrangement according to one of Items (79) to (81), wherein a deflection angle of the first magnetic field region for the secondary electron beam path is lower than a deflection angle of the second magnetic field region for the primary electron beam path. (83) The electron microscopy arrangement according to one of Items (79) to (82), wherein a first drift region, which is substantially free of magnetic fields, is provided in the primary electron beam path between the second and first magnetic field regions. (84) The electron microscopy arrangement according to one of Items (79) to (83), wherein a second drift region, which is substantially free of magnetic fields, is provided in the secondary electron beam path between the first and third magnetic field regions. (85) The electron microscopy arrangement according to one of Items (79) to (84), further comprising an objective lens provided in between of the first magnetic field region and the object plane, wherein the objective lens is passed by the primary and secondary electron beam paths. (86) The electron microscopy arrangement according to one of Items (79) to (85), further comprising at least one electrode provided in between of the first magnetic field region and the object plane, wherein the at least one electrode is passed by the primary electron beam path for decelerating the primary electrons before impinging on the object, wherein the at least one electrode is passed by the secondary electron beam path for accelerating the secondary electrons after emerging from the object. (87) The electron microscopy arrangement according to Item (86), further comprising a driver for supplying an adjustable voltage to the at least one electrode. (88) The electron microscopy arrangement according to Item (87), further comprising a controller for changing a magnetic field strength in the third magnetic field region relative to a magnetic field strength in the first magnetic field region in dependence of the voltage supplied to the at least one electrode. (89) The electron microscopy arrangement according to Item (88), wherein the magnet arrangement further comprises a fourth magnetic field region in the secondary electron beam path downstream of the third magnetic field region, wherein a magnetic field strength in the fourth magnetic field region is adjustable relative to a magnetic field strength in the third magnetic field region. (90) The electron microscopy arrangement according to Item (89), further comprising a controller for changing the field strength in the fourth magnetic field region relative to the field strength in the third magnetic field region in dependence of the voltage supplied to the at least one electrode. (91) The electron microscopy arrangement according to Item (89) or (90), wherein the third and fourth magnetic field regions are arranged substantially directly adjacent to each other in the secondary electron beam path. (92) The electron microscopy arrangement according to one of Items (87) to (91), further comprising at least one quadrupole lens arranged in the secondary electron beam path downstream of the third magnetic field region, in particular downstream of the fourth magnetic field region. (93) The electron microscopy arrangement according to Item (92), further comprising a controller for changing a field strength of the quadrupole lens in dependence of the voltage supplied to the at least one electrode. (94) The electron microscopy arrangement according to one of Items (89) to (93), further comprising a fifth magnetic field region arranged in the secondary electron beam path in between of the fourth magnetic field region and the quadrupole lens. (95) The electron microscopy arrangement according to Item (94), further comprising a controller for changing the field strength in the fifth magnetic field region relative to the field strength in the third magnetic field region in dependence of the voltage supplied to the at least one electrode. (96) The electron microscopy arrangement according to Item (94) or (95), wherein the fourth and fifth magnetic field regions are arranged substantially directly adjacent to each other in the secondary electron beam path. (97) The electron microscopy arrangement according to one of Items (79) to (96), wherein an intermediate image of the object plane is formed by the secondary electrons in a region comprising the first, third, fourth and fifth magnetic field regions. (98) The electron microscopy arrangement according to one of Items (79) to (97), further comprising a detector arranged in the secondary beam path downstream of the third magnetic field region. (99) The electron microscopy arrangement according to one of Items (79) to (98), further comprising a transfer lens arrangement arranged in the secondary beam path upstream of the detector. (100) The electron microscopy arrangement according to one of Items (79) to (99), wherein substantially homogeneous magnetic fields are provided in the first and/or second and/or third and/or fourth and/or fifth magnetic field regions, respectively. (101) The electron-optical arrangement according to one of Items (1) to (100), further comprising a comb lens arrangement having a line of plural of field source members, and a controller for energizing the field source members such that an electron-optical property provided by the comb lens is displaceable along the line. (102) An electron microscopy system for inspecting an object positionable in an object plane of the arrangement, the electron microscopy system comprising: the particle-optical arrangement according to one of Items (1) to (101) for generating a plurality of primary electron beamlets focused on the object; and a detector for detecting secondary electrons originating from the object. (103) The electron microscopy system according to Item (102), wherein a plurality of secondary electron beamlets is formed from the secondary electrons originating from the object. (104) The electron microscopy system according to Item (103), wherein a number of the secondary electron beamlets detected by the detector is lower than a number of primary electron beamlets focused on the object. (105) An electron lithography system for exposing an electron sensitive substrate, the electron lithography system comprising: the particle-optical arrangement according to one of Items (1) to (101) for generating a plurality of writing electron beamlets focused on the substrate. (106) An electron lithography system according to Item (105), further comprising a detector for detecting secondary electrons originating from the object. Therefore, while the present invention has been shown and described herein in what is believed to be the most practical and preferred embodiments, it is recognized that departures can be made therefrom within the scope of the invention, which is therefore not to be limited to the details disclosed herein but is to be accorded the full scope of the claims so as to embrace any and all equivalent methods and apparatus.
051065738
description
MODE(S) FOR CARRYING OUT THE INVENTION Illustrated in FIG. 1 is a schematic representation of an exemplary, advanced simplified boiling water reactor (SBWR) 10. The reactor 10 includes a reactor pressure vessel 12 defined by an annular vessel wall having top and bottom heads disposed coaxially about a longitudinal centerline axis 14. Disposed inside the vessel 12 is a conventional reactor core 16, and the vessel 12 contains water 18 disposed to a predetermined level 18a above the core 16. In accordance with one embodiment of the present invention, a steam separator 20 is provided for separating naturally by gravity a portion of steam voids, or bubbles, shown schematically by circles 22 from the water 18 being boiled by the core 16. The separator 20 includes a chimney 24 having an annular chimney outer wall 26 disposed above the core 16 and below the water level 18a. More specifically, the chimney wall 26 includes a proximal 26a disposed adjacent to the core 16, and generally coextensively with an annular, conventional core shroud 28 surrounding the core 16; and a distal end 26b disposed below the water level 18a. The chimney 24 is effective for channeling upwardly therein the steam voids 22 and water flow, indicated by arrows 18b, to the water level 18a. When the steam voids 22 reach the water level 18a, which is alternatively referred to as the water-steam interface, the steam from the voids 22 is dispelled longitudinally upwardly against the force of gravity into a vessel upper plenum 30 extending upwardly from the water level 18a for receiving the dispelled steam voids 22 as steam 22a, indicated by arrows, generated by the core 16. The chimney wall 26 and the core shroud 28 are spaced radially inwardly from the vessel 12 to define an annular downcomer 32 therebetween which extends from the wall distal end 26b downwardly to the lowermost end of the core shroud 28 for recirculating the water flow 18b from the chimney 24, downwardly through the downcomer 32 as downcomer flow 18c, and back up into the core 16 for repeating its recirculation cycle. It has been observed that a substantial amount of carryunder of the steam voids 22 for a boiling water reactor system originates from the peripheral region of the chimney 24 near the chimney outer wall 26 as opposed to the center region of the chimney 24. The steam voids 22 exiting the chimney 24 adjacent to the outer wall 26 encounter water crossflow 18d which is a flow of a portion of the water 18 near the water level 18a from the centerline 14 of the reactor 10 and of the chimney 24 radially outwardly toward the vessel 12. The crossflow 18d quickly positions some of the steam voids 22 over the downcomer 32 without allowing such steam voids 22 to complete their rise to the water level 18a above the chimney 24 for being dispelled therefrom. The steam voids 22 entrained by the crossflow 18b, therefore, become drawn down the downcomer 32 as part of the recirculating downcomer flow 18c. The steam voids 22 exiting the chimney 24 near the centerline 14, on the other hand, necessarily have a longer distance to travel before being positioned over the downcomer 32 and follow flowpaths closer to the water level 18a. Such steam voids 22 therefore have a greater probability of escaping (and most do) the crossflow 18d and the downcomer flow 18c by upward movement through the water level 18a and up into the upper plenum 30 due to their buoyant forces in the water 18 and their relatively low density. Illustrated in FIG. 2 is the portion of the reactor pressure vessel 12 near the water line 18a. Shown schematically above the water line 18a is a simplification of a conventional velocity profile 34, shown in dashed line, for the steam voids 22 exiting the water-steam interface at the water level 18a. The conventional profile 34 indicates that the velocity of the exiting steam voids 22 is substantially greater near the centerline 14 of the vessel 12 and decreases to minimum values at the radially outer circumference of the upper plenum 30 at the inner surface of the vessel 12. The velocity of the exiting steam voids 22 is indicated on a vertical axis 36 and the radial position for the exiting steam voids 22 is indicated on the horizontal, radial axis 38 measured outwardly from the centerline 14. The velocity profile 34, along with the crossflow 18d, are indicative of two operating conditions, i.e., steam carryunder and liquid carryover. Steam carryunder as described above is less likely to occur near the center of the chimney 24 since the steam leaving velocities are relatively high and the crossflow 18d is relatively low, and increases in likelihood and extent near the chimney outer wall 26 where the steam leaving velocity is relatively low and the crossflow 18d is relatively high. Liquid carryover, on the other hand, is more likely to occur, and in fact occurs, in a natural circulation boiling water reactor at the high steam leaving velocity region near the centerline axis 14 since gravity is effective for separating liquid from the steam 22a exiting the water-steam interface 18a only at relatively low, conventionally known leaving velocities. In a conventional boiling water reactor having a relatively high power output, the steam leaving velocities near the centerline 14, as represented by the velocity profile 34, are larger than those required for obtaining natural, gravity separation of the water from the steam 22a, which is one reason why conventional mechanical steam separators are utilized. Referring again to FIG. 1, a conventional steam separator (not shown) would typically be positioned between the water level 18a and the top of the vessel 12. For further reducing the moisture content of the steam from such a conventional separator, a conventional steam dryer 40 is also used, and is used in the reactor 10 illustrated in FIG. 1. Conventional steam separators and the conventional dryer 40 operate on different principals, with the former typically relying on the use of centrifugal force to separate the water from the steam by promoting swirl flow inside tubing, and the latter typically using perforated plates for condensing off most of any remaining water from the steam. The steam 22a from the dryer 40 is suitably channeled from the reactor 10 through a main steam line nozzle or outlet 42 to a conventional steam turbine (not shown) for driving a conventional electrical generator (not shown) for generating electrical power, for example. In accordance with the present invention, a conventional mechanical steam separator is not employed between the dryer 40 and the water level 18a, but instead, the natural, gravity operated steam separator 20 is utilized to take advantage of the observation that a majority of the steam void carryunder originates near the chimney outer wall 26. Referring to FIG. 3, the steam separator 20, in accordance with one embodiment of the present invention, is shown in more detail and includes an annular partition wall 44 spaced radially between the vessel 12 and the chimney outer wall 26 at the distal end 26b to define with the chimney wall 26 an annular collection chamber 46, or inner downcomer region, disposed coaxially about the centerline 14. The portion of the downcomer 32 disposed between the vessel 12 and the wall 44 may be, alternatively, referred to as the outer downcomer region. The chamber 46 includes an annular inlet 48 for receiving a portion of the steam voids 22 along with a portion of the water flow 18b from the chimney 24, designated as chamber water flow 18e, a steam outlet 50 for discharging the steam voids 22 as separated steam 22b from the chamber 46 to the upper plenum 30 above the water level 18a, and a water flow outlet 52 for discharging the chamber water flow 18e from the chamber 46 downwardly into the downcomer 32. The partition wall 44 preferably includes a top portion 44a having a plurality of circumferentially spaced collection pipes 54 disposed in flow communication between the chamber 46 and the upper plenum 30 to define a plurality of the steam outlets 50, respectively. The partition wall 44 further includes an imperforate, preferably cylindrical middle portion 44b extending downwardly from and integral with the top portion 44a, and a bottom portion 44c extending downwardly from and integral with the middle portion 44b which is fixedly joined to the chimney outer wall 26. As used herein, the references to vertical, top, middle, bottom, and similar references refer to the relative placement of components in the reactor 10 relative to the longitudinal centerline axis 14 along which the forces of gravity are directed. The partition bottom portion 44c includes a plurality of circumferentially spaced apertures which define a plurality of the flow outlets 52, respectively, disposed in flow communication with the chamber 46 and the downcomer 32. The steam separator 20 further includes an annular collection lip 56 extending from the partition top portion 44a and spaced upwardly from the chimney wall distal end 26b, and preferably vertically aligned therewith to define therebetween the inlet 48. The separator 20 takes advantage of both the existence of a substantial number of the steam voids 22 near the chimney outer wall 26 and the relative velocity between the steam voids 22 in the water flow. More specifically, and as illustrated in FIG. 3, the steam voids 22 have a relative terminal rise velocity V.sub.r in the water flow 18b due to their buoyancy therein which causes them to rise vertically in the chimney 24. The crossflow 18d has a horizontal velocity and V.sub.h, the downcomer flow 18c in the outer downcomer region has a velocity V.sub.d. In a conventional boiling water reactor, the crossflow velocity V.sub.h and the analogous downcomer velocity V.sub.d relative to the terminal rise velocity V.sub.r of the steam voids 22, considering also the sizes of the steam voids 22, is such that carryunder of a significant number of the steam voids 22 occurs downwardly into the downcomer 32. However, in order to decrease carryunder of the steam voids 22, the partition wall 44 is sized and configured so that the relative terminal rise velocity, designated V.sub.s, of the steam voids 22 being initially carried downwardly with the chamber water flow 18e in the chamber 46 is greater than the downward velocity V.sub.c of the chamber water flow 18e being channeled downwardly in the chamber 46 for allowing the steam voids 22 to decelerate, stop, and then rise inside the chamber 46, separate from the water flow 18e, and collect therein for discharge from the chamber 46 by the collection pipes 54. Since the chamber inlet 48 is positioned above the chimney outer wall 26 and in flow communication with the chimney 24 a substantial number of the steam voids 22 are channeled therein and then downwardly in the chamber 46 due to the 180.degree. change in direction of the water flow 18b which is discharged as the chamber water flow 18e downwardly from the chamber outlet 52. This allows the steam voids 22 to separate in the chamber 46 for collection and discharge therefrom. Analysis indicates that for the embodiment of the steam separator 20 illustrated in FIG. 3, a first portion of the steam voids 22 collects in the chamber 46 at its upper end between the top portion 44a and the lip 56. Analysis also indicates that a second portion of the steam voids 22 collects inside the chamber 26 immediately below the chimney outer wall distal end 26b near the chamber entrance 48. Accordingly, the collection pipes 54 preferably include a plurality of circumferentially spaced first collection pipes 54a having bottom ends disposed coextensively, or vertically aligned with the partition top portion 44a for receiving and discharging from the chamber 46 the first portion of the steam voids 22 collecting inside the lip 56. A plurality of second collection pipes 54b, shown in dashed line in FIG. 3, has bottom ends disposed generally coextensively, or vertically aligned with the chimney wall distal end 26b for receiving and discharging from the chamber 46 the second portion of the steam voids 22 collecting at that location. Preferably the bottom ends of the second collection pipes 54b are disposed just below the inlet 48 at the chimney wall distal end 26b. FIGS. 4 and 5 show in additional detail the preferred arrangement of the first and second collection pipes 54a and 54b. The first and second pipes 54a and 54b are circumferentially spaced from each other and uniformly around the circumference of the chamber 46 for effectively discharging the separated steam voids 22 from the chamber 46. The lip 56 may be supported solely by the partition top portion 44a, by being fixedly joined thereto, or may be additionally supported to the chimney outer wall 26 at the distal end 26b by a plurality of circumferentially spaced struts 56b. Referring again to FIG. 3, the preferred configuration and sizing of the separator 20, including the partition wall 44, includes a preferred height H.sub.i of the inlet 48 above the chimney wall distal end 26b; the elevation, or height H.sub.l of the lip 56 above the top of the chimney 24, for example, above the chimney outer wall distal end 26b and the inlet 48; the configuration or curvature of the partition top portion 44a; and the width W.sub.c or inside diameter of the partition middle portion 44b; which are determined for each particular design so that a predeterminedly large fraction of the water flow 18b being channeled upwardly along the inside surface of the chimney outer wall 26 enters the chamber 46 through the inlet 48. The particular sized of the inlets 48, i.e., H.sub.i, and of the chamber outlets 52 are also predetermined for each particular design for obtaining a downward recirculation of the water flow 18e through the chamber 46 with a preferred downward velocity V.sub.c which is less than or equal to the terminal upward rise velocity V.sub.s of the steam voids 22, or, alternatively, V.sub.s being greater than V.sub.c, which are swept along with the water flow 18e from the chamber inlet 48. The steam voids 22 which enter the chamber 46 rise therein against the downward flow of the water flow 18e therein and collect near the lip 56 and the inlet 48 at the chimney wall distal end 26b as described above. The collection pipes 54 provide a protected path for the steam voids 22 to escape upwardly from the chamber 46 through the crossflow 18d and upwardly beyond the surface of the water level 18a into the upper plenum 30. Although the partition bottom portion 44c is shown as a conical member extending downwardly and radially inwardly from the partition middle portion 44b, it may have any suitable configuration to include the outlets 52 for discharging the water flow 18e downwardly from the chamber 46. The partition top portion 44a may also have several configurations including the preferred configuration illustrated in FIG. 3. The partition top portion 44a preferably extends radially inwardly and upwardly in the form of a cone from the partition middle portion 44b to the lip 56 at an obtuse angle A relative to the partition middle portion 44b and the centerline 14. The lip 56 preferably extends downwardly from the top portion 44a toward the chimney wall distal end 26b and is aligned coextensively, or vertically therewith for forming a protected area at the top of the chamber 46 for collecting the first portion of the steam voids 22. The steam voids 22 will rise in the chamber 46 to the radially inner end of the top portion 44a adjacent to the lip 56, and accordingly, the first collection pipes 54a are preferably disposed adjacent to the chimney 24 and generally coextensively and vertically aligned with the outer wall 26 for receiving the first portion of the steam voids 22. As indicated above, since the second portion of the steam voids 22 collect adjacent to the chimney wall distal end 26b, the second collection pipes 54b are also preferably disposed adjacent to the chimney 24 and aligned generally coextensively and vertically with the chimney outer wall 26 inside the chamber 46. Illustrated in FIG. 6 is an alternate embodiment of the partition top portion 44a indicated by numeral 58. The partition top portion 58 extends horizontally relative to the partition middle portion 44b and the centerline axis 14 radially inwardly toward the chimney 24, and the lip 56 preferably extends downwardly from the top portion 58 toward the chimney wall distal end 26b and vertically aligned therewith for channeling the first portion of the steam voids 22 into the first collection pipes 54a. Both the first and second collection pipes 54a and 54b are positioned as described above with respect to their position in the FIG. 3 embodiment. Illustrated in FIG. 7 is yet another embodiment of the partition top portion 44a indicated by numeral 60. In this embodiment, the partition top portion 60 extends radially inwardly and downwardly from the partition middle portion 44b, in the form of a cone, at an acute angle B relative thereto and ending in a lip 62 which is simply the distal end of the top portion 60. The lip 62 is spaced vertically above the chimney wall distal end 26b to define the chamber inlet 48 which receives the water flow 18b and the steam voids 22. In this embodiment of the invention, the second collection pipes 54b may be positioned identically as described above with respect to the embodiment illustrated in FIG. 3, whereas, the first collection pipes 54a are preferably disposed adjacent to the partition middle portion 44b, with the bottom ends thereof being disposed adjacent to the middle portion 44b at the top portion 60. In this way, the first portion of the steam voids 22 may be collected near the vertically top end of the top partition 60, its proximal end, adjacent to the partition middle portion 44b and discharged into the upper plenum 30 by the first collection pipe 54a. Referring again to FIG. 3, the chimney outer wall 26 is preferably cylindrical and the partition wall 44 preferably extends radially outwardly therefrom so that the width W.sub.d of the downcomer 32 at the partition middle portion 44b (outer downcomer region) is less than the width W.sub.D of the downcomer 32 downstream, or vertically below the partition bottom portion 44c. The width W.sub.c of the collection chamber 46, or inner downcomer region, plus the width W.sub.d of the outer downcomer region is equal to the total width W.sub.D of the downcomer 32 immediately below the partition 44. In this way, the downcomer flow velocity V.sub.d between the vessel 12 and the partition middle portion 44b will be increased relative to a design without the partition 44 having a constant flow area downcomer 32. This may tend to increase steam carryunder in this region. However as described above, the steam separator 20 is effective for substantially reducing steam carryunder from the chimney 24 around the chimney outer wall 26 and the partition 44 into the downcomer 32, and therefore any remaining steam carryunder in the flow channeled above the partition 44 and into the downcomer 32 is relatively small. The net effect of utilizing the steam separator 20 is an overall reduction in steam carryunder into the downcomer 32. Furthermore, the higher velocities V.sub.d in the outer downcomer region may increase the horizontal velocity V.sub.h of the crossflow 18d near the surface of the water level 18a which may enhance the radial expansion of the steam 22a above the water level 18a resulting in lower average steam leaving velocities from the water-steam interface 18a for obtaining reduced liquid carryover by increased liquid separation from the steam 22a. More specifically, and as shown in FIGS. 2 and 3, the collection pipes 54 extend vertically upwardly from the chamber 46 around the chimney outer wall 26 and therefore are effective for discharging the steam voids 22 as the separated steam 22b from the chamber 46 adjacent to the inner surface of the vessel 12 in the upper plenum 30 for reducing the average steam leaving velocities of the steam 22a discharged from the water level 18a for reducing water carryover in the steam. Without the steam separator 20, the steam leaving velocities have a relatively high average velocity and a generally centerpeaked velocity profile such as the exemplary profile 34 illustrated in FIG. 2. The steam separator 20 is effective for removing some of the steam voids 22 from the water flow 18b and discharging the resulting separated steam 22b from the collection pipes 54 into the outer perimeter of the upper plenum 30. As a result thereof, increased radial expansion and a more uniform radial distribution of the steam, i.e., 22a and 22b, results in the upper plenum 30, which may be represented schematically by the exemplary improved velocity profile 64 shown in dashed line. Steam radial expansion beyond the radially outer boundary of the chimney 24, and over the downcomer 32, is enhanced, and results generally in lower steam leaving velocities from the water-steam interface 18a. Natural separation by gravity is, therefore, more effective, and the height requirement of the upper plenum 30 for obtaining natural steam separation therein, is reduced, which may be used for obtaining a shorter reactor pressure vessel 12. As illustrated in FIG. 2 and 3, the top portions of the outlet pipes 54 may be inclined radially outwardly from the centerline 14 for discharging the separated steam 22b closer to the inner surface of the vessel 12 for further improving the uniformity of the steam leaving velocity and reducing the average thereof. Referring again to FIGS. 3 and 4, the chimney 24 may further include a plurality of flow partitions 66 spaced radially inwardly from the chimney outer wall 26 to define vertically extending chimney flow channels. The height of the chimney 24 is preferably at least as high as the height of the chimney wall distal end 26b, i.e., H.sub.c1. In one embodiment, the chimney partitions 66, which define the central chimney flow channels, are staggered higher relative to the chimney outer wall 26, or at a height H.sub.c2, reducing the velocity V.sub.h of the crossflow 18d over the outermost flow channels from centerline 14, and therefore steam carryunder. The steam separator 20 in accordance with the present invention is effective for reducing steam carryunder in the downcomer 32, and may be significant in increasing the radial expansion of the steam in the upper plenum 30 for reducing moisture carryover. For a given limiting steam carryunder design restriction, a relatively smaller diameter reactor pressure vessel 12 is possible by using the separator 20. Furthermore, the height of the vessel 12 may also be reduced as a result of the improved efficiency of water-steam separation in the upper plenum 30 from the improved radial uniformity of steam leaving velocities from the water level 18a. Since the coolant water 18 is being recirculated in the simplified BWR 10 by natural means due to density differences between the water flow 18c in the downcomer 32 and the water flow 18b and steam void 22 mixture in the chimney 24, low carryunder is desirable to maximize the density of the water flow 18c in the downcomer 32 in order to produce the required recirculation flow with the shortest chimney 24, and, in turn, the shortest height of the reactor pressure vessel 12. Natural circulation of coolant is also enhanced by reducing irreversible pressure drop losses in the coolant recirculation loop, and from this perspective the present invention provides for a greatly reduced pressure drop loss relative to the conventional mechanical steam separators. Furthermore, since less steam carryunder from the chimney 24 and into the downcomer 32 results from use of the steam separator 20, less quenching of the downcomer flow 18c is required by the returning feedwater channeled into the reactor 10 through a conventional feedwater sparger 68 as shown schematically in FIG. 1. The feedwater is provided to the sparger 68 by conventional means 70, which includes a feedwater pump for pumping the resulting liquid condensed from the steam 22a provided to the steam turbine. The temperature of the feedwater provided by the sparger 68 is conventionally relatively lower than the temperature of the downcomer flow 18c for providing acceptable quenching thereof for conventional operation of the reactor core 16. However, since steam carryunder is effectively reduced by the steam separator 20 in accordance with the present invention, higher than conventional feedwater temperatures may be possible, since less quenching is required, which results in higher levels of thermal-to-electric efficiency of the reactor 10 and the steam turbine-generator driven thereby. Furthermore, it is not necessary to remove the described steam separator 20 from the reactor pressure vessel 12 in order to periodically refuel the reactor (i.e., replace spent fuel assemblies with fresh fuel), as is presently the case with the conventional mechanical steam separator assembly. This is possible since the device described does not interfere or block the cross sectional area above the chimney, through which fuel assemblies must be raised or lowered while moving fuel to and from the reactor core 16 and the refueling floor elevated above the reactor pressure vessel 12. This feature provides for improvement in critical path time to complete refueling during the plant refueling and maintenance outage, and eliminates the need to provide for interim steam separator assembly storage volume in the reactor building. Illustrated in FIG. 8 is another embodiment of the steam separator 20 in accordance with the present invention which further includes a plurality of circumferentially spaced dampers 72 each positioned adjacent to a respective one of the chamber flow outlets 52. Each of the dampers 72 is positionable by means 74 between closed (shown in dashed line) and open positions (shown in solid line) for selectively controlling the velocity V.sub.c of the water flow 18e through the chamber 46 and out the outlets 62. The positioning means 74 are conventional, such as those used conventionally for positioning control rods by electrical or hydraulic means, and include a conventional drive 76 effective for translating vertically an output rod 78 joined to the damper 72 inside a conventional guidetube 80. The chamber flow outlets 52 are preferably sized so that when the dampers 72 are positioned to a fully open position, the velocity V.sub.c of the water flow 18e through the chamber 46 exceeds, or is greater than the terminal rise velocity V.sub.s of the steam voids 22 in the chamber 46, thusly carrying the steam voids 22 along with the chamber water flow 18e through the outlets 52 and into the downcomer 32. In this way, the level of the steam carryunder through the chamber 46 and the downcomer 32 may be controlled, which in turn regulates core power and neutron spectrum shift in the BWR 10 through inlet enthalpy and flow variations of the reactor core 16. Accordingly, load following capability may be provided with resulting improved fuel cycle economics. For example, increased carryunder of the steam voids 22 may be provided by the chamber 46 than would otherwise occur without the use of the chamber 46, for effectively varying core inlet enthalpy and flow for regulating core power. Increased steam carryunder results in hotter downcomer flow 18c, due to the heating effect of the steam voids 22, which reduces the density of the downcomer flow 18c and thus reduces the natural recirculation of the water flow 18c from the downcomer 32 and into the core 16 (i.e. water flow 18b). The water flow 18b channeled upwardly through the core 16, will accordingly, boil sooner than it otherwise would which increases the number of steam voids 22 and reduces moderation of neutrons for reducing nuclear reaction rate for dropping power output from the reactor 10. Correspondingly, the neutron spectrum shifts to a harder spectrum providing additional conventionally known benefits including the use of fertile fuel for absorbing neutrons instead of using additional control rods. The damper 72 may also be positioned from the fully opened position to a partly closed position for causing the terminal rise velocity V.sub.s to be greater than the velocity V.sub.c of the water flow 18e downwardly in the chamber 46 for reducing steam carryunder as described above. While there have been described herein what are considered to be preferred embodiments of the present invention, other modifications of the invention shall be apparent to those skilled in the art from the teachings herein, and it is, therefore, desired to be secured in the appended claims all such modifications as fall within the true spirit and scope of the invention. For example, other various geometries of the chimney 26 and steam separator collection chamber 46 may be used. Although the outer wall of the chimney 26 and the partition wall 44 are preferably cylindrical, they could have any suitable configuration including irregular shapes, and could also be arcuate portions which do not extend completely around the centerline axis 14.
053918878
summary
RELATED INVENTION The invention of the present application is related to co-pending application Ser. No. 07/951,209, filed on Sep. 25, 1992, for METHOD AND APPARATUS FOR WELDING PRECIPITATION HARDENABLE MATERIALS. The teachings of this co-pending application are incorporated into this present application in their entirety by reference, provided any such teachings are not inconsistent with any teachings herein. BACKGROUND OF THE INVENTION 1. Field of The Invention The field of the present invention relates generally to hazardous waste management, and more particularly to the management of radioactive waste materials. 2. Discussion of Related Art The management of hazardous waste material, including radioactive, biological, and chemical waste, is of critical concern to maintaining a safe environment. The management of such waste is multifaceted. An initial concern is to insure a high level of safety in handling these wastes at any given time. As such waste material is produced at a given site, the first concern is the containment of such hazardous waste products or material. As the secured waste material accumulates at a given site, the next concern is to transport the material away from the site in approved shipping containers, for delivery to a specialized facility for either storage and/or processing. Typically, high-level nuclear waste material produced at nuclear utility sites must be locally secured for a period of about 10 to 20 years. Thereafter, the radioactive waste material is planned to be transported to a specialized facility for longer term storage, and/or waste processing. In such intermediate term storage facilities nuclear waste may be stored in containers for 40 to 100 years, with the contents being accessible, which requires that the high-level nuclear waste must be retrievable and inspectable. After the passage of the intermediate storage time, the nuclear waste material may be processed or transported to other specialized sites for long term storage, for periods ranging from 300 to 1,000 years, for example. One such long term storage site is currently planned for the Tuff Repository in Nevada. As previously indicated, the management of hazardous waste material is not limited to radioactive waste, and similar concerns are associated with the management of biological and chemical waste. For chemical and biological wastes, the hazardous material may be processed, and rendered benign while in the container. However, radioactive waste management is particularly difficult in view of certain nuclear waste materials retaining high levels of radio activity for thousands of years. Over the past 40 years there has been increasing concern and activity in providing appropriate containers and inspection apparatus for the storage of hazardous waste, particularly nuclear waste material. Recently, a number of articles have been published describing present activities in these areas. One article by T. W. Doering and D. Stahl, entitled "High Level Nuclear Waste Retrievability", appeared in The Proceedings of The Third International Conference on High Level Radioactive Waste Management, Apr. 12-16, 1992, pages 362-365, and describes a design of waste packages for deep geologic disposal of spent nuclear fuel, and high-level waste glass. The inspectability of such waste packages is also discussed. In another article by D. Peters, K. Kundig, and D. Medley, entitled "Multi-Barrier, Copper-Base Containers for HLW Disposal", from The Proceedings of The Third International Conference on High Level Radioactive Waste Management, Apr. 12-16, 1992, pages 366-376, the use of copper and aluminum bronze for such containers is discussed. Various types of containers using such materials are also shown and described. The use of copper for various portions of such containers is emphasized. Another article by K. Janberg, H. Spilker, and R. Huggenberg, entitled "The German Cask-Concept for Intermediate and Final Storage of Spent Fuel", from The Proceedings of The Third International Conference on High Level Radioactive Waste Management, Apr. 12-16, 1992, pages 385-394, shows and describes various designs for canisters for use in storing radioactive material. The basic design includes a final disposal cask or canister stored within an outer shielding cask or canister. Each canister is provided with its own lid. Over the past 40 years many U.S. patents have been obtained for various container designs for storing nuclear waste. A number of such patents are discussed immediately below. Dougherty, U.S. Pat. No. 2,758,367, shows a down welding process for welding closure caps to cylindrical containers. The cylindrical containers are oriented on a lathe-like device, with the longitudinal access of the container being parallel to the horizontal plane. A welding head is positioned proximate a circumferential groove for receiving a welding bead, with the welding head being above the cylinder and groove for providing down welding. As the cylinder is rotated the welding head is operated for causing a weld bead to be formed within the circumferential groove. Lloyd et al., U.S. Pat. No. 3,327,892, shows a stainless steel tubular container for storing nuclear material. The end of the container is sealed via a cup-shaped lid 2. The upper circumferential edge of the cup lid 2 is welded via a circumferential weld 7 to the top edge of the container 1. Copper brazing is used to seal the sides of the cup lid 2 to opposing sides of the container 1. Sannipoli, U.S. Pat. No. 3,734,387, teaches a tank fabrication system, whereby individual sections of a large cylindrical tank are oriented with their longitudinal axes parallel to the horizontal plane, and placed upon movable trollies. Apparatus is shown for rotating two sections to be joined for permitting welding thereof via a welding head positioned above the intersection between the two sections. Eroshkin et al., U.S. Pat. No. 4,187,410, teaches a method for joining two pieces of metal together through use of a multi-pass welding bead within a narrow groove formed between the pieces. Gesser et al, U.S. Pat. No. 4,320,847, shows a container for storing spent fuel elements that is substantially cylindrical in its main lower portion and has an uppermost portion that has diverging walls. A cup-like lid is fitted within the uppermost portion of the outwardly flaring wall members for sealing the container. The cup-like cap is welded about its circumferential lip to the interior wall portion of the frusto conical widening at the upper portion of the container. Janberg, U.S. Pat. No. 4,508,969, shows a cylindrical container for storing spent reactor fuel elements. The container is closed off by a dome shaped lid or top member. The material for the container is indicated as being carbon steel or high-grade steel where thinner walls can be used. The outer portion of the container is a shielding layer made of polyethylene or some other hydrocarbon for absorbing residual neutron radiation. Popp et al. U.S. Pat. No. 4,527,065, shows a storage container for the long term storage of radioactive material. The container is made from material such as cast iron and cast steel. A relatively flat cap or cover 6 is shaped to provide a circumferential weld groove between the bottom portion of the cap and the top lip of the container for permitting the cap to be welded to the container. Popp et al., U.S. Pat. No. 4,572,959, shows a container for the long term storage of radioactive waste. The container is cylindrical and includes in the topmost portion a circular recess for receiving a closure cap or plug 4. A circumferential welding groove is formed between a beveled upper portion of the cap and a beveled or sloping interior topmost rim portion of the container, for receiving a weld bead. The container includes an interior base portion of cast iron, an outer wall layer 3 made of high-alloy austenitic nodular cast iron, and an interior cover 5 is fitted below the top cap 4. Popp, U.S. Pat. No. 4,596,688, shows a container for the long term storage of radioactive materials that is made of steel, cast steel or similar material. The container is multilayered and substantially cylindrical in shape. The open top end is sealed by a multilayered cap which is shaped to form a circumferential groove with the top lip of the container for receiving a weld bead. Protective layers of the container are made of graphite, ceramic material or an enamel material. Warder et al., U.S. Pat. No. 4,872,563, shows a container for storing hazardous materials. The container is particularly designed for storing biological materials. Gaudin, U.S. Pat. No. 4,881,678, shows a robotic welding system that is remotely controlled. The system employs a welding process for applying a weld bead in multiple passes into a groove between two parts to be joined. Madle et al. U.S. Pat. No. 4,976,912, teaches an apparatus for welding and testing a weld on a cover for sealing a container storing radioactive material. The system provides for mounting the container vertically on a rotatable platform. The system further includes a bridge-like arrangement for retaining welding tools in a fixed position for welding the cap to the top of the container as the container is rotated. Inspection tools are also located on the bridge in a fixed container for permitting inspection of the weld as the container is rotated. Leebl, et al., U.S. Pat. No. 3,754,141, shows a storage container for radioactive material. The container is cylindrical and is provided with a shallow cup-like cap or lid. The container actually includes multiple containers surrounding one another. Backus, U.S. Pat. No. 3,770,964, shows a container for storing radioactive material. This container shows a pair of annular seals 32 disposed within circular grooves for sealing a bottom portion of a cap to an interior ledge-like lip portion of the container. Bock et al., U.S. Pat. No. 4,078,811, shows a sealing device that includes an elastic circumferential seal 3 for sealing a lid to the top of a container. Baatz et al., U.S. Pat. No. 4,274,007, shows the use of a plurality of a "O"-ring seals between a step-shaped lid member and the interior step-like ledge and side portions of the upper portion of a storage container. The "O"-rings are contained within annular grooves. Baatz et al. U.S. Pat. No. 4,445,042, shows a cylindrical container for radioactive waste that shows the use of metal "O"-rings, metal, elastomeric "O"-rings, and metal-to-metal seals, for sealing a converging step-like lid to a diverging stepped interior upper portion of the container. Fields, U.S. Pat. No. 4,535,250, shows a container for radioactive material including silicone rubber seals 20, 29 and 31 for sealing a lid to the top of the container. Popp et al., U.S. Pat. No. 4,594,214, shows a container for storing radioactive materials that includes a plurality of concentric layers or containers within a container. The innermost container is sealed by a screwed in cap. An intermediate portion of the container is sealed via a cup-like cap welded to an upper lip of the outer container via a topmost circumferential welding groove between the cap and interior side edge of the outer container. An outermost cap is screwed onto the top of the container. Schroeder et al., U.S. Pat. No. 4,673,814, shows a cylindrical container for storing radioactive material. The container includes an interior uppermost diverging wall portion for receiving a cap member having outwardly diverging sides. The cap is welded via a weld groove to an interior portion of the uppermost wall of the container. Koester et al, U.S. Pat. No. 4,702,391, disclose a corrosion resistant container for radioactive material. The container is lined with titanium-palladium alloy applied by explosion plating. Electron beam welding is used to close seams in the container. The bottom and cover lid of the container are apparently made of steel plates covered with a corrosion protected layer of titanium-palladium alloy applied by explosion plating. A circumferential weld is used about the bottom and top portions of the container. A cover plate 6 is used to cap off the container. Bienek et al, U.S. Pat. No. 4,738,388, shows a container for storing radioactive material. The container is cylindrically shaped. A dual element cap mechanism is used for closing off the container. The cap includes metal-to-metal sealing, and is provided with a main first member that screws into the interior upper portion of the container, and forms a topmost circumferential groove 17 with the inside edge of the top portion thereof for receiving a weld bead. Popp et al., U.S. Pat. No. 4,818,878, shows a double container for storing radioactive material. Several different embodiments are disclosed for sealing the top of the container through use of different capping mechanisms. Metal sealing rings are disclosed, as are the use of circumferential welding grooves for receiving a weld for sealing capping members to the container. Madle et al., U.S. Pat. No. 4,847,009, shows a container for storing radioactive material that includes an inner container provided with a dome lid 8. The inner container is contained within an intermediate container that also is sealed at its top end with a dome lid 12. McDaniels, Jr., U.S. Pat. No. 4,883,637, shows a closure arrangement for a container containing radioactive waste. "O"-ring seals 31 are used for sealing off one portion of a cap 26 to an interior flange or lip in an upper portion of a container. Takeshima et al., U.S. Pat. No. 5,015,863, shows the use of shielding material for shielding nuclear waste containers. Composite particles are used to form the radiation shield from a group of materials including, but not limited to, oxides of beryllium, beryllium alloys, copper, copper alloys, and so forth. SUMMARY OF THE INVENTION An object of the invention is to provide an improved container for both the short and long term storage of hazardous waste material. Another object of the invention is to provide an improved lid for a container for hazardous waste, for facilitating the short term and intermediate term storage of such waste. Another object of the invention is to provide a lid for a container for hazardous waste, for facilitating the long term storage of such waste, whereby the improved lid further facilitates periodic inspection of the closure mechanism. Another object of the invention is to provide a container which can be unsealed, the contents inspected or modified, and the container resealed. Yet another object of the invention is to provide an improved container for storing hazardous waste that is compatible with common remote manipulator apparatus. Another object of the invention is to provide an improved container for storing and sealing hazardous waste using mechanical means. Another object of the invention is to provide a configuration of container, lid and weld all of which take advantage of mechanical stability, high strength and isotrophy inherent in precipitation hardenable material. Yet another object of the invention is to provide an improved container for storing hazardous waste that includes high mechanical integrity, and facilitates automatic welding of sealing lids or caps thereto. With these and other objects of the invention in mind, the present invention provides in one embodiment for intermediate and long term storage of hazardous waste, an elongated cylinder consisting of an age hardenable alloy, for example copper-beryllium alloy material. The container is provided with a dome shaped lid including three tapered horizontal holes at the ends of slots evenly spaced about the circumference, for receiving handling apparatus for both installing and removing the lid from the container, establishing the mechanical seal, and for lifting the container with the lid connected thereto. The lower portion of the dome lid is threaded for screwing into the top of the cylindrical container and forming a mechanical seal therewith. A groove is provided about the circumference of the dome lid where it meets with the top edge of the container for receiving a multi-turn helical weld bead. The weld filler material is also an age hardenable alloy, for example a copper-beryllium alloy material. After welding, the weld is heat-treated for causing the weld material to become precipitation hardened to have substantially the same mechanical characteristics as the material of the container. In another embodiment of the invention, the cylindrical storage container is provided with a cup-like cap. The cup-like cap includes a smooth uppermost track surface similar to the lip of a cup for receiving a remote inspection tool that is able to rotate about the lip of the cup for inspecting the seals between the cap and the main cylinder body through use of ultrasonic or x-ray inspection. A groove is formed between the top of the container and the overlapping portion of the cup-like cap for accepting a multi-layer helical weld bead, similar to the dome-cap embodiment of the invention previously mentioned. The interior inside surface of the cup-like lid is indexed in order to permit the inspection tool to locate itself at all times relative to its position on the cap, thereby permitting rapid identification of any given area of the cap under inspection. In either of the dome lid or cup-like lid or cap embodiments of the invention, "O"-ring, laminate metal, and/or temperature triggered metal sealing means are used between the bottom of the lids or cap and adjoining shoulder or inside wall surface of the respective lids.
summary
summary
054240425
description
DETAILED DESCRIPTION OF A PREFERRED EMBODIMENT In the following description similar components are referred to by the same reference numeral in order to simplify the understanding of the sequential aspect of the drawings. Referring now to FIG. 1, the radioactive waste processing system 20 in its preferred embodiment is comprised of a number of subsystems: a feed conditioning subsystem 22, a waste preparation subsystem 24, a melting/combustion subsystem having a two-zone melter chamber 26, a glass handling subsystem 28, and an off-gas cleaning and control subsystem having an off-gas control component 32 and a pollution control component 34. Radioactive waste from a plant 36, such as a nuclear power plant but which could be a hospital or other radioactive waste generator, can usually be characterized as coming from one of three main waste streams or types of waste: dry active waste (DAW) 38, ion exchange resin and wet waste or sludge 42, and liquid waste having organics and inorganics, a substantial portion of which is aqueous waste 44. Prior to any vitrification activity, each type of waste is preferably conditioned before it is blended with the other wastes from that generator and glass formers for feeding into melter chamber 26. The goal of waste preparation is to form a waste feed that has a uniform BTU content through blending, drying or size reduction. The first type of waste, DAW 38, is typically comprised of wooden boards and metal fragments, low level protective clothing such as rubber or plastic booties and gloves, paper and the like, and is usually packaged for handling in the form of bales, drums or plastic bags containing semi-compacted or non-compacted DAW 38. DAW 38 is conditioned preferably by shredding with a shredder 46 (discussed more fully below) to reduce the size of pieces of DAW 38. Shredding DAW 38 with shredder 46 allows DAW 38 to blend more easily with the various constituents of DAW and the wastes from the other waste streams, as well as to increase surface area and oxidation kinetics in the melter. Instead of compacting at the generator's facility, DAW 38 can simply be packed into drums, bales and similar containers for transporting to waste preparation subsystem 24, which can be on-site but is preferably part of a centralized, waste processing facility located off-site. The resins in resins and sludges 42 that are generated by plant 36 typically exist in granular or powdered form and are usually introduced into processing system 20 in any packaging that is desirable for efficient handling and transport. The sludges in resins and sludges 42 are usually floor drain tank bottoms and storage tank bottoms. Resins and sludges 42 are conditioned preferably by dewatering (drying) to remove the slurry and interstitial water, or "free" water between resin beads. Such dewatering does not necessarily include significant removal of water in the resin beads themselves. Preferably, resins and sludges 42 are dewatered using a resin dryer 48, which can be any suitable dryer that removes the free or standing water from resins and sludges 42 efficiently. Most preferably, resin dryer 48 is of the kind taught in commonly-assigned U.S. Pat. No. 4,952,339, whose disclosure is incorporated herein by reference. Once the interstitial water has been removed from resins and sludges 42 using the necessary resin dryer 48, resins and sludges 42 are stored in containers suitable for transporting in shielded casks to waste preparation subsystem 24. The remaining waste is generally referred to as liquid waste, however, it consists predominately of aqueous waste 44, and to a lesser extent, of organic liquid waste. Constituents of liquid waste other than aqueous waste 44 include combustible organics, lube oil, antifreeze, inorganic acids and salts, boron and the like, and make up a very small proportion of the liquid waste compared to the proportion of aqueous waste 44. Conditioning of aqueous waste 44 usually occurs through concentration processes involving filtration technologies and/or liquid volume reduction, both of which are shown as 52. Filtration/liquid volume reducer 52 can be comprised of any desirable combination of filters, evaporators, dryers, and the like as needed. Preferably, filtration/liquid volume reducer 52 comprises prefiltration and microfiltration membranes, reverse osmosis (hyperfiltration) membranes, and an evaporator/concentrator tank followed by a vacuum dryer. All aspects of filtering and liquid volume reduction used in filtration/liquid volume reducer 52 can be accomplished using selective microfiltration and reverse osmosis with aqueous volume reduction to treat streams of liquid or aqueous waste 44. These technologies are known individually but their combination with vitrification is new and cost-effective in concentrating aqueous waste 44, and particularly aqueous waste 44 having a high conductivity, such as wastes from laundries and floor and equipment drains. Also, these technologies generate much less waste volume than conventional filtration. The initial stage of concentration uses reverse osmosis whereby water is forced from a more concentrated solution through a selective or semipermeable membrane into a less concentrated solution by exerting high pressure on the concentrated solution. Particulate matter and dissolved material in the concentrated stream do not pass through the selective membrane; only clean water passes through the selective membrane. Most of the selective membranes used for reverse osmosis are made from either cellulose diacetate and cellulose triacetate blends, aramid hollow fiber, or are based on polyamide chemistry. Typically, the membranes have pore sizes less than 10.degree. Angstroms (10.sup.-7 cm) and can retain organic materials in the range of 100 to 200 molecular weight. Preferably, aqueous waste 44 is pretreated using conventional filtration, microfiltration, pH adjustments, surfactants and the like prior to using reverse osmosis processes. Pretreatment is often necessary to prevent membrane fouling caused by material in suspension or low solubility salts in aqueous waste 44 that precipitate upon concentration. Microfiltration membranes are similar to membranes used for reverse osmosis except that the pores on microfiltration membranes are significantly larger, usually between 400.degree. and 10,000.degree. Angstroms. Obviously, the degree and quantity of separation depends on the respective pore sizes and the particular contents of aqueous waste 44 being filtered. However, typical microfiltration is suited to remove organics (oils and the like) and colloidal material from various types of aqueous waste 44. The final stage of the conditioning of aqueous waste further separates the liquid portion of aqueous waste 44 from the solid residue while either reusing or discharging the clean water. This system is suitable for processing aqueous waste 44 having both dissolved and suspended solids, as well as sludges. The system uses a blender/dryer to evaporate water from aqueous waste 44, resulting in concentrated aqueous waste 44 having approximately 5-90% by volume solids. Depending on the particular initial concentration of waste 44, the system can be used without prior filtration processes such as those previously described. However, although the system is capable of handling aqueous waste 44 having very low solids, its efficiency improves when prior filtration processes have been performed. Preferably, reverse osmosis processes are included with the blender/dryer system in a portable, multiple skid-mounted assembly for easy handling. In this manner, the prefiltration and microfiltration units preferably comprise the first skid, while the reverse osmosis component is mounted on the second skid and the blender dryer system is mounted on the third and fourth skids. The blender/dryer system is typically operated under vacuum conditions to improve heat transfer and reduce fouling of blender/dryer surfaces. In the preferred operation of the reverse osmosis/filtration system, assuming aqueous waste 44 is of a typical constituency, a 50 gallon per minute (gpm) pump feeds aqueous waste 44 to a single-stage cartridge filter (prefilter) and microfilter that are aligned in series. The concentrate from the microfiltration is directed to the inlet of the blender dryer system. The permeate from the microfiltration is directed to the inlet of the reverse osmosis component. The concentrate from the reverse osmosis component is directed to the inlet of the blender dryer while the clean water permeates from the reverse osmosis component is reused or discharged. The blender dryer preferably comprises a steam heated evaporator/concentrator tank connected to a vacuum dryer. The water vapor from both the concentrator tank and the vacuum dryer is condensed and may be returned to the prefilter inlet for recycling. The dry product in the dryer is discharged to a waste drum or to the melt chamber. The reverse osmosis/filtration system is designed for continuous operation with a 95% to 98% recovery rate of the feed water. It is possible to adjust the recycling of the microfiltration permeate and concentrate depending on the particular characteristics of aqueous waste 44. Typically, with a 50 gpm feed rate to the microfiltration and reverse osmosis stage of the system, approximately 0.5 to 1.0 gpm of concentrate will flow to the blender dryer. The remainder of aqueous waste 44 is clean and can be reused or discharged. The condensate can be reused also, thus providing close to 100% recovery of water if desired. However, because melter 26 can accommodate aqueous waste 44 having 5-20% by volume solids, such recovery rates are unnecessary. Waste from feed conditioning subsystem 22, whether in the form of shredded DAW 38, dried resins and sludges 42 or concentrated aqueous waste 44, is transported to waste preparation subsystem 24 for blending with glass formers and possibly other wastes to form a waste feed stream for melter chamber 26. Waste preparation subsystem 24 is shown in FIGS. 2a-2b and described below in more detail. Melter chamber 26 converts the waste feed stream into molten glass and off-gas, as shown in FIGS. 3-4 and described below in more detail. The molten glass passes to glass handling subsystem 28, which is described in more detail below. Similarly, the off-gas passes to exhaust control component 32 and eventually pollution control component 34 of the off-gas cleaning and control subsystem, which is shown in FIGS. 5a-5b and discussed more fully below. In FIGS. 2a-2b, portions of feed conditioning subsystem 22 and waste preparation subsystem 24 are shown schematically. Waste preparation subsystem 24 is used for mixing and blending all forms of waste discussed above and for delivering a consistent waste feed stream to melter chamber 26. Waste preparation subsystem 24 preferably comprises a hydraulic shredder/classifier 54 fed by a conveyor 56 through an air lock 58, a main rotary screw melter feeder 62, a resin hopper 64 with a first metering auger 66, and a glass former hopper 68 with a second metering auger 72. First and second metering augers 66, 72 are used to blend resins and sludges 42 from resin hopper 64 and glass formers from glass former hopper 68 together with the shredded waste from shredder/classifier 54 for feeding directly into melter chamber 26 (shown in FIG. 1). Shredder/classifier 54 is preferably similar to feed conditioning shredder 46, as shown in FIG. 1, and can be used in lieu of feed conditioning shredder 46 in most instances. The shredding portion of shredder/classifier 54 is an integrated material processor capable of processing drums, bales, concrete blocks, liquids, loose waste and the like. The classifier portion (not shown) of shredder/classifier 54 removes large metallic objects from shredder/classifier 54 that could possibly damage the overall feeding system of shredder/classifier 54. Shredder/classifier 54 is operated in a facility under a negative pressure with a chemically inert gas or nitrogen blanket to reduce the threat of fire caused by high volume shredding by shredder/classifier 54 of certain products in DAW 38. Nitrogen can be supplied by external means, but is preferably extracted from off-gas from melter chamber 26, the operation of which is discussed more fully below. Since the shredding portion of shredder/classifier 54 (and ultimately melter chamber 26) can process many metal objects, concrete, sand and other noncombustibles, the classifier portion of shredder/classifier 54 removes objects that cannot be processed by the shredding portion of shredder/classifier 54 such as thick steel plate, motors, valves and the like. Although shredder/classifier 54 is used mainly for shredding DAW 38, liquid waste and/or aqueous waste 44 can be mixed with an adsorbent, packaged and fed into shredder/classifier 54 by conveyor 56 or some other appropriate means. Also, dried resins and sludges 42 can be packaged and fed into shredder/classifier 54 via conveyor 56. Thus, in this manner, shredder/classifier 54 can be used to begin blending all waste types together for feeding to melter chamber 26. In the preferred operation of shredder/classifier 54, a plurality of waste drums 74 is loaded sequentially onto conveyor 56 by appropriate means. Drums 74 may contain compacted DAW 38, dried resins and sludges 42, aqueous liquid adsorbents and the like. As the first of waste drums 74 approaches air lock 58, an inner door 76 of air lock 58 closes and seals, air lock 58 is purged preferably with nitrogen, an outer door 78 of air lock 58 opens, a second conveyor 82 brings the drum into air lock 58, and then outer door 78 of air lock 58 closes and seals. Once outer door 78 of air lock 58 is closed and sealed and air lock 58 is purged, inner door 76 of air lock 58 opens. Second conveyor 82 then loads the drum onto an elevator (not shown) within air lock 58 that drops the drum into shredder/classifier 54. Once waste drums 74 have passed the classifier portion and are in the shredding portion of shredder/classifier 54, the waste contained in waste drums 74, as well as waste drums 74 themselves, are reduced to pieces preferably approximately 7.50 cm.times.7.50 cm. The contents of the waste at this time is normally composed substantially of DAW 38, however, it may also contain aqueous waste 44, adsorbents containing aqueous waste 44, concentrated aqueous waste 44, resins and sludges 42 and the like. Upon completion of shredding and, to a certain extent mixing, the contents of shredder/classifier 54 are fed into main rotary screw melter feeder 62, which pushes the waste material into melter chamber 26. As the shredded waste material from shredder/classifier 54 moves through main rotary screw melter feeder 62 toward melter chamber 26, resins and sludges 42 from resin hopper 64 are preferably blended together therewith using first metering auger 66. Similarly, glass formers from glass former hopper 68 are also released into second metering auger 72 to blend in rotary screw melter feeder 62 with shredded waste material from shredder/classifier 54. The mixing rate and overall composition of the waste being fed into melter chamber 26 can be adjusted depending on the composition of the wastes being blended together and the ultimate feed composition desired. Blending several waste types together prior to entry into melter chamber 26 produces a more consistent melter feed, thus eliminating potential thermal spikes within melter chamber 26 and off-gas emissions in off-gas control component 32 and pollution control component 34 and allowing more efficient operation of melter chamber 26. Also, different waste types can be stored temporarily until desirable blending ratios are possible. This is useful for batch processing operations, especially in view of pending legislation that may require processed waste to be returned, curie-for-curie to the plant from which it came. In FIG. 3, a schematic view of the preferred embodiment of the melter/combustion subsystem and glass handling subsystem 28 (both shown generally in FIG. 1) of waste processing system 20 is shown. The melter/combustion subsystem preferably comprises a two-zone melter chamber 26 having an upper or thermal zone 84, a lower or melting zone 86 and a disconnect mechanism 87. Upper or thermal zone 84 is where the bulk of the volume reduction and off-gas separation of entering waste occurs. Lower zone 86, which houses a melter chamber 88 heated by electric induction heating coils 92, is where waste and glass formers are melted together to form a glassy pool. Both upper zone 84 and lower zone 86 are preferably cylindrical water-cooled vessels with inner and outer liners. However, other forms of cooling and liners made of several suitable materials can be used. For example, chamber 88 could have a silicon carbide, inconel, alumina or zirconia liner. Also, upper zone 84 can be lined with a removable metal radiation barrier or with optional refractory material; lower zone 86 is not lined. Lower zone 86 is adapted for use with disconnect mechanism 87 and can comprise any one of a number of known melting zone designs, including refractory lined, Joule heated electrode melters; induction heated cold wall crucibles; induction heated warm or hot wall crucibles; in-can melter chambers; and slagging chambers. Cold wall crucibles feature a segmented wall, preferably made of non-suscepting Inconel, cooled by a surrounding water wall. Also, the crucible has a water jacket (or cold wall) "disc" bottom and induction heating coils surrounding the crucible and water wall that operate within the frequency range of approximately 30-50 kHz. Warm and hot wall crucibles feature a cylindrical crucible with a cold wall bottom. Typically, warm wall crucibles are made of non-suscepting alumina or zirconia, although a suscepting crucible liner is optional. Hot wall crucibles are made of silicon carbide or Inconel. The induction heating coils normally operate in the range of approximately 30-50 kHz for warm wall crucibles and 1-3 kHz for hot wall crucibles. In-can melter chambers are cylindrical canisters with an optional refractory lining. The canisters are surrounded by induction heating coils or a resistive heating element. Slagging chambers use the buildup of glass on the inside of the chamber walls to restrict heat loss that, along with water cooled walls, eliminate the need for refractory lining and controls the temperature of the chamber by removing heat quickly. Preferably, the top of upper zone 84 has a plurality of pipes, shown generally as 94, 96, attached thereto for air, oxygen and water feeds, instrumentation, camera view ports and the like. Also, a larger pipe 98 is attached to the top of upper zone 84 for gas recirculation (discussed in greater detail below). Waste is fed into upper zone 84 via rotary screw melter feeder 62 (shown in FIGS. 2a-2b) through a first side port 102. A second side port 104 is a gas outlet port connecting melter chamber 26 with the off-gas cleaning and control subsystem. Waste fed into melter chamber 26 from shredder/classifier 54 of waste preparation subsystem 24 (see FIGS. 1, 2a-2b) falls onto the molten glass pool within melt chamber 88 formed by glass formers, noncombustible waste and ash from combustible waste. Organic constituents present in the waste are consumed by the intense heat maintained within thermal zone 84 and burned off. Combustibles within the waste become off-gas, which passes through outlet port 104, and ash, which dissolves into the molten glass pool in chamber 88. The non-organic constituents in the waste also dissolve or settle into glass thereby becoming incorporated into the glass melt. Alternatively, aqueous waste 44 can be fed directly into melter chamber 26 without prior conditioning or blending with other types of waste. Similarly, resins and sludges 42 and adsorbents containing resins and sludges 42 or liquid waste can be fed directly into melter chamber 26 without affecting greatly the final glass product produced. Controlled amounts of oxygen, preferably mixtures containing approximately 20-90% oxygen, are injected into melter chamber 26 through one of the pipes 94, 96 to aid in processing and volume reduction of the contents of reciter chamber 26. The high oxygen content minimizes the off-gas volume and improves oxidation kinetics in melter chamber 26, thus greatly reducing nitrogen in the off-gas. Off-gas formed in melter chamber 26 is exhausted through second port 104, which passes to exhaust control component 32 of the off-gas cleaning and control subsystem (discussed in greater detail below). Alternatively, an immersion thermocouple (not shown) can be used to verify the maintenance of off-gas and refractory temperatures in melter chamber 26. A bottom induction nozzle 106 taps molten glass produced in melter chamber 26, preferably cooling the molten glass during tapping to stop flow as required. From nozzle 106, the molten glass proceeds to glass handling subsystem 28. Preferably, remote power supplies (not shown) provide approximately 400 kw of power at approximately 1-50 kHz to induction coils 92 through a lower port 107. Generally, 1-3 kHz is used for hot wall induction heating and in-can melter applications and approximately 30-50 kHz for warm and cold wall induction heated applications (both discussed above). The heat generated by induction heating melts and ultimately vitrifies the glass formers with the noncombustible waste contents and ash that have been fed into melter chamber 26. Induction heating provides much more efficient heating and stirring than conventional vitrification heating applications using electrodes. As a result, the consistency and homogeneity of the molten glass matrix and ultimately the final glass product is improved. The consistency of the final glass product is typically measured by the leach and solubility characteristics of the final glass composite. That is, when vitrified, metals in the waste contents dissolve in the glass in the form of metal oxides rather than the glass encapsulating the metal particles. The use of enriched oxygen (discussed below) enhances oxidation of metals to oxides thereby improving incorporation of metals into a glass matrix. A particulate filter 108 is remotely loaded in front of second port 104 to minimize particulate matter formed in thermal zone 84 from passing to the off-gas cleaning and control subsystem. Filter 108 also serves as a radiant heat barrier for thermal zone 84. Preferably, the differential pressure across filter 108 is monitored and, as the pressure increases--indicating that cleaning or replacement is necessary--filter 108 can be back flushed with gas to clean filter 108 or, alternatively, filter 108 can be pushed into melter chamber 26 and a new filter can be loaded. Glass handling subsystem 28 receives, solidifies and packages the stabilized liquid glass waste for eventual transport. Preferably, a portion of glass handling subsystem 28 includes a sealed enclosure 112 positioned under melt chamber 26 and nozzle 106. Enclosure 112 is dimensioned to house a bulk storage canister 114 that is to be filled with molten glass tapped from nozzle 106. Once canister 114 is filled with molten glass, it is cooled, capped and washed within enclosure 112. Canister 114 is then removed for positioning of the next canister to be filled. In FIG. 4, a schematic view of an alternative embodiment of melter/combustion subsystem and glass handling subsystem 28 (both shown generally in FIG. 1) of waste processing system 20 is shown. In this alternative embodiment, molten glass is tapped from nozzle 106 directly into a water-cooled glass solidification unit 116 where the glass is cooled into globules that can then be handled like marbles for ease of storage and handling. Solidification unit 116 is preferably a cooled, metal conveyor 118 that moves molten glass tapped from nozzle 106 to a waste glass hold-up bin 122 while simultaneously cooling the molten glass. Preferably, water is used as the cooling liquid and sprays on the bottom side of metal conveyor 118 and, optionally, as a mist on top of conveyor 118 through spray jets 123. With this relatively rapid solidification, the molten glass forms small, solid globules. In this embodiment, by the time the molten glass reaches the end of liquid-cooled conveyor 118, the glass will be fully solidified and cooled to less than approximately 250.degree. C. The glass globules will fall into holding bin 122 through a tube 124 connecting liquid-cooled conveyor 118 to holding bin 122. A waste glass fill chamber 126, which is sealed against an outlet 128 of holding bin 122, houses a waste container 132 for filling with the glass marbles as required. Preferably, any fine glass particulates exiting liquid-cooled conveyor 118 will be returned to melt chamber 26 by appropriate means. The alternative embodiment shown in FIG. 4 allows the glass globules to be stored in a wide variety of container sizes and shapes without the need for complex fill port arrangements. This is advantageous, particularly in the United States, because the glass marbles produced can be directly placed in high density, crosslinked polyethylene containers or other appropriate containers for final disposal. FIGS. 5a-5b show the off-gas cleaning and control subsystem, that is also shown diagrammatically in FIG. 1. As mentioned previously, the off-gas cleaning and control subsystem has an exhaust control component 32 and a pollution control component 34. Exhaust control component 32 is preferably a carbon monoxide control chamber 142 for oxidizing volatile organics and vapor products to carbon dioxide and water. Control chamber 142 is preferably a cylindrical, vertically-oriented vessel constructed with an inconel or other alloy inner shell lined with a high temperature refractory designed for long-term operation. The refractory can be a remotely applied, gunite cement that eliminates manual replacement of refractory. Control chamber 142 has an inlet port 144 in connection with outlet port 104 of melter chamber 26 for receiving off-gas therefrom. Inlet port 144 is preferably oriented so that off-gas enters control chamber 142 at an angle to increase gas flow and mixture. Also, control chamber 142 has mounted around its upper area a plurality of electrical resistance heaters 148 for keeping control chamber 142 at proper operating temperatures. Preferably, resistance heaters 148 are rated at a total power of approximately 160 kw. Control chamber 142 is designed to provide high turbulence, preferably greater than a Reynolds number of approximately 8,000 for off-gas having a residence time of approximately 2-3 seconds with a temperature of 1000.degree.-1200.degree. C. Such turbulence is preferably sufficient to completely oxidize organics in the off-gas from melter chamber 26. An injection port 152, shown in FIG. 5b, tangentially penetrates control chamber 142 for injecting an air/oxygen mixture at an angle to increase mixing of the gas and to assist in the conversion of carbon monoxide to carbon dioxide and water. An air/oxygen mixture is used instead of air alone to reduce the gas volume and formation of NO.sub.x, both of which would be higher if air alone were used since air is approximately 78% nitrogen. Also, an outlet port 154 located at the bottom of control chamber 142 connects to ductwork 156, which directs off-gas from control chamber 142 forward to pollution control component (shown generally as 34) of the off-gas cleaning and control subsystem. Prior to pollution control component 34, a pressure control and containment system is connected to ductwork 156. The pressure control and containment system preferably comprises an overpressure control chamber 157 connected to ductwork 156 through piping 158 and an overpressure control device 159. The pressure control and containment system is used for capturing releases by the entire waste processing system 20 due to large pressure spikes, thus preventing uncontrolled releases to the environment. Overpressure control chamber 157 is preferably an expansion tank for containing vented gases during excessive overpressure conditions. Also, overpressure control chamber 157 may contain water spray cooling devices (not shown) to assist in cooling gases released into chamber 157. Overpressure control device 159 is used to separate overpressure control chamber 157 from ductwork 156 and the normal operation of waste processing system 20, and usually in the form of a burst disk that connects overpressure control chamber 157 to ductwork 156 when an excessive overpressure condition forms within waste processing system 20. Also, the pressure control and containment system contains emergency exhaust (not shown) in case of explosion, backfire or flareup. Pollution control component 34 of the off-gas cleaning and control subsystem can include any of a number of elements but the specific configuration of pollution control component 34 will depend on factors such as emissions regulations, locality, public opinion and cost. The ability to properly clean off-gas from melter chamber 26 is required not only to license and legally operate systems such as the waste processing system 20, but also to protect public health and the environment. Preferably, a wet scrubbing pollution control component 34 is used in the off-gas cleaning and control subsystem of waste processing system 20. Such a configuration preferably contains a gas quencher 172, a venturi scrubber 174, a cyclone mist separator 176, a packed bed scrubber 178, an electric heater 182, a pair of HEPA filters 184 and 186, and an induced draft fan 188. One advantage of a wet scrubbing configuration is the high removal efficiency for particulate metals and acid gases such as SO.sub.x, HCl and HF. Another advantage is that particulates in the off-gas are intercepted by water droplets in venturi scrubber 174 and contained in the scrubbing liquid. Thus, the particulates are not produced as fly ash. Gas quencher 172 connects to ductwork 156 from control chamber 142 and is the first element of pollution control component 34. Gases exiting control chamber 142 potentially contain a variety of gases and vaporized metals that require treatment and/or removal prior to release to the atmosphere. Such pollutants include CO, SO.sub.2, SO.sub.3, NO.sub.x, HCl, HF, HBr.sub.2, heavy metals and radioisotopes (in particular C.sub.14, H.sub.3, and Cesium). Gas quencher 172 rapidly cools passing off-gas by injecting a solution of sodium hydroxide and water into the off-gas. The water mist initially absorbs the sensible heat and then absorbs latent heat as it is vaporized. By rapidly cooling the off-gas, gas quencher 172 reduces the possibility of downstream components being damaged by excessive heat. Secondly, gas quencher 172 reduces the gas load by a factor of 3--cool gas occupies less space than hot gas--thus reducing the necessary size of downstream components. Also, gas quencher 172 decreases any potential formations of Dioxins and Furans since the off-gas does not remain in gas quencher 172 long enough nor is the temperature range (approximately 200.degree.-300.degree. F.) appropriate for their formation. Finally, gas quencher 172 causes the initiation of particulate and acid gas absorption. Venturi scrubber 174 is used to remove both particulates and acid gases from the off-gas stream at very high efficiencies. Venturi scrubber 174 has a converging section, a throat section and an expansion section. In operation, gases are accelerated in the converging section and pass through the throat section where the scrubbing liquid solution is injected. As the gas passes through the throat and expansion sections, the sudden expansion atomizes the scrubbing liquid thereby providing a large surface area for the collection of particulate and acid gases. The wet, mist-laden gas from venturi scrubber 174 enters cyclone mist separator 176 tangentially through a horizontal tangential inlet 194. The cyclonic entrainment uses inlet 194 to induce a centrifugal force on the entering gases. Liquid drops are forced to the internal walls of separator 176 where the drops coalesce and drain into a collection sump 196. From collection sump 196, the coalesced liquid is preferably recirculated back to gas quencher 172 via a scrubber pump 198 and piping 202. Alternatively, the scrubber liquid is directed to a volume reduction system (not shown) such as the blender/dryer system described above. Unlike the liquid drops, the mist-free gases exit cyclone mist separator 176 and enter packed bed scrubber 178. Packed bed scrubber 178 removes acid gas at high efficiencies. In operation, the entering gas stream flows through a nonmetallic packing material that provides a high wetted surface area. A scrubbing solution is injected counter-currently to the gas stream, that is, down through a packed bed. The scrubbing solution, which is preferably a water solution adjusted with sodium hydroxide to a pH of approximately 6-8, drips down through the packed bed and fully wets all the available surface area. As the gas stream flows up through the packed bed, the particulates and acid gases from the gas stream impinge on the wetted packing and are absorbed and neutralized by the scrubber liquid. The scrubbing solution then drains down through the cyclone mist separator 176 and into collection sump 196. Approximately 50-150% of the stack off-gas is recycled using a blower unit 162 contained within a segment of recycling pipe 164 in connection with the rear end of pollution control component 34. Since 90% oxygen is injected into reciter chamber 26 (see pipes 96, 98 in FIGS. 3-4 and related discussion above) to greatly reduce nitrogen, a portion of the off-gas produced in melter chamber 26 can be recycled after passing through packed bed scrubber 178. A carbon dioxide removal unit 179 and a nitrogen removal unit 181 can be installed along recycling pipe 164 after blower unit 162. In this configuration, carbon dioxide removal unit can be any known removal unit suitable for this application but is preferably a liquification/refrigeration unit or an adsorber/scrubber unit. Similarly, nitrogen removal unit 181 can be any suitable unit but is preferably a nitrogen removal adsorber. Heater 182, preferably an electric resistance heater rated at approximately 50 kw, can be placed between packed bed scrubber 178 and filters 184, 186 for reducing the humidity of the gas as required for efficient operation of HEPA filters 184 and 186. Also, heater 182 could be sized to suppress the stack plume as desired, that is, to reduce the water vapor plume of the stack gas. Such reduction may be driven by public sensitivity to stack plumes. HEPA filters 184 and 186 are preferably high efficiency particulate absorbing filters capable of removing particles approximately 0.30 micrometers and larger at approximately 99.97% efficiency. The filter cartridge (not shown) for HEPA filters 184 and 186 is preferably a glass fiber media. Preferably, filter housings facilitate removal of the filter cartridge so that nothing is released to the environment. Preferably, HEPA filters 184 and 186 are configured in parallel so that pollution control component 34 remains in operation while either one of filters 184, 186 is being changed out. Filters 184, 186 can be housed in a stainless steel housing (not shown) along with pre-filters and the like as necessary. Induced draft fan 188, preferably a centrifugal, radial blade fan, is positioned just before a plurality of Continuous Emission Monitors 189 and an emission stack 204. Induced draft fan 188 is used to pull gas through the off-gas cleaning and control subsystem and to maintain a negative pressure inside melter chamber 26 in the range of approximately -2.9 to -4.9 KPa. Alternatively, carbon dioxide removal unit 179 and nitrogen removal unit 181 can be used downstream of HEPA filters 184 and 186 for removal of carbon dioxide and nitrogen thereby leaving almost no stack emissions. Preferably, collection sump 196 is a scrubbing liquid recirculation system, similar to a closed water cooling system. Collection sump 196 has a tank, dual pumps, filters, a heat exchanger and various piping and valves (none of which are shown). Collection sump 196 differs from a closed water cooling system in that the tank is a holding tank acting as a sump for the removal of sludge returned from the scrubber 178. Also, incorporated into the collection sump 196 is a scrubber-solution, pH adjustment subsystem (not shown) with dual metering pumps and a controller. Preferably, this subsystem continuously monitors and adjusts the pH of the scrubber solution between approximately 6-8 by the addition of sodium hydroxide, shown generally as 206, or other suitable substance. Also, fresh make-up water can be added as required. Normally, scrubber sludge is comprised principally of NaCl from neutralized HCl (from PVC plastics) and Na.sub.2 SO.sub.4 from neutralization of SO.sub.x from cation ion exchange resins. In use, waste processing system 20 vitrifies radioactive waste, comprised essentially of DAW 38, resins and sludges 42 and aqueous waste 44, that is generated by plant 36 and conditioned and blended with glass formers for vitrification. DAW 38 is conditioned by shredding. Resins and sludges 42 are conditioned by drying of interstitial, "free" water. Aqueous waste 44 is conditioned by concentration using filtration, adsorbents, and dryers so that aqueous waste 44 is approximately 5-90% by volume solids, but usually 70-90% by volume solids. Preferably, the DAW and conditioned resin and aqueous wastes are packaged in suitable containers and transported off-site to a centralized facility that houses waste preparation subsystem 24, the melting combustion subsystem, glass handling subsystem 28 and the off-gas cleaning and control subsystem. Resins and sludges 42 are then placed in hopper 64 and the remaining waste is fed into shredder/classifier 54. Alternatively, some of the waste can be fed directly into melter chamber 26 depending on its constituency. Then, all of the waste types are blended together depending on their particular constituents to produce a waste feed stream that will yield a constant BTU value when combusted. Shredder/classifier 54 and feeder 62 can be used to assist in blending the waste types, as can hoppers 64, 68. Once waste feed is fed into melter chamber 26, either continuously or in a batch mode, the combustible constituents of the waste feed are combusted in upper zone 84 and lower zone 86 to form an off-gas and an ash. The ash settles or dissolves along with the noncombustible constituents of the waste feed and the glass formers into the glass matrix. The ash, noncombustibles and the glass formers melt together to form a molten glass matrix using chamber 88. The molten glass matrix is dispensed to glass handling subsystem 28, where it is stored in suitable containers for solidification. The molten glass can be cooled as small blocks or large monoliths, depending on the size and shape of the storage containers, which can be high integrity containers or other suitable packages. Also, the molten glass can be cooled in the shape of marbles or crushed into a frit for storage in containers of various sizes and shapes. The off-gas produced in thermal zone 84 of melter 26 is processed through the off-gas cleaning and control subsystem, where it cleaned, partially recycled and eventually passed through a filtered emissions stack 204 into the environment. It will be apparent to those skilled in the art that the present invention is applicable to the processing of all types of waste, including but not limited to radioactive, toxic, industrial, household and the like, and that the examples discussed herein above are exemplary only. It will be apparent to those skilled in the art that many changes and substitutions can be made to the preferred embodiment herein described without departing from the spirit and scope of the present invention as defined by the appended claims.
abstract
A fuel assembly for a boiling water reactor which is designed to allow water, during operation of the reactor, to flow upwards through the fuel assembly while absorbing heat from a plurality of fuel rods, whereby part of the water is transformed into steam. The fuel assembly comprises a first steam pipe (10a) arranged with its longitudinal axis parallel to the longitudinal axis of the fuel assembly and the steam pipe comprises an inlet for the steam arranged in the first end of the steam pipe and an outlet for the steam arranged in the second end of the steam pipe. The fuel assembly also comprises a second steam pipe (10b) arranged above and at a distance from the first steam pipe such that an opening is formed between the steam pipes. The outlet of the first steam pipe has a diameter which is larger than the diameter of the inlet of the second steam pipe.
description
Photolithography is a process by which a photomask having a pattern is irradiated with light to transfer the pattern onto a photosensitive material overlying a semiconductor substrate. Over the history of the semiconductor industry, smaller integrated chip minimum features sizes have been achieved by reducing the exposure wavelength of optical lithography radiation sources to improve photolithography resolution. Extreme ultraviolet (EUV) lithography, which uses extreme ultraviolet (EUV) light having an exposure wavelength of between 10 nm and 130 nm, is a promising next-generation lithography solution for emerging technology nodes (e.g., 22 nm, 14 nm, 10 nm, etc.). The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed. Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly. Extreme ultraviolet (EUV) photolithography systems generally use extreme ultraviolet radiation having a 13.5 nm wavelength. One method of producing 13.5 nm wavelength radiation that has recently emerged is to shot a carbon dioxide (CO2) laser beam at droplets of tin (Sn). The tin droplets are typically provided into an EUV source vessel. As the droplets enter the EUV source vessel, the CO2 laser beam hits the tin droplets and heats the tin droplets to a critical temperature that causes atoms of tin to shed their electrons and become a plasma of ionized tin droplets. The ionized tin droplets emit EUV radiation comprising photons having a wavelength of approximately 13.5 nm. A curved collector mirror is used to focus the EUV radiation to a downstream a scanner having optical components configured to focus the EUV radiation onto a semiconductor workpiece. In modern day EUV radiation sources, the collector mirror is oriented to face upwards such that the plasma of ionized tin droplets is formed over the collector mirror. It has been appreciated that when the tin droplets are struck by the CO2 laser, debris from the collision may be ejected from the plasma and fall onto the collector mirror due to gravity. As the debris collects on the collector mirror, the collector mirror loses reflectivity. Therefore, to maintain high EUV energy, the collector mirror is replaced often. However, replacement of the collector mirror is a time intensive process that reduces throughput of the EUV radiation source. For example, a collector mirror may have to be replaced every month and may take up to 5 days to replace, thereby significantly reducing throughput of the EUV radiation source. The present disclosure relates to an EUV radiation source having a collector mirror oriented to reduce contamination by fuel droplet debris, and an associated method. In some embodiments, the EUV radiation source has a fuel droplet generator that provides a plurality of fuel droplets to an EUV source vessel. A primary laser is configured to generate a primary laser beam directed towards the plurality of fuel droplets. The primary laser beam has a sufficient energy to ignite a plasma from the plurality of fuel droplets, which emits extreme ultraviolet radiation. A collector mirror, configured to focus the extreme ultraviolet radiation to an exit aperture of the EUV source vessel, which is oriented so that a normal vector extending outward from a vertex of the collector mirror intersects a direction of a gravitation force by an angle that is less than 90°. Such an orientation of the collector mirror prevents debris from the fuel droplets from falling onto the collector mirror, thereby reducing build-up of debris on the collector mirror and improving throughput of the EUV radiation source. FIG. 1 illustrates a block diagram of some embodiments of an extreme ultraviolet (EUV) radiation source 100 having a collector mirror oriented to reduce contamination by fuel droplet debris. The EUV radiation source 100 comprises a fuel droplet generator 102 configured to generate a plurality of fuel droplets 104. The plurality of fuel droplets 104 generated by the fuel droplet generator 102 are provided into an EUV source vessel 106. In some embodiments, the plurality of fuel droplets 104 may comprise tin (Sn). In other embodiments, the plurality of fuel droplets 104 may comprise a different metal material. A primary laser 108 is configured to generate a primary laser beam 110 that intersects the fuel droplets 104. In some embodiments, the primary laser 108 may comprise a carbon dioxide (CO2) laser. In other embodiments, the primary laser 108 may comprise alternative types of lasers. When the primary laser beam 110 strikes the plurality of fuel droplets 104, the primary laser beam 110 heats the plurality of fuel droplets 104 to a critical temperature. At the critical temperature, the fuel droplets 104 shed their electrons and become a plasma 112 comprising a plurality of ions. The plurality of ions emit EUV radiation 114 (e.g., having a wavelength of approximately 13.5 nm). A collector mirror 116 is arranged within the EUV source vessel 106. The collector mirror 116 has a concave curvature that curves around the intersection of the plurality of fuel droplets 104 and the primary laser beam 110, which is configured to focus the EUV radiation 114 to an exit aperture 120 of the EUV source vessel 106. The collector mirror 116 is oriented to have a normal vector 116n that extends outward from the vertex of the collector mirror 116 (i.e., the geometric center of the collector mirror 116), along a principal axis of the collector mirror 116. In other words, the normal vector 116n extends perpendicular to a surface of the collector mirror 116 at the vertex. The collector mirror 116 is oriented so that the normal vector 116n intersects a direction of a gravitation force 118 by an angle Φ that is less than or equal to 90°. In some embodiments, the angle Φ is less than 90°. For example, in some embodiments the angle Φ may be less than approximately 85°. In some alternative embodiments, the angle Φ may be less than approximately 60°. Because the collector mirror 116 is oriented so as to have a normal vector 116n that intersects the direction of the gravitation force 118 by an angle Φ that is less than or equal to 90°, the collector mirror 116 has a vertex that is located at a position that is laterally adjacent to and/or above the plasma 112. This location prevents debris from the fuel droplets 104 from falling (i.e., being accelerated by the force of gravity) onto the collector mirror 116 (e.g., directly or off of interior surfaces of the EUV source vessel 106), thereby reducing build-up of debris on the collector mirror 116 and reducing the frequency at which the collector mirror 116 has to be replaced (i.e., reducing downtime and improving throughput of an EUV tool using EUV radiation source 100). FIG. 2 illustrates a block diagram of some additional embodiments of an EUV radiation source 200 having an angled primary laser. The EUV radiation source 200 comprises a tin droplet generator 202 configured to generate a plurality of tin droplets 204 and to provide the plurality of tin droplets 204 to an EUV source vessel 206 along a first trajectory. In some embodiments, the first trajectory may in a substantially same direction as a gravitation force 118. In other embodiments, the first trajectory may be in a different direction than the gravitation force 118. The EUV source vessel 206 comprises a processing chamber held under vacuum (e.g., at a pressure of less than 10−2 mbar). A carbon dioxide (CO2) primary laser 208 is configured to generate a primary laser beam 210. The primary laser beam 210 may comprise a plurality of pulses of infrared light. In some embodiments, the primary laser beam 210 may have principal wavelength bands centered around a range of between approximately 9 um and approximately 11 um and an energy of greater than or equal to approximately 11.9 MeV. The primary laser beam 210 strikes the plurality of tin droplets 204 to form a plasma 212 that emits EUV radiation 214. In some embodiments, the EUV radiation 214 may have a wavelength of approximately 13.5 nm. The primary laser beam 210 extends through an opening 216 in a collector mirror 218 located within the EUV source vessel 206. The collector mirror 218 comprises a concave curvature and is oriented to have a normal vector that is rotated with respect to a horizontal line (e.g., extending in a second direction perpendicular to the gravitation force 118) by a non-zero angle. In some embodiments, the collector mirror 218 may comprise a multi-layer coating having alternating layers of different materials. For example, in some embodiments, the collector mirror 218 may comprise alternating layers of molybdenum and silicon configured to operate as a Bragg reflector. In some embodiments, the opening 216 in the collector mirror 218 is located along a vertex of the collector mirror 218. In such embodiments, the primary laser beam 210 follows a trajectory that extends in a direction that intersects a direction of the gravitation force 118 at an angle β of less than approximately 90°. In some embodiments, the primary laser beam 210 is configured to intersect the plurality of tin droplets 204 at an intersection point that is located vertically below a horizontal line 220 extending through a vertex (i.e., center) of the collector mirror 218. Because of the orientation of the collector mirror 218, such an intersection point allows for the EUV radiation 214 to be efficiently collected by the collector mirror 218. In some embodiments, a tin droplet collection element 222 may be located below the tin droplet generator 202 and the collector mirror 218. The tin droplet collection element 222 is configured to collect tin droplets that are not vaporized during formation of the EUV radiation 214 and/or fragments of tin droplets generated during formation of the EUV radiation 214. In some embodiments, the tin droplet generator 202 and the tin droplet collection element 222 are aligned along a line that intersects a trajectory of the primary laser beam 210 by the angle that is less 90°. In some embodiments, a first side of the collector mirror 218 is separated from the tin droplet generator 202 by a first lateral distance L1, and a second opposite side of the collector mirror 218 is separated from the tin droplet collection element 222 by a second lateral distance L2 that is larger than the first lateral distance L1. The concave curvature of the collector mirror 218 focuses the EUV radiation 214 generated by the plasma 212 toward an intermediate focus (IF) unit 224 comprised within an exit aperture of the EUV source vessel 206. The intermediate focus unit 224 is located between the EUV source vessel 206 and a scanner 226 comprising optical elements configured to direct the EUV radiation 214 to a workpiece (e.g., a semiconductor substrate). In some embodiments, the intermediate focus unit 224 may comprise a cone shaped aperture configured to provide for separation of pressures between the EUV source vessel 206 and the scanner 226. In some embodiments, the intermediate focus unit 224 may extend into the scanner 226. In some embodiments, a line bisecting the intermediate focus unit 224 may be aligned with the normal vector of the collector mirror 218, so that the opening of the intermediate focus unit 224 within the scanner 226 faces downward. In some embodiments, the EUV source vessel 206 may further comprise a tin debris collection element 228 having one or more angled surfaces 229a, 229b extending between the collector mirror 218 and the intermediate focus unit 224. The one or more angled surfaces 229a, 229b are configured to collect debris (e.g., tin droplet atoms) from the plasma 212. In some embodiments, a lower angled surface 229a vertically underlies the collector mirror 218. In such embodiments, a vertical distance between the lower angled surface 229a and a bottom of the collector mirror 218 increases as a lateral distance between the lower angled surface 229a and the bottom of the collector mirror 218 increases. In some additional embodiments, the lower angled surface 229a intersects the direction of gravitational force 118 at a second angle γ that is larger than the angle β. In some embodiments, the one or more angled surfaces 229a, 229b may comprise corrugated surfaces configured to collect tin droplet atoms from the plasma 212. The corrugated surfaces increase a surface area of the one or more angled surfaces 229a, 229b so that debris from the plasma 212 can be more efficiently collected. FIG. 3 illustrates a block diagram of some additional embodiments of an extreme ultraviolet (EUV) radiation source 300 having a pre-pulse laser. The EUV radiation source 300 comprises a pre-pulse laser 302 configured to generate a pre-pulse laser beam 304 that is incident on a plurality of fuel droplets 104 generated by a fuel droplet generator 102. The pre-pulse laser beam 304 has an energy that is less than a primary laser beam 110 generated by a primary laser 108. The energy of the pre-pulse laser beam 304 is insufficient to ignite a plasma from the fuel droplets 104 (e.g., is less than 11.9 MeV), but does deform the fuel droplets 104 (e.g., increase a target size/diameter of the tin droplets) to generate deformed fuel droplets 306. In some embodiments, the pre-pulse laser 302 may comprise a carbon-dioxide (CO2) laser that has a lower energy than the primary laser 108. In some embodiments, the pre-pulse laser beam 304 may extend in a first direction, while the primary laser beam 110 extends in a second direction that is not parallel to the first direction. In some embodiments, the first and second directions may be separated by an angle θ that is in a range of between 0° and 90°. FIGS. 4A-4B illustrate some alternative embodiments of an EUV source comprising a collector mirror that is oriented to prevent contamination. FIG. 4A illustrates a block diagram of some additional embodiments of a block diagram of an extreme ultraviolet (EUV) radiation source 400. The EUV radiation source 400 comprises a primary laser beam 110 that extends through an opening 404 in a collector mirror 402 located within the EUV source vessel 206. The opening 404 within the collector mirror 402 is offset from a vertex 401 of the collector mirror 402, so that the opening 404 is located at a position that is asymmetric with respect to the curvature of the collector mirror 402. A normal vector 402n extending outward from the vertex 401 along a principal axis of the collector mirror 402 intersects the primary laser beam 110 at a non-parallel angle δ that is between 0° and 90°. In some embodiments, the opening 404 is located at a position that is vertically between the vertex 401 of the collector mirror 402 and a fuel droplet collection element 406. In some embodiments, the opening 404 is located at a location within the collector mirror 402, which allows for the primary laser beam 210 to extend along a horizontal direction that is substantially perpendicular to a direction of the gravitational force 118. In some such embodiments, the primary laser beam 210 may be substantially parallel to a pre-pulse laser beam (e.g., corresponding to pre-pulse laser beam 304 shown in FIG. 3). FIG. 4B illustrates a front-view 408 of the collector mirror 402 of FIG. 4A. As shown in front-view 408, the opening 404 within the collector mirror 402 is offset from a vertex 401 of the collector mirror 402. Although the opening 404 is illustrated as a circular opening, it will be appreciated that in alternative embodiments, the opening 404 may have different non-circular shapes. FIG. 5 illustrates a block diagram of some additional embodiments of an EUV lithography system 500. The EUV lithography system 500 comprises a primary laser 501 having a CO2 laser source 502 configured to produce a laser beam 504. In some embodiments, the CO2 laser source 502 may comprise a multi-stage laser having a plurality of stages configured to amplify laser light produced by a prior stage. The laser beam 504 passes through a beam transport system 506 configured to provide the laser beam to a focusing system 508. The focusing system 508 comprises one or more lenses 508a, 508b and/or mirrors arranged within a beam line and configured to focus the laser beam 504. The laser beam 504 is output from the focusing system 508 to an EUV source vessel 206. In some embodiments, the EUV source vessel 206 may be coupled to an underlying source pedestal 510 by one or more damping elements 512. The laser beam 504 follows a trajectory that intersects a plurality of tin droplets 204 provided from a tin droplet generator 202, located within a ceiling of the EUV source vessel 206, to form a plasma 212 that emits EUV radiation 214. The EUV radiation 214 is reflected by a collector mirror 218 to an intermediate focus unit 224 that provides a connection to a scanner 226. The collector mirror 218 oriented so that a normal vector extending outward from a vertex of the collector mirror 218 intersects a direction of a gravitation force by an angle that is less than 90° The scanner 226 comprises an optical train having a plurality of optical elements (e.g., lenses and/or mirrors) configured to scan the EUV radiation 214 along a surface of a semiconductor workpiece. The optical train of the scanner 226 may be held under vacuum (e.g., at a pressure of less than 10−2 mbar) to avoid attenuation of the EUV radiation 214. In some embodiments, the scanner 226 may be coupled to an underlying scanner pedestal 516 by one or more damping elements 518. In some embodiments, the EUV lithography system 500 may comprise a droplet metrology system 520 configured to determine the position and/or trajectory of the plurality of tin droplets 204. In some embodiments, the information from the droplet metrology system 520 may be provided to the focusing system 508, which can make adjustments to the position of the laser beam 504 to intersect the first trajectory of the plurality of tin droplets 204. FIG. 6 illustrates a block diagram of some additional embodiments of an EUV photolithography system 600. Although the EUV photolithography system 600 is illustrated as having a certain configuration of components, it will be appreciated that the disclosed EUV radiation source may be implemented in EUV photolithography systems having additional components (e.g., additional mirrors) or having less components (e.g., less mirrors). The EUV photolithography system 600 comprises EUV radiation source 601 configured to supply EUV radiation 214 (i.e., with wavelengths in a range of between about 10 nm and about 130 nm) to an EUV photomask 602 having a patterned multi-layered reflective surface (e.g., comprising alternating layers of molybdenum and silicon). In some embodiments, the EUV radiation source 601 is configured to generate the EUV radiation 214 by hitting tin droplets 204 with a primary laser beam 210 to generate a plasma 212 comprising ions that emit photons at a wavelength of between approximately 10 nm and approximately 130 nm. The EUV radiation 214 output from the EUV radiation source 601 is provided to a condenser 606 by way of an intermediate focus unit 224. In some embodiments, the condenser 606 comprises first and second surfaces, 608a and 608b, configured to focus the EUV radiation 214, and a reflector 610 configured to reflect the EUV radiation 612 towards the EUV photomask 602. The EUV photomask 602 is configured to reflect the EUV radiation 612 to form a pattern on a surface of a semiconductor workpiece 604. To produce the pattern, the EUV photomask 602 comprises a plurality of absorptive features 614a-614c arranged on a front surface of the EUV photomask 602. The plurality of absorptive features 614a-614c are configured to absorb the EUV radiation 612, such that the reflected rays of EUV radiation 616 conveys a patterned defined by the EUV photomask 602. The EUV radiation 616 is filtered through reduction optics comprising a series of first through fourth mirrors 618a-618d, which serve as lenses to reduce a size of the pattern carried by the EUV radiation 616. The fourth mirror 618d conveys the EUV radiation 616 onto a on a layer of photoresist disposed on a surface of the semiconductor workpiece 604. The EUV radiation patterns the layer of photoresist so that subsequent processing can be performed on selected regions of the semiconductor workpiece 604. FIG. 7 illustrates a flow diagram of some embodiments of a method 700 of performing an EUV photolithography process. While the disclosed method 700 is illustrated and described herein as a series of acts or events, it will be appreciated that the illustrated ordering of such acts or events are not to be interpreted in a limiting sense. For example, some acts may occur in different orders and/or concurrently with other acts or events apart from those illustrated and/or described herein. In addition, not all illustrated acts may be required to implement one or more aspects or embodiments of the description herein. Further, one or more of the acts depicted herein may be carried out in one or more separate acts and/or phases. At 702, a plurality of fuel droplets are provided into an EUV source vessel. In some embodiments, the plurality of fuel droplets may comprise tin droplets. At 704, one or more of the plurality of fuel droplets may be struck with a pre-pulse laser, in some embodiments. The pre-pulse laser is configured to deform or change the shape of the fuel droplets. At 706, one or more of the plurality of fuel droplets are struck with a primary laser beam. The primary laser beam ignites a plasma from the fuel droplets that emits extreme ultraviolet (EUV) radiation. In some embodiments, the primary laser beam may comprise a laser beam generated by a carbon dioxide (CO2) laser. At 708, the EUV radiation is focused at a focal point using collector mirror oriented so that a normal vector extending outward from a vertex of the collector mirror intersects a direction of a gravitation force by an angle that is less than 90° At 710, the EUV radiation is provided to a workpiece via an EUV photomask (e.g., reticle) having a patterned multi-layered reflective surface (e.g., comprising alternating layers of molybdenum and silicon). Therefore, the present disclosure relates to an extreme ultraviolet (EUV) radiation an source having a collector mirror oriented to reduce contamination by fuel droplet debris, and an associated method In some embodiments, the present disclosure relates to an extreme ultraviolet (EUV) radiation source. The EUV radiation source comprises a fuel droplet generator configured to provide a plurality of fuel droplets to an EUV source vessel. The EUV radiation source further comprises a primary laser configured to generate a primary laser beam directed towards the plurality of fuel droplets, wherein the primary laser beam has a sufficient energy to ignite a plasma that emits extreme ultraviolet radiation from the plurality of fuel droplets. The EUV radiation source further comprises a collector mirror configured to focus the extreme ultraviolet radiation to an exit aperture of the EUV source vessel, wherein the collector mirror is oriented so that a normal vector extending outward from a vertex of the collector mirror intersects a direction of a gravitation force by an angle that is less than 90°. In other embodiments, the present disclosure relates to an EUV radiation source. The EUV radiation source comprises a tin droplet generator configured to provide a plurality of tin droplets to an EUV source vessel. The EUV radiation source further comprises a carbon dioxide (CO2) laser configured to generate a primary laser beam, wherein the primary laser beam has a sufficient energy to ignite a plasma that emits extreme ultraviolet radiation from the plurality of tin droplets. The EUV radiation source further comprises a collector mirror configured to focus the extreme ultraviolet radiation to an exit aperture of the EUV source vessel, wherein the collector mirror has a vertex that is located at a position that is laterally adjacent to and vertically above an intersection of the plurality of tin droplets and the primary laser beam. In yet other embodiments, the present disclosure relates to a method of generating extreme ultraviolet (EUV) radiation. The method comprises providing a plurality of fuel droplets into an EUV source vessel, and striking the plurality of fuel droplets with a primary laser beam to generate a plasma that emits EUV radiation. The method further comprises focusing the EUV radiation at a focal point using collector mirror oriented so that a normal vector extending outward from a vertex of the collector mirror intersects a direction of a gravitation force by an angle that is less than 90°. The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.
044629567
summary
FIELD OF THE INVENTION The invention concerns an apparatus for partitioning off the core of a nuclear reactor with removable elements, the core of the reactor being constituted by juxtaposed prismatic assemblies inside a casing laterally bounding a space surrounding the core in which a cooling liquid circulates. BACKGROUND In nuclear reactors, particularly in pressurized water nuclear reactors, the core of the reactor constituted by a set of prismatically shaped assemblies, usually of square section and disposed vertically, has a cooling liquid passing through it, usually water, in the longitudinal direction of the assemblies to cool the latter and transfer heat from the core to the steam generators. In pressurized water reactors, the square section assemblies are disposed vertically and the pressurized cooling water itself circulates in the vertical direction in contact with the fuel assemblies constituted by a set of tubes containing the combined fissionable material in the form of a bundle. The whole core is disposed in a casing skirt which is usually cylinder-shaped like the vessel which contains the core and its attached fittings immersed in the cooling liquid. The set of assemblies constituting the core presents a section of irregular shape comprising steps which fit inside the section of the casing skirt, so that an annular fuel-less region is formed between the peripheral assemblies and the casing skirt. To preserve the initial geometry of the core and prevent the coolant from circulating freely in this region and channel it to the core proper, the use of a partitioning assembly which exactly matches the contour of the core is known. Fixing this partitioning assembly poses problems of practical implementation connected with the fact that there are very strict requirements for dimensional accuracy for this assembly and that the conditions prevailing in the reactor vessel in service (pressure, temperature and radiation) make it necessary to take account of the possibility of the structure deforming in various ways in service. Reactor partitioning apparatuses of the prior art are usually formed in one piece and constituted by longitudinal partitioning plates fixed to transverse reinforcement plates termed shapers which are themselves fixed to the cylindrical core casing. Such one-piece structures are difficult to make, and positioning and fixing them inside the cylindrical skirt encasing the core is also a tricky operation. The unit constituted by the casing skirt and the partitioning apparatus must in fact provide a perfectly defined space for the assemblies constituting the reactor core and withstand deformations in service inside the reactor vessel. In addition, the devices for fixing the elements constituting this complex structure, whether constituted by screws or lugs associated with welds, are extremely sensitive to the mechanical stresses and to the neutron fluxes which the structure must withstand inside the vessel of the reactor when the latter is in service. The object of the invention is therefore an apparatus for partitioning off the core of a nuclear reactor with removable elements, the core of the reactor being constituted by prismatic assemblies juxtaposed inside a casing laterally bounding a space surrounding the core in which a cooling liquid circulates in the longitudinal direction of the assemblies, a lower support plate and an upper support plate also being disposed on either side of the core in the longitudinal direction. The partitioning apparatus has a structure which facilitates its construction and positioning in the casing skirt of the core and assures that its deformations under the effect of conditions prevailing in the core of the reactor in service are compatible with the functions of the partitioning apparatus and allow deterioration of the connecting elements of the structure to be avoided. To achieve this object, the partitioning apparatus according to the invention is constituted by a set of boxes, each comprising at least two plates rigidly assembled at right angles, disposed in the longitudinal direction of the assemblies, and a plurality of transverse plates perpendicularly disposed to the longitudinal plates and rigidly assembled to the plates, these boxes being disposed removably side by side inside the core casing and bearing thereon, so that the faces of the longitudinal plates directed towards the reactor core serve as bearing faces for the assemblies disposed at the periphery of the core, each box being fixed to at least one of the upper or lower support plates, at at least one of its ends, by a flexible coupling allowing longitudinal displacements of the box.
abstract
A beam processing system comprises a rotary disk mounted thereon with processing objects, a controller for controlling a reciprocating drive mechanism, and a beam width measuring unit for measuring a beam width of a processing beam. The controller sets an inner and an outer overscan position depending on a measured value of the beam width. The controller, based on the number of rotation of the rotary disk per unit time, a scan speed and the number of reciprocating scan times, a reversal start timing of the rotary disk at at least one of the inner and the outer overscan positions, and the measured value, controls the reciprocating drive mechanism so as to ensure an overlap region between a last and a current processing beam irradiation region on each of the processing objects, the overlap region overlapping at least half of the last processing beam irradiation region.
047449420
abstract
Spacer grids for a nuclear fuel assembly are arranged in superadjacent groups having grid springs and opposing dimples which contact a fuel rod passing through a cell of the spacer grid with a selected spring force. As fabricated, the lowermost grid exerts the greatest initial spring force on the rod; intermediate grids exert a smaller spring force; and the uppermost grid exerts yet a lower spring force. The fuel rod is supported laterally while it is permitted to age expand axially with little axial compression resulting from the spring forces of the springs and dimples, whereby bowing of the rod is diminished.
043494650
description
EXAMPLE About 10 kg of a non-radioactive simulated waste mixture was subjected to preliminary comminution. This preliminary comminuted waste mixture had the following composition, by weight, and particle size: ______________________________________ 45% polyvinyl chloride (particle size 5mm) 20% neoprene (particle size 10-15mm) 15% cellulose (particle size 10-20mm) 7.5% granulated polyethylene (particle size 5mm), and 7.5% granulated polypropylene (particle size 5mm) ______________________________________ The preliminary ground waste material was rendered brittle with liquid nitrogen and subjected to a primary comminution where it was ground in a cold-grinding mill at an average temperature of 113.degree. K. (-160.degree. C.). After the primary comminution, 96% of the waste exhibited a particle size smaller than 2 mm. The waste material was then sifted to less than 1 mm, at which size, about 80% passed through the sifter. A suspension was then prepared in a cooled 2-liter, agitated vessel, by adding with agitation, 360 g of the finely comminuted and sifted waste, in incremental portions, to 1.440 kg of 90% H.sub.2 SO.sub.4. The temperature rose during mixing from 295.degree. K. (22.degree. C.) to 311.degree. K. (38.degree. C.). The decomposition of the waste in this suspension was carried out in a 2 liter, electrically heated, forced circulation evaporator (thermal syphon evaporator). Within one hour, 800 g of waste suspension were decomposed at 490.degree. K. (217.degree. C.) at a pressure of 300 m bar. 730 grams of 98% HNO.sub.3 were required for the decomposition. The vapors were condensed at about 343.degree. K. (70.degree. C.) in a condenser, and the non-condensible exhaust gases were removed by suction with the use of a water jet pump from the condenser. The removed non-condensible exhaust gases were fed into a scrubbing column, containing a Raschig ring packing having a height of 1 m. and a diameter of 60 mm. The scrubbing column was rinsed with dilute HNO.sub.3. Within one hour, 250 ml. of 10% H.sub.2 O.sub.2 solution was added to the rinsing liquid. No NO and no NO.sub.2 could be detected any longer in the exhaust gas, withdrawn from the head of the scrubbing column. It will be understood that the above description of the present invention is susceptible to various modifications, changes and adaptations, and the same are intended to be comprehended within the meaning and range of equivalents of the appended claims.
summary
abstract
A radiation-attenuation garment system having a plurality of radiation-attenuating material panels adapted to conform to the contours of a body. The radiation-attenuation garment system includes a shirt and underwear shorts formed by compression material. A plurality of radiation-attenuating material panels are removably disposed within the shirt and underwear shorts to protect the wearer from radiation exposure in the areas having the radiation attenuation panels.
description
The present application hereby claims priority under 35 U.S.C. § 119 to German patent application number DE 102014218462.2 filed Sep. 15, 2014, the entire contents of which are hereby incorporated herein by reference. At least one embodiment of the present invention generally relates to a method for manufacturing a collimator module, a method for manufacturing a collimator bridge, a collimator module, a collimator bridge, a collimator and/or a tomography device. Tomography is an imaging method in which x-ray projections are recorded from different projection angles. In this method a recording unit, comprising an x-ray source and an x-ray detector, rotates around an axis of rotation and also around an object to be examined. The x-ray detector is generally constructed from a plurality of detector modules which are disposed linearly or in a two-dimensional lattice. Each detector module of the x-ray detector comprises a plurality of detector elements, wherein each detector element can detect x-ray radiation. The detector elements correspond to individual picture elements of an x-ray projection recorded with the x-ray detector. The x-ray radiation detected by a detector element corresponds to an intensity value. The intensity values form the starting point for reconstruction of a tomographic image. The x-ray radiation emanating from the x-ray source is scattered during the recording of an x-ray projection by the irradiated object, so that as well as the primary rays of the x-ray source, scattered rays also strike the x-ray detector. The scattered rays cause noise in the x-ray projection or in the reconstructed image and therefore reduce the detectability of differences in contrast in the x-ray image. To reduce scattered radiation influences an x-ray detector can have a collimator which causes only x-ray radiation of a specific spatial direction to fall on the detector elements. Such a collimator typically has a number of collimator bridges with a number of collimator modules. The individual collimator modules have absorber walls for absorption of scattered radiation and are aligned to the focus of the x-ray source. Collimators are known for example from the publication DE 10 2010 062 192 B3. The publication describes self-supporting collimator bridges which are manufactured by gluing together collimator modules. These collimator bridges have a high level of rigidity and thus allow reliable collimation. However the manufacturing of such collimator bridges is only described on the basis of already produced collimator modules. It is further disclosed that an especially high inherent rigidity is able to be achieved with collimator modules manufactured in one piece. In modern computed tomography large x-ray detectors curved along two spatial directions are used. In other words the detector modules have submodules which are disposed tilted in relation to one another such that a detector module curved along the axis of rotation is embodied. Previously self-supporting collimators have not been used for such x-ray detectors but the collimator modules are directly attached to the submodules. This is because the collimators for such x-ray detectors have increased rigidity and production accuracy requirements. In order to guarantee these requirements it is also necessary to optimize the manufacturing process for collimator modules. An embodiment of the invention specifies the manufacturing of collimator modules with high accuracy. Furthermore these collimator modules are to be processed especially accurately and with few working steps into a curved collimator bridge which is as strong as possible. Embodiments of the invention are directed to a method, a collimator module, a collimator bridge, a collimator and a tomography device. Embodiments of the present invention will be described below as a method and also in terms of a physical device. Features, advantages or alternate forms of embodiment mentioned here are likewise to be transferred to the other claimed objects and vice versa. In other words the physical claims which are directed to a device for example can also be further developed with the features which are described or claimed in conjunction with a method. The corresponding functional features of the method are embodied in such cases by corresponding physical modules. An inventive collimator module for a radiation detector of an embodiment has a plurality of collimator layers. These collimator layers each have a flat lattice structure. An embodiment of the invention further relates to a collimator bridge, wherein a first collimator module and a second collimator module are manufactured in accordance with an embodiment of the invention, wherein the first collimator module and the second collimator module are glued to one another, wherein, absorber walls standing at the edges of the first collimator module and the second collimator modules are glued to one another. This enables a freestanding collimator bridge to be produced in an especially strong and precise manner. In accordance with a further embodiment of the invention, the collimator bridge is embodied for collimation of radiation for a radiation detector able to be rotated around an axis of rotation, wherein the collimator modules are arranged in relation to one another so that the collimator bridge has a curvature along the axis of rotation. The collimator bridge is then the especially well-suited for large-area, curved radiation detectors, especially for radiation detectors curved along two spatial directions. Various example embodiments will now be described more fully with reference to the accompanying drawings in which only some example embodiments are shown. Specific structural and functional details disclosed herein are merely representative for purposes of describing example embodiments. The present invention, however, may be embodied in many alternate forms and should not be construed as limited to only the example embodiments set forth herein. Accordingly, while example embodiments of the invention are capable of various modifications and alternative forms, embodiments thereof are shown by way of example in the drawings and will herein be described in detail. It should be understood, however, that there is no intent to limit example embodiments of the present invention to the particular forms disclosed. On the contrary, example embodiments are to cover all modifications, equivalents, and alternatives falling within the scope of the invention. Like numbers refer to like elements throughout the description of the figures. Before discussing example embodiments in more detail, it is noted that some example embodiments are described as processes or methods depicted as flowcharts. Although the flowcharts describe the operations as sequential processes, many of the operations may be performed in parallel, concurrently or simultaneously. In addition, the order of operations may be re-arranged. The processes may be terminated when their operations are completed, but may also have additional steps not included in the figure. The processes may correspond to methods, functions, procedures, subroutines, subprograms, etc. Methods discussed below, some of which are illustrated by the flow charts, may be implemented by hardware, software, firmware, middleware, microcode, hardware description languages, or any combination thereof. When implemented in software, firmware, middleware or microcode, the program code or code segments to perform the necessary tasks will be stored in a machine or computer readable medium such as a storage medium or non-transitory computer readable medium. A processor(s) will perform the necessary tasks. Specific structural and functional details disclosed herein are merely representative for purposes of describing example embodiments of the present invention. This invention may, however, be embodied in many alternate forms and should not be construed as limited to only the embodiments set forth herein. It will be understood that, although the terms first, second, etc. may be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another. For example, a first element could be termed a second element, and, similarly, a second element could be termed a first element, without departing from the scope of example embodiments of the present invention. As used herein, the term “and/or,” includes any and all combinations of one or more of the associated listed items. It will be understood that when an element is referred to as being “connected,” or “coupled,” to another element, it can be directly connected or coupled to the other element or intervening elements may be present. In contrast, when an element is referred to as being “directly connected,” or “directly coupled,” to another element, there are no intervening elements present. Other words used to describe the relationship between elements should be interpreted in a like fashion (e.g., “between,” versus “directly between,” “adjacent,” versus “directly adjacent,” etc.). The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of example embodiments of the invention. As used herein, the singular forms “a,” “an,” and “the,” are intended to include the plural forms as well, unless the context clearly indicates otherwise. As used herein, the terms “and/or” and “at least one of” include any and all combinations of one or more of the associated listed items. It will be further understood that the terms “comprises,” “comprising,” “includes,” and/or “including,” when used herein, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. It should also be noted that in some alternative implementations, the functions/acts noted may occur out of the order noted in the figures. For example, two figures shown in succession may in fact be executed substantially concurrently or may sometimes be executed in the reverse order, depending upon the functionality/acts involved. Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which example embodiments belong. It will be further understood that terms, e.g., those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein. Spatially relative terms, such as “beneath”, “below”, “lower”, “above”, “upper”, and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, term such as “below” can encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein are interpreted accordingly. Although the terms first, second, etc. may be used herein to describe various elements, components, regions, layers and/or sections, it should be understood that these elements, components, regions, layers and/or sections should not be limited by these terms. These terms are used only to distinguish one element, component, region, layer, or section from another region, layer, or section. Thus, a first element, component, region, layer, or section discussed below could be termed a second element, component, region, layer, or section without departing from the teachings of the present invention. An inventive collimator module for a radiation detector of an embodiment has a plurality of collimator layers. These collimator layers each have a flat lattice structure. The inventors have recognized that the collimator module is produced with especially high accuracy if a first collimator layer has a holding structure and the collimator layers are aligned by the holding structure on a first holder tool relative to one another. This is because it is possible, with such a holding structure, to glue the aligned collimator layers to each other such that the glued collimator layers embody the collimator module with absorber walls disposed in a lattice shape. In such cases the collimator layers can be aligned in an especially simple and yet still precise manner. This means that the actual lattice form of the absorber walls corresponds especially precisely to a prespecified lattice form. In accordance with a further embodiment of the invention, the collimator layers are aligned and glued such that the surfaces of the absorber walls are embodied even. This means that the absorption of radiation by the absorber walls only occurs in the area provided for it of a prespecified lattice structure. In this sense the collimator is produced with especially high accuracy. In accordance with a further embodiment of the invention the holder structure extends beyond the lattice structure. This enables the lattice structure in accordance with this aspect to be especially easily separated from a completed collimator module. In accordance with a further embodiment of the invention the holder structure is separated after the gluing together of the collimator modules. This means that the holder structure can no longer influence the radiation absorption by the collimator, in particular an undesired radiation absorption by the holder structure is avoided. An embodiment of the invention further relates to a collimator bridge, wherein a first collimator module and a second collimator module are manufactured in accordance with an embodiment of the invention, wherein the first collimator module and the second collimator module are glued to one another, wherein, absorber walls standing at the edges of the first collimator module and the second collimator modules are glued to one another. This enables a freestanding collimator bridge to be produced in an especially strong and precise manner. In accordance with a further embodiment of the invention a second collimator layer of the first collimator module has a positioning element standing at its edge, wherein the first collimator module can be positioned relative to the second collimator module by the positioning element. This enables a very exact positioning of the collimator modules in relation to one another to be realized in a very simple manner. In accordance with a further embodiment of the invention, the first collimator module and the second collimator module are aligned on the first holder tool or on a second holder tool by at least one part of the holder structure in relation to one another, wherein peripheral absorber walls of the aligned first collimator module and the aligned second collimator module standing on the edge are glued to one another so that they are as congruent as possible. In other words the peripheral absorber walls are glued to one another so that these absorber walls are aligned in parallel with one another. This means that the surface provided for the adhesive contact is as large as possible and the collimator bridge is embodied especially strong. In accordance with a further embodiment of the invention a collimator bridge is manufactured by a first collimator module and a second collimator module being manufactured in accordance with an embodiment of the invention, wherein alternately collimator layers assigned to the first collimator module and also the second collimator module are glued to one another such that the peripheral areas of the collimator layers assigned to the first collimator module and also of the second collimator module are glued to one another. This enables a collimator bridge to be manufactured with especially few working steps, since no separate production of the individual collimator modules is required. This means that the collimator bridge is produced especially quickly. In accordance with a further embodiment of the invention at least a second collimator layer of the first collimator module has a peripheral positioning element, wherein the second collimator layer is positioned relative to a third collimator layer of the second collimator module by the positioning element. Through this the individual collimator layers are aligned in an especially precise and simple manner. In accordance with a further embodiment of the invention the collimator layers assigned to the first collimator module and the second collimator module are aligned in relation to one another on the first holder tool or on a second holder tool by at least one part of the holder structure, wherein peripheral areas of the aligned collimator layers are glued to one another so that they are as congruent as possible. Through this the collimator module is embodied especially strong. In accordance with a further embodiment of the invention the collimator bridge is embodied for collimation of radiation for a radiation detector able to be rotated around an axis of rotation, wherein the collimator modules are arranged in relation to one another so that the collimator bridge has a curvature along the axis of rotation. The collimator bridge is then the especially well-suited for large-area, curved radiation detectors, especially for radiation detectors curved along two spatial directions. Furthermore a collimator for a radiation detector able to be rotated around an axis of rotation can comprise a number of collimator bridges manufactured in accordance with the invention, which are connected to one another along the axis of rotation. The collimator bridges can also be connected to one another such that the collimator has a curvature along the direction of rotation. FIG. 1 shows a tomography device using a computed tomograph as an example. The computed tomograph shown here has a recording unit 17, comprising a radiation source 8 in the form of an x-ray source and also a radiation detector 9 in the form of an x-ray detector. The recording unit 17 rotates during a recording of x-ray projections around an axis of rotation 5 and the x-ray source emits rays 2 in the form of x-rays during the recording. In the example shown here the x-ray source involves an x-ray tube. In the example shown here the x-ray detector involves a row-detector with a number of rows. In the example shown here a patient 3 lies on a patient couch 6 during the recording of x-ray projections. The patient couch is connected to a couch base 4 such that the base carries the patient couch 6 with the patient 3. The patient couch 6 is designed to move the patient 3 along a recording direction through the opening 10 of the recording unit 17. The recording direction is generally given by the axis of rotation 5 around which the recording unit 17 rotates during the recording of x-ray projections. During a spiral recording the patient couch 6 is continuously moved through the opening 10 while the recording unit 17 is rotating around the patient 3 and is recording x-ray projections. Thus the x-rays describe a spiral on the surface of the patient 3. For reconstruction of an x-ray image the computed tomograph shown here has a reconstruction unit 14 designed to reconstruct a tomographic image. The reconstruction unit 14 can be realized both in the form of hardware and also as software. The computer 12 is connected to an output unit 11 and also to an input unit 7. Furthermore different views of the recorded x-ray projections—i.e. reconstructed images, rendered surfaces or slice images—can be displayed on the display unit 11 in the form of a screen. The input unit 7 involves a keyboard, a mouse, a touchscreen or also a microphone for voice input for example. FIG. 2 shows a part perspective, part block diagram-type diagram of an inventive tomography device. In a computed tomograph, the radiation detector 9 is generally curved along the spatial direction indicated with φ in relation to the z-axis. The submodules 14 of the radiation detector 9 can however also be disposed so that the radiation detector 9 is curved in relation to the x-axis and the detector modules 18 are aligned along two dimensions to the focus 13 of the radiation source 8. The radiation detector 9 has a plurality of detector modules 18 with a number of detector elements 19. In the example shown here the detector modules 18 are delimited from one another by solid lines along the axis of rotation, wherein each detector modules 18 has four submodules 14. The detector elements 19 are not shown in any greater detail here. Furthermore the radiation detector 9 has a collimator not shown in any greater detail here. The collimator can include a number of collimator modules 30. The individual collimator modules 30 as well as the absorber walls of the collimator can be aligned to the focus 13 of the radiation source 8. FIG. 3 shows a collimator layer of a collimator module in an overhead view. The collimator layer 40 has a width b and a length a and is embodied flat, since it has a flat lattice structure. The lattice structure is embodied by absorber elements 22 disposed in the shape of the lattice. The absorber elements 22 can, as in the examples shown here, embody a regular lattice structure, so that neighboring absorber elements 22, at least in one spatial direction, are at the same distances from one another. The absorber elements 22 can however also embody an irregular lattice structure, in which the distances of neighboring absorber elements 22 in one spatial direction vary. Furthermore the absorber elements 22 can run in parallel and also not in parallel to one another. The layer height h of an absorber element 22, i.e. in FIG. 3 the extent into the plane of the drawing, typically amounts to between 0.5 mm and 10 mm, especially between 1 mm and 5 mm. the order of magnitude of the width b and the length a typically lies in the range of a few centimeters. The absorber elements 22 must be able to absorb radiation 2, especially x-ray radiation. Therefore the collimator layers 40, 41, 42, 43, 44 can have metallic components and especially be produced by a vacuum casting of metal compounds. The collimator layers 40, 41, 42, 43, 44 can also be produced by printing metal powder with a 3-D printer or by melting metal powder with lasers. FIG. 4 shows a first collimator layer in an overhead view. The first collimator layers 41 are each characterized in that they have a holder structure 45. The holder structure 45 can be produced together with the collimator layer 41 as a one-piece component, especially by vacuum casting. In the example shown in FIG. 4 the holder structure 45 lies in the plane of the associated collimator layer 41. The holder structure 45 comprises a holder frame 45 surrounding the first collimator layer 41, wherein the holder frame has a rectangular shape with rounded corners here. The holder frame 45 can also have other shapes surrounding the first collimator layer 41. This holder frame is connected by a number of webs to the first collimator layer 41. Furthermore the holder structure 45 has ring-shaped structures which are suitable for a pin or a screw to pass through. In particular it is possible for the ring-shaped structures to have a pin passing through them in each case, wherein the pins are fastened to a first holder tool so that the first collimator layer 41 is aligned relative to the holder tool. Further collimator layers 40, 41, 42, 43, 44 of a collimator module 30, 31, 32, 33 can now be aligned by such a pin on the first holder tool. In such cases the collimator layers 40, 41, 42, 43, 44 are aligned to one another such that the collimator layers 40, 41, 42, 43, 44 form a collimator module 30, 31, 32, 33 with absorber walls disposed in the shape of a lattice. The modules are aligned for example in that a collimator module 30, 31, 32, 33 only has first collimator layers 41 with a holder structure 45. If the holder structures 45 of the first collimator layer 41 of a collimator module 30, 31, 32, 33 have the same shape and size, the holder structures 45 can be laid one above the other with a precise fit. In particular a pin or a screw can pass through the ring-shaped structures laid above one another of different first collimator layers 41 and thus align the layers in relation to one another. If the pin or the screw is aligned on the first holder tool, then the first collimator layers 41 are likewise aligned on the holder tool. If the holder structures 45 project beyond the lattice structure, then it is especially simple to align the collimator layers 40, 41, 42, 43, 44 of a collimator module 30, 31, 32, 33. In a further form of embodiment the holder structures 45 can however also lie within the lattice structure or be embodied as a part of the lattice structure. For example the holder structure 45 can be embodied in the form of a ring-shaped structure within the lattice structure. Furthermore the holder structure 45, after the connection of the individual collimator layers 40, 41, 42, 43, 44 to a collimator module 30, 31, 32, 33, can at least be partly separated. FIG. 5 shows a schematic side view of a collimator module. In this figure a number of collimator layers 40 form a collimator module 30. The individual collimator layers 40 are connected to one another by gluing or by other joining techniques for example, so that the absorber elements 22 form absorber walls. As shown here, ten collimator layers 40, each with a layer height h of 2 mm, can form the collimator module 30 with a module height H of 2 cm. Thus the width b and the length a of the various collimator layers 40 of a collimator module 30 can vary, so that the collimator module 30 is embodied, in the side view shown in FIG. 5, in a trapezoidal shape. In further forms of embodiment the outer contour of a collimator module 30, 31, 32, 33 is not embodied in a step shape but with continuous transitions or as a smooth contour. Also the surfaces of the absorber walls can be embodied smooth. Furthermore the absorber elements 22 of the various collimator layers 40, 41, 42, 43, 44 can each be inclined so that a corresponding collimator module 30, 31, 32, 33 has absorber walls running towards each other. In particular, when a collimator module 30, 31, 32, 33 is used in a tomography device, the absorber walls can be aligned to the focus 13 of a radiation source 8. FIG. 6 shows an inventive collimator bridge of an embodiment, with a detector module in a side view. The collimator bridge comprises a first collimator module 31, a second collimator module 32 and also a third collimator module 33. In the example shown here the absorber walls are aligned to the focus 13 of a radiation source 8, in that the collimator bridge exhibits a curvature along the axis of rotation 5. The curvature is created by the first collimator module 31 and the second collimator module 32 as well as the second collimator module 32 and the third collimator module 33 each being connected to one another at a defined angle. This allows a collimator with outstanding collimation properties to be produced even for large-area, curved radiation detectors 9. The radiation detector 9, in the example shown here, comprises a number of submodules 15, wherein each submodule 15 is assigned to a collimator module. The submodules 15 form a detector module 18, wherein a number of detector modules 18 are disposed along the direction indicated by φ in FIG. 2, in order to form a radiation detector 9. Furthermore the collimator bridge, in the example shown here, has two holder elements 60, which each fasten one of the peripheral collimator modules 30, 31, 32, 33 and thus the entire collimator bridge to the detector module 18. In particular the holder structures 60 can serve to align the collimator bridge in relation to the detector module 18 or the entire collimator in relation to the radiation detector 9. The holder structures 60 are connected for example by a screw connection, a plug-in connection, gluing or another joining technique on one side to a peripheral collimator module 30, 31, 32, 33 and also to the detector module 18. Furthermore the individual collimator modules 30, 31, 32, 33 can be not connected directly to the individual submodules 15, so that the collimator bridge is embodied self-supporting. FIG. 7 shows a collimator bridge in a side view. In this figure the individual collimator layers 40 of the first, second and third collimator modules 31, 32, 33 are disposed in parallel to one another in each case. The dashed lines in each case specify the dividing lines between the different collimator layers 40 between the first, second and third collimator modules 31, 32, 33. This example illustrates why no one-piece, angled collimator layers are produced for a collimator bridge, but why different collimator modules 30, 31, 32, 33 each with separate collimator layers 40 are combined into a collimator bridge. This is because with usual manufacturing methods for metallic lattice structures, especially with vacuum casting, it is not possible or only possible with difficulty to manufacture an angled lattice structure. During casting of metal melts, a flat surface is formed because of the gravitational force; but an angled lattice structure does not just lie in one plane and has no flat surface. FIG. 8 shows a number of collimator layers in an overhead view. A second collimator layer 42 is characterized in that it has at least one positioning element 55; however in further form of embodiment the other collimator layers 40, 41, 43 can also have a positioning element 55. The positioning elements 55 of a specific collimator layer 40, 41, 42, 43 can be produced, together with these collimator layers 40, 41, 42, 43 as a one-piece component, especially by vacuum casting. The first collimator module 31 can have a second collimator layer 42 with a peripheral positioning element 55 so that the first collimator module 31 can be positioned relative to a second collimator module 32. The positioning element 55 can be embodied both as a protrusion and also as a recess. If a second collimator module 32 also has a third collimator layer 43 with a positioning element, the positioning elements 55 of the first collimator module 31 and also of the second collimator module 32 can be embodied complementarily to each other. The positioning through the positioning element 55 can basically be done in each of the three spatial directions. The positioning elements 55 can lie in the plane of the associated collimator layer 40, 41, 42, 43; but they can also protrude from this plane or be embodied by recesses at right angles to this plane. Furthermore, positioning elements 55, especially attached to the underside or upper side of a collimator module 30, 31, 32, 33, can be designed to align the collimator module 30, 31, 32, 33 on the first holder tool or on a second holder tool. This especially enables a first collimator module 31 with a positioning element 55 and a second collimator module 32 with a positioning element 55 to be positioned relative to one another by an alignment on a holder tool. For example the first or second holder tool can comprise a plate-type structure with protrusions or recesses, so that positioning elements 55 attached to the underside or upper side of the collimator module 30, 31, 32, 33 fit complementarily in protrusions or recesses of the plate-type structure. FIG. 9 shows a number of collimator modules in a side view. In accordance with a first form of embodiment of the invention first of all individual collimator modules 30, 31, 32, 33 are manufactured which are then connected to one another. In particular in this case the peripheral absorber walls of a first collimator module 31 and of a second collimator module 32 can be glued to one another. Preferably the peripheral absorber walls are glued to one another as congruently as possible, so that the surface for the glued connection is as large as possible. In such cases the collimator modules 30, 31, 32, 33 glued to one another can basically be embodied in the same way, i.e. have especially the same size of peripheral absorber walls. The collimator modules 31, 32, 33 shown here each have a number of positioning elements 55, so that in each case neighboring collimator modules 31, 32, 33 can be positioned relative to one another. Furthermore the collimator modules 31, 32, 33 can be aligned relative to one another by means of the first holder tool or by means of a second holder tool. This enables the collimator bridge to be produced especially accurately. FIG. 10 shows a number of collimator layers in an overhead view. Unlike in FIG. 8, separation lines are shown as dashed lines here, along which at least one part of the holder structure 45 can be separated. The separation lines can be realized by intended-break points or perforations and can run other than shown here. The separation generally occurs only after the first collimator layers provided with a holder structure 45 have been constructed in each case as part of a collimator module 30, 31, 32, 33. Separating the respective holder structures 45 along the separation line shown in FIG. 10 is primarily of advantage if the remaining parts of the holder structure 45 are to be used again, in order to align the already manufactured collimator modules 30, 31, 32, 33 relative to one another. This can be done in the example shown here by the holder structures 45 being partly separated as shown in FIG. 10 after manufacturing of the first collimator module 31, the second collimator module 32, and the third collimator module 33 and then these collimator modules 31, 32, 33 being aligned by means of the remaining holder structure 45 to a second holder tool. In a second form of embodiment of the invention at least one first collimator module 31 and at least one second collimator module 32 are manufactured, wherein alternately collimator layers 40, 41, 42, 43, 44 assigned to the first collimator module 31 and to the second collimator module 32 are glued such that peripheral areas of the collimator layers 40, 41, 42, 43, 44 assigned to the first collimator module 31 and to the second collimator module 32 are glued to each other. The collimator bridge is thus constructed in layers. This second form of embodiment is illustrated in FIG. 11. The still incomplete first, second and third collimator modules 31, 32, 33 are identified in each case in FIG. 11 by corresponding dashed lines. In this example, from left to right, three collimator layers 42, 43, 44 of a first layer 61 are built up, which are assigned to different collimator modules 31, 32, 33. Then accordingly the second layer 62 of the collimator bridge is manufactured, etc. With this second form of embodiment, a second collimator layer 42 of a first collimator module 31 can have a peripheral positioning element 55, so that the second collimator layer 42 is positioned relative to a third collimator layer 43 of a second collimator module 32 by the positioning element 55. Likewise in the second form of embodiment the collimator layers 40, 41, 42, 43, 44 assigned to the first collimator module 31 and the second collimator module 32 can be aligned in relation to each other on the first holder tool or on a second holder tool by at least one part of the holder structure 45, wherein peripheral areas of the aligned collimator layers 40, 41, 42, 43, 44 are glued to each other as congruently as possible. The properties of a collimator layer 40 described for explaining the figures can also extend to the first collimator layer 41, the second collimator layer 42 as well as the third collimator layer 43 and the fourth collimator layer 44. In exactly the same way the properties of a collimator layer 30 described for explaining the figures can also extend to the first collimator layer 31, the second collimator layer 32 and also the third collimator layer 33. The patent claims filed with the application are formulation proposals without prejudice for obtaining more extensive patent protection. The applicant reserves the right to claim even further combinations of features previously disclosed only in the description and/or drawings. The example embodiment or each example embodiment should not be understood as a restriction of the invention. Rather, numerous variations and modifications are possible in the context of the present disclosure, in particular those variants and combinations which can be inferred by the person skilled in the art with regard to achieving the object for example by combination or modification of individual features or elements or method steps that are described in connection with the general or specific part of the description and are contained in the claims and/or the drawings, and, by way of combinable features, lead to a new subject matter or to new method steps or sequences of method steps, including insofar as they concern production, testing and operating methods. References back that are used in dependent claims indicate the further embodiment of the subject matter of the main claim by way of the features of the respective dependent claim; they should not be understood as dispensing with obtaining independent protection of the subject matter for the combinations of features in the referred-back dependent claims. Furthermore, with regard to interpreting the claims, where a feature is concretized in more specific detail in a subordinate claim, it should be assumed that such a restriction is not present in the respective preceding claims. Since the subject matter of the dependent claims in relation to the prior art on the priority date may form separate and independent inventions, the applicant reserves the right to make them the subject matter of independent claims or divisional declarations. They may furthermore also contain independent inventions which have a configuration that is independent of the subject matters of the preceding dependent claims. Further, elements and/or features of different example embodiments may be combined with each other and/or substituted for each other within the scope of this disclosure and appended claims. Still further, any one of the above-described and other example features of the present invention may be embodied in the form of an apparatus, method, system, computer program, tangible computer readable medium and tangible computer program product. For example, of the aforementioned methods may be embodied in the form of a system or device, including, but not limited to, any of the structure for performing the methodology illustrated in the drawings. Even further, any of the aforementioned methods may be embodied in the form of a program. The program may be stored on a tangible computer readable medium and is adapted to perform any one of the aforementioned methods when run on a computer device (a device including a processor). Thus, the tangible storage medium or tangible computer readable medium, is adapted to store information and is adapted to interact with a data processing facility or computer device to execute the program of any of the above mentioned embodiments and/or to perform the method of any of the above mentioned embodiments. The tangible computer readable medium or tangible storage medium may be a built-in medium installed inside a computer device main body or a removable tangible medium arranged so that it can be separated from the computer device main body. Examples of the built-in tangible medium include, but are not limited to, rewriteable non-volatile memories, such as ROMs and flash memories, and hard disks. Examples of the removable tangible medium include, but are not limited to, optical storage media such as CD-ROMs and DVDs; magneto-optical storage media, such as MOs; magnetism storage media, including but not limited to floppy disks (trademark), cassette tapes, and removable hard disks; media with a built-in rewriteable non-volatile memory, including but not limited to memory cards; and media with a built-in ROM, including but not limited to ROM cassettes; etc. Furthermore, various information regarding stored images, for example, property information, may be stored in any other form, or it may be provided in other ways. Example embodiments being thus described, it will be obvious that the same may be varied in many ways. Such variations are not to be regarded as a departure from the spirit and scope of the present invention, and all such modifications as would be obvious to one skilled in the art are intended to be included within the scope of the following claims.
055442048
summary
FIELD OF THE INVENTION The present invention relates to a process for automatically, reliably, and, in a fail-safe manner, influencing the reactivity of a neutron chain reaction in a nuclear reactor, to a process of providing stable control of the reactivity of such a chain reaction, and to an apparatus for carrying out the method or process. To the extent that the invention deals with controlling reactivity, it may also be considered to involve a method of operating a nuclear reactor. BACKGROUND OF THE INVENTION The reactivity of a neutron chain reaction in a nuclear reactor is a measure of the relative multiplication rate of neutron production. In a stationary state normal operation, the reactivity is zero. To increase the output, the reactivity may be increased and to reduce the output, e.g. in terms of the power generated, the reactivity may be reduced. Generally speaking in the nuclear reactor and in the region in which a fissionable fuel, also referred to as a nuclear fuel, provides the neutron flux, i.e. the reaction region of the nuclear reactor, the reactivity is primarily controlled by the effectiveness of neutron absorbers. For example, neutron-absorbing rods or elements can be introduced into the core of a nuclear reactor, i.e. into the neutron flux zone, to a greater or lesser extent, or the fuel rods may be shifted into a body of neutron-absorbing material to a greater or lesser extent. The introduction and retraction of the absorber rods, for example, reduce or increases the reactivity. Apart from the settings of the rods to control reactivity, the reactivity is influenced in normal operation by many other parameters. Of the greatest importance is the danger of uncontrolled liberation of neutrons in cases of uncontrolled reactivity with the consequence of potential danger of an explosion like increase of neutron production and loss of control of the reactor. This can be great enough to damage the reactor core and thus the most important barrier or containment preventing escape of radio-active substances. For this reason it is desirable to provide an automatic inherently reliable reduction in the reactivity of a neutron-chain reaction which can be built into the reactor and can in a fail-safe manner effect at least a limited reduction in the reactivity upon the development of an event necessitating that reduction, such as a loss of coolant flow. A system which can reduce reactivity intrinsically and automatically as the need arises, contributes to the stabilization or stable operation of the nuclear reactor and enables hot shutdown thereof. Some systems have been provided heretofore to generate a self-stabilizing effect in the operation of nuclear reactors. These can include the provision of a nuclear fuel having a negative reactivity temperature coefficient, or can utilize the negative reactivity void coefficient of the boiling water in a boiling-water reactor in which boiling water surrounds the fuel. In such cases a tendency toward a temperature increase will automatically reduce the reactivity and thereby lower the output in a self-stabilizing manner. These earlier self-stabilizing systems are, however, incompletely effective and it is desirable to be able to provide additional self-stabilizing automatic reactivity-controlling effects in a nuclear reactor. OBJECTS OF THE INVENTION It is, therefore, the principal object of the present invention to provide a method of or process for and an apparatus for automatic stabilization of a neutron chain reaction in a nuclear rector. Another object of our invention is to provide a process for controlling reactivity of a nuclear reactor and, in particular for the reactivity automatically and in a self-stabilizing manner, utilizing techniques other than those known heretofore and which can be used effectively alone or in conjunction with other techniques. It is also an object of the invention to provide an improved process and apparatus for controlling the reactivity of a neutron chain reaction in a nuclear reactor which has advantages over earlier techniques. SUMMARY OF THE INVENTION These objects and others which will become apparent hereinafter are attained, in accordance with the invention, by providing a part of the nuclear fuel contributing to the neutron chain reaction so that it is subject to forces generated by a coolant flow and is maintained by these forces in the neutron-flux region, i.e. the active region of the nuclear reactor, but upon reduction of such forces, can be received in a space outside the reaction region to thereby withdraw this part of the nuclear fuel from contributing to the neutron flux and reduce the reactivity of the reactor. The fuel-suspension force is provided during normal operating flow of coolant through the reactor, i.e. by branching a portion of the main coolant flow through sieve-like inlets and outlet openings of a longitudinal compartment in a direction opposite the direction in which gravity acts upon the fuel particles, i.e. upwardly, so that in normal operation the particles are fluidized by the coolant flow and are suspended in this compartment over the height thereof. Since the upper part of this compartment or the compartment above the space into which the fuel particles can fall for reactivity reduction, lies in the reaction region of the neutron chain reaction, the suspended fuel particles contribute to the chain reaction. Upon failure of the coolant flow, however, the fuel can settle into the lowest part of the compartment, i.e. into a space below the reaction region and hence out of the normal flux, thereby reducing the reactivity and leading, upon appropriate dimensioning of the reactivity reduction, to a hot shutdown of the reactor. Advantageously, the space and the fuel which can be contained therein are so dimensioned that the influence of this fuel upon the reactivity amounts to 0.5% to 1%. To vary the reactivity at this magnitude about 0.5% to 1% of the total fissionable fuel inventory must be contained in the upper part of the compartment when the coolant flow is normal and must be withdrawn from the reactive zone into space when the coolant flow fails. A reduction of the reactivity to values between -0.5% to -1% can result in hot shutdown of the reactor. According to a feature of the invention, a portion of the fuel at least in the compartment and space should be coated fuel particles, i.e. fuel particles in, for example, a carbon coating. The flow through the compartment and space can be a portion of the main coolant flow branched therefrom, i.e. a branched stream which can support these fuel particles against the gravitation forces and which can be returned to the main coolant flow through the upper sieve structure which can screen the fuel particles from the coolant flow. Coated fuel particles are commonly used in high-temperature reactors and in the case of the present invention, are carried upwardly by the branched flow of coolant entering the compartment through the lower sieve structure and are held in suspension by the flowing branched-coolant stream as long as the coolant flow is maintained. Should there be a defect in the coolant flow, these particles settle automatically out of the reactive region and reduce the reactivity in the manner described. Advantageously, the branched stream can be passed through regions of the reactor free from fuel. In fast reactors the branched structure can be guided through the breeder blanket and with thermal reactors through the reflector. According to yet another feature of the invention, the fuel in the compartment has a greater degree of enrichment than that in the remainder of the neutron flux or reactive zone. This increases the effectivity of the reactivity control system of the invention. The process for the automatic stabilization of the reactivity of a neutron-chain reaction sustained by a fissionable fuel in neutron-flux zones of a nuclear reactor can comprise the steps of: (a) supporting a part of the fissionable fuel in the zone by forces generated by a coolant flow into contact with the part of the fissionable fuel; PA1 (b) providing below the zone a space for accumulation of the part of the fissionable fuel out of the neutron-flux zone; and PA1 (c) automatically causing the part of the fissionable fuel to collect by gravity in the space upon reduction of the forces, thereby reducing the reactivity of the chain reaction. PA1 means forming a vertically elongated compartment in the zone within neutron flux therein; PA1 sieve structures at opposite ends of the compartment for confining fine fissionable fuel particles therein, the compartment communicating with a space below the compartment and generally outside the zone; PA1 means for connecting the compartment into a coolant stream for the nuclear reactor so that a coolant flow is branched from the main stream and flows through the compartment in a direction opposite the effect of gravity on the particles therein, whereby the coolant flow supports the particles in the zone and, upon reduction of the coolant flow, at least some of the fissionable fuel particles collect by gravity in the space upon reduction of supporting forces on the particles generated by the coolant flow, thereby reducing the reactivity of the chain reaction. In apparatus terms, the apparatus for the automatic stabilization of the reactivity can comprise: In this apparatus, an elongated compartment or hollow space is provided in the reactor core with its longitudinal axis parallel to the gravitational direction and with an upper part in the reaction region of the neutron-chain reactor. The sieve-like structures are provided at the ends of the compartment and the compartment is so connected to the main coolant flow that a branched or auxiliary coolant flow passes through the compartment in a direction opposite the action of gravity upon the fine-grained fuel particles in the compartment between the sieve structures. During proper flow of the coolant, the fuel particles are in the reaction region and upon failure of the coolant flow automatically settle in the compartment out of the reactive region to automatically reduce the reactivity of the reactor. In reactors with an annular or ring-shaped reactor core, the compartment or hollow space is advantageously within a central column of the core.
052934136
summary
The invention relates to a method and a system for pressure relief of the containment of a nuclear power plant, having a filter disposed inside the containment which contains a washing fluid. Pressure relief of a nuclear power plant containment may become necessary if, contrary to every expectation, the pressure threatens to exceed the design value intended for the containment. In order to reduce the pressure in the containment of a nuclear power plant, a method and an apparatus disclosed in German Published, Non-Prosecuted Application DE 38 06 872 A1 may be used, in which a wet scrubber is provided as part of a filter inside the containment. A mixture of gas and steam produced inside the containment is cleaned of toxic and/or radioactive ingredients by the scrubber before the mixture is released into the environment. A special embodiment of a wet scrubber is described in German Published, Non-Prosecuted Application DE 38 15 850 A1. In that configuration, mixing of the gas and steam mixture with the washing fluid takes place inside Venturi nozzles, thereby assuring very intimate mixing and therefore a very good washing action. Once the design pressure of the containment is reached, regardless of the cause of its creation, the gas-steam mixture inside the containment amounts to approximately 70% water steam, along with compressed air and other gases formed inside the containment, which also includes a not-insignificant quantity of pure hydrogen with a probability bordering on certainty. In previously proposed embodiments having a wet scrubber accommodated inside the containment, the wet scrubber is either insulated and unheated or not insulated and accordingly continuously heated. As a result, the temperature of the washing fluid, such as water, may be markedly lower than the temperature of the gas-steam mixture, so that at least when the system is started up, upon passage of the gas-steam mixture through the washing fluid, an undesirably pronounced condensation of the water steam can occur. Even such condensation of the water steam is technically controllable only at considerable expense. However, a further disadvantage of the condensation of the water steam in the washing fluid is that as a result the relative proportion of the pure hydrogen gas in the gas-steam mixture flowing out of the wet scrubber can become undesirably high. With a continuously heated wet scrubber, there is an additional disadvantage which is that a considerable proportion of the washing fluid evaporates continuously and must be replaced. It is accordingly an object of the invention to provide a method and a system for pressure relief of the containment of a nuclear power plant having a wet filter provided inside the containment, which overcome the hereinafore-mentioned disadvantages of the heretofore-known methods and devices of this general type, and in which at most a non-relevant proportion of the water steam contained in the filter material condenses during he startup process. Since water is preferably used as the washing fluid, the temperature of the washing fluid must be approximately equal to the temperature of the filter material. On the other hand, as little washing fluid as possible should evaporate during continuous operation. With the foregoing and other objects in view there is provided, in accordance with the invention, a method for pressure relief of a containment of a nuclear power plant, which comprises heating a washing fluid in a filter disposed inside a containment at a relatively high rated heating capacity through a thermal bridge, with a gas-steam mixture filling the containment, prior to initial operation or initiation of operation of the filter; and rendering the thermal bridge substantially or virtually ineffective or even broken down completely in an operating state of the filter, leaving the washing fluid with only a remaining continuous rated heating capacity not being relevant for filtration. In accordance with another mode of the invention, there is provided a method which comprises setting the continuous rated heating capacity at less than 0.1 times the rated heating capacity. In accordance with a further mode of the invention, there is provided a method which comprises raising the washing fluid to an operating temperature after at most eight hours and preferably after substantially two hours of heating at the rated heating capacity. In accordance with an added mode of the invention, there is provided a method which comprises setting an operating temperature in a range of substantially from 100.degree. to 150.degree. C., raising the operating temperature to as high as substantially 260.degree. C. upon pressure relief directly from a primary loop of a nuclear power plant or direct pressure relief of a circuit that carries pressurized water, and supplying water to the filter as the washing fluid. In accordance with an additional mode of the invention, there is provided a method which comprises supplying a quantity of heat with the continuous rated heating capacity being less than a quantity of heat removed or drawn from the washing fluid by evaporation, for setting an operating temperature of the filter lower than an entry temperature of the gas-steam mixture. The method according to the invention is very advantageous, because as a result of the avoidance of concentration in the washing fluid, safe startup without significant changes of volume in the filter material is assured, and moreover a compact structure for the filter and a very small consumption of washing fluid are made possible. With the objects of the invention in view, there is also provided, in a nuclear power plant having a containment, a system for pressure relief of the containment, comprising a filter disposed inside the containment, the filter having a container, at least part of the container being double-walled or having two walls defining a chamber between the walls, and a heat-conducting fluid at least partly filling the chamber during a heating period and being at least half evaporated after attainment of an operating temperature. In accordance with another feature of the invention, the container has double-walled portions with the two walls, the double-walled portions having a heat-conducting resistance when not filled with the heat-conducting fluid being at least ten times higher than when filled with the heat-conducting fluid. In accordance with a further feature of the invention, the two mutually concentric walls define a double-walled, preferably cylindrical, middle part of the container surrounding or enclosing a vertical axis, and there is provided a single-walled curved base firmly closing the middle part toward the bottom, and a curved single-walled cap firmly closing the middle part toward the top. In accordance with an added feature of the invention, the double-walled middle part has a given height, the container includes a first inner chamber, the chamber between the walls is a second chamber having an annular cross section extending over the entire given height, and the second chamber communicates with the first inner chamber through openings formed just below the cap. This structure of the filter container makes it possible to fill the inner chamber with washing fluid to an extent that fluctuates within wide limits, and to fill the second chamber at least in its lower part with heat-conducting fluid, which represents part of a thermal bridge between the surroundings of the filter container and the filter contents, and evaporation of the heat-conducting fluid inside the second chamber is possible. Due to the disappearance of the heat-conducting fluid from the second chamber, the thermal bridge between the surroundings of the filter container and its contents is interrupted, so that the above-mentioned advantages are attained. After the disappearance of the heat-conducting fluid from the second chamber, this chamber is available to receive radioactive waste filtered out of the gas-steam mixture, so that this waste advantageously remains inside the containment. In accordance with an additional feature of the invention, there is provided washing fluid filling substantially 30 to 80% and preferably approximately 50% of the first inner chamber, the second chamber having a lower part being filled with the heat-conducting fluid and an upper part, and filter mats filling at least the upper part. In accordance with again another feature of the invention, the two walls have portions surrounding the heat-conducting fluid, and at least part of the second chamber has heat transfer fins increasing surface area in the vicinity of the wall portions. In accordance with again a further feature of the invention, both the washing fluid and the heating-conducting fluid are water. In accordance with again an added feature of the invention, there is provided a convection barrier in the form of a horizontal partition disposed in the second chamber above the heat-conducting fluid, the horizontal partition being perforated and/or slit. In accordance with again an additional feature of the invention, there are provided mist separators disposed in the upper part of the inner chamber in front of the openings leading to the second chamber. In accordance with still another feature of the invention, there is provided a vertical pipe disposed centrally in the first inner chamber for delivering a gas-steam mixture to be filtered, the vertical pipe having upper and lower ends, a horizontally extending segment through which the upper end of the vertical pipe communicates with the interior of the containment, radially disposed horizontal feed pipes into which the lower end of the vertical pipe discharges, and short Venturi nozzles communicating with the horizontal feed pipes just above the base. In accordance with still a further feature of the invention, the two walls are in the form of an inner wall and an outer wall, the outer wall has another opening formed therein leading to the outside, and there is provided a pipe penetrating the containment and communicating with the other opening for carrying a filtered gas-steam mixture out of the second chamber through the outer wall at approximately half the height of the filter mats. In accordance with a concomitant feature of the invention, there is provided an overpressure line through which the interior of the filter communicates with a primary loop of the nuclear power plant or with the interior of the containment, the overpressure line having an overpressure valve being closed during normal operation. Other features which are considered as characteristic for the invention are set forth in the appended claims. Although the invention is illustrated and described herein as embodied in a method and a system for pressure relief of the containment of a nuclear power plant, it is nevertheless not intended to be limited to the details shown, since various modifications and structural changes may be made therein without departing from the spirit of the invention and within the scope and range of equivalents of the claims. The construction and method of operation of the invention, however, together with additional objects and advantages thereof will be best understood from the following description of specific embodiments when read in connection with the accompanying drawings.
claims
1. An apparatus for a nuclear reactor pressure vessel including a pressure vessel wall with a vessel penetration, the apparatus comprising:an electrical feedthrough including:a cylinder having an outside end and an inside end and a lumen passing between the outside end and the inside end,a flange connected to and sealed with the inside end of the cylinder but not sealing the lumen at the inside end of the cylinder,an electrical termination disposed in the cylinder and sealing the lumen of the cylinder,an outside electrical connector disposed at the outside end of the cylinder, andat least one electrical conductor passing through at least a portion of the lumen and having an end terminating at the electrical termination, the electrical feedthrough being mounted at the vessel penetration with the flange sealed against an inside surface if the pressure vessel wall, the cylinder passing through the vessel penetration of pressure vessel wall, and the outside electrical connector accessible from outside the pressure vessel,wherein the lumen of the cylinder is adapted to maintain structural integrity of the pressure vessel at normal operating pressure of the nuclear reactor, andwherein the outside end of the cylinder of the electrical feedthrough is disposed in the vessel penetration, radially inward of an outer surface of the vessel wall. 2. The apparatus of claim 1 wherein the electrical termination of the electrical feedthrough comprises:an end plate disposed at the outside end of the cylinder and at least one pressure fitting/connector sealed with and passing through the end plate, the end plate and the at least one pressure fitting/connector sealing the lumen of the cylinder at the outside end of the cylinder;wherein the pressure fitting/connector includes said electrical connector disposed at the outside end of the cylinder. 3. The apparatus of claim 2 wherein the pressure vessel is at an operating pressure and the lumen of the cylinder is at the operating pressure up to the outside end of the cylinder where the end plate and the at least one pressure fitting/connector seals against the operating pressure. 4. The apparatus of claim 3 wherein the operating pressure is at least 1000 psia. 5. The apparatus of claim 3 wherein the seal of the lumen at the outside end of the cylinder is provided by the end plate and the at least one pressure fitting/connector is rated for at least 2000 psia. 6. The apparatus of claim 1 wherein the at least one electrical conductor passing through at least a portion of the lumen is a mineral insulated cable (MI cable). 7. The apparatus of claim 1 wherein the at least one electrical conductor passing through at least a portion of the lumen is disposed inside a guide tube. 8. The apparatus of claim 1 further comprising:an annular weld sealing the flange of the electrical feedthrough against the inside surface of the pressure vessel wall. 9. The apparatus of claim 1 wherein the outside electrical connector is recessed into the vessel penetration. 10. The apparatus of claim 1 further comprising:a nuclear reactor core comprising fissile material disposed inside the pressure vessel, the pressure vessel further containing primary coolant water at an operating pressure, wherein the apparatus comprises a nuclear reactor. 11. The apparatus of claim 10 wherein the electrical feedthrough is electrically connected with an incore instrument disposed in the nuclear reactor core. 12. The apparatus of claim 1 wherein:the electrical termination of the electrical feedthrough comprises a hermetically sealed connector disposed at the inside end of the cylinder and sealing the lumen of the cylinder at the inside end of the cylinder; andthe at least one electrical conductor passes through the cylinder to electrically connect the hermetically sealed connector at the inside end of the cylinder and the outside electrical connector at the outside end of the cylinder. 13. The apparatus of claim 12 wherein the hermetically sealed connector comprises a sealed glass connector. 14. The apparatus of claim 12 wherein the hermetically sealed connector comprises a sealed ceramic connector. 15. The apparatus of claim 12 further comprising:a pressure vessel including said pressure vessel wall with said vessel penetration, the electrical feedthrough being mounted at the vessel penetration with the flange sealed against the inside surface of the pressure vessel wall, the cylinder passing through the vessel penetration of pressure vessel wall, and the outside electrical connector accessible from outside the pressure vessel. 16. The apparatus of claim 15 wherein the hermetically sealed connector comprises a sealed glass or ceramic connector. 17. The apparatus of claim 15 wherein the pressure vessel is at an operating pressure and the lumen of the cylinder is at atmospheric pressure up to the inside end of the cylinder where the hermetically sealed connector seals against the operating pressure. 18. The apparatus of claim 17 wherein the operating pressure is at least 1000 psia. 19. The apparatus of claim 17 wherein the seal of lumen at the outside end of the cylinder provided by the end plate and the at least one pressure fitting/connector is rated for at least 2000 psia. 20. The apparatus of claim 15 further comprising:an annular weld sealing the flange of the electrical feedthrough against the inside surface of the pressure vessel wall. 21. The apparatus of claim 15 wherein the outside electrical connector is recessed into the vessel penetration. 22. The apparatus of claim 15 wherein the electrical feedthrough is electrically connected with an internal control rod drive mechanism (CDDM) disposed inside the pressure vessel.
claims
1. A control system of a nuclear power plant comprising: a core monitoring system for determining a first maximum linear heat generation rate and a first minimum critical power ratio in a certain time interval by calculation; an automatic thermal limit monitor for determining a second maximum linear heat generation rate and a second minimum critical power ratio by a second calculation which corrects said first maximum linear heat generation rate and said first minimum critical power ratio by utilizing values of plant data at a time when said first calculation is made by said core monitoring system and said plant data of a present moment in a time interval which is shorter than the time interval of said first calculation, by said core monitoring system so as to determine said second maximum linear heat generating and said second minimum critical power ratio at the present moment, for comparing said second maximum linear heat generation rate and said second minimum critical power ratio with predetermined thermal limit values, respectively, and for outputting an operation hold instruction to an automatic power regulator system if at least one of said second maximum linear heat generation rate and said second minimum critical power ratio exceeds said predetermined thermal limit values; and said automatic power regulator system for outputting control signals to a re-circulation flow control system for controlling a re-circulation flow rate of a reactor and to a control rod control system for controlling positions of control rods, and holding said control signals in response to said operation hold instruction from said automatic thermal limit monitor. 2. A control system of a nuclear power plant according to claim 1 , wherein said automatic thermal limit monitor compares said first maximum linear heat generation rate and said first minimum critical power ratio determined by said core monitoring system with said predetermined thermal limit values, respectively, and outputs an operation hold release instruction to said automatic power regulator system when said first maximum linear heat generation rate and said first minimum critical power ratio are below said predetermined thermal limit values, and said automatic power regulator system stops the holding operation of said control signals in response to said operation hold release instruction from said automatic thermal limit monitor. claim 1 3. A control system of a nuclear power plant according to claim 1 , wherein said automatic thermal limit monitor compares said first maximum linear heat generation rate and said first minimum critical power ratio determined by said core monitoring system with said predetermined thermal limit values, respectively, after said operation hold instruction is outputted, and outputs an automatic operation exclusion instruction when at least one of said first maximum linear heat generation rate and said first minimum critical power ratio exceeds said predetermined thermal limit values, and said automatic power regulator system stops the output of said control signals when said automatic thermal limit monitor outputs said automatic operation exclusion instruction. claim 1 4. A control system of a nuclear power plant according to claim 1 , wherein said automatic thermal limit monitor compares said first maximum linear heat generation rate and said first minimum critical power ratio determined by said core monitoring system with said predetermined thermal limit values, respectively, after said operation hold instruction is outputted, and outputs an operation stop instruction to said re-circulation flow control system and to said control rod control system when at least one of said first maximum linear heat generation rate and said first minimum critical power ratio is below said predetermined thermal limit values. claim 1 5. A control system of a nuclear power plant according to claim 1 , wherein said automatic thermal limit monitor judges whether or not said plant data is abnormal, outputs an operation hold instruction to said automatic power regulator system when said plant data is judged as abnormal, and outputs an operation hold release instruction to said automatic power regulator system when abnormality of said plant data is thereafter restored, or when said plant data is bypassed and moreover, when said first maximum linear heat generation rate and said first minimum critical power ratio determined by said core monitoring system are below said predetermined thermal limit values. claim 1 6. A control system of a nuclear power plant according to claim 2 , wherein said automatic thermal limit monitor judges whether or not said plant data is abnormal, outputs an operation hold instruction to said automatic power regulator system when said plant data is judged as abnormal, and outputs an operation hold release instruction to said automatic power regulator system when abnormality of said plant data is thereafter restored, or when said plant data is bypassed and moreover, when said first maximum linear heat generation rate and said first minimum critical power ratio determined by said core monitoring system are below said predetermined thermal limit values. claim 2 7. A control system of a nuclear power plant according to claim 3 , wherein said automatic thermal limit monitor judges whether or not said plant data is abnormal, outputs an operation hold instruction to said automatic power regulator system when said plant data is judged as abnormal, and outputs an operation hold release instruction to said automatic power regulator system when abnormality of said plant data is thereafter restored, or when said plant data is bypassed and moreover, when said first maximum linear heat generation rate and said first minimum critical power ratio determined by said core monitoring system are below said predetermined thermal limit values. claim 3 8. A control system of a nuclear power plant according to claim 4 , wherein said automatic thermal limit monitor judges whether or not said plant data is abnormal, outputs an operation hold instruction to said automatic power regulator system when said plant data is judged as abnormal, and outputs an operation hold release instruction to said automatic power regulator system when abnormality of said plant data is thereafter restored, or when said plant data is bypassed and moreover, when said first maximum linear heat generation rate and said first minimum critical power ratio determined by said core monitoring system are below said predetermined thermal limit values. claim 4 9. A control system of a nuclear power plant according to claim 1 , wherein said automatic thermal limit monitor outputs a signal requesting the calculation of said first maximum linear heat generation rate and said first minimum critical power ratio to said core monitoring system when it outputs said operation hold instruction to said automatic power regulator system. claim 1 10. A control system of a nuclear power plant according to claim 1 , wherein said automatic thermal limit monitor determines said second maximum linear heat generation rate and said second minimum critical power ratio by utilizing said first maximum linear heat generation rate and said first minimum critical power ratio determined afresh by said core monitoring system. claim 1 11. A control system of a nuclear power plant according to claim 1 , wherein said second maximum linear heat generation rate and said second minimum critical power ratio are equal in values to said first maximum linear heat generation rate and said first minimum critical power ratio when said core monitoring system determines said first maximum linear heat generation rate and said first minimum critical power ratio. claim 1 12. A control system of a nuclear power plant according to claim 1 , wherein said second calculation is effected in a time interval no greater than about 10 seconds. claim 1 13. A control system of a nuclear power plant according to claim 12 , wherein said second calculation is effected in a time interval of no greater than about 1 second. claim 12
description
The present application claims priority from Japanese Patent Application No. 2009-122647 filed on May 21, 2009, the contents of which are incorporated herein by reference in their entirety. 1. Field of the Invention The present invention relates to an apparatus and a method for measuring and controlling a trajectory (track) of a droplet target to be used for generating plasma radiating EUV (extreme ultraviolet) light in a chamber apparatus of an LPP (laser produced plasma) type EUV light source apparatus for generating EUV light to be used for exposure of semiconductor wafers or the like. 2. Description of a Related Art In recent years, as semiconductor processes become finer, photolithography has been making rapid progress toward finer fabrication. In the next generation, microfabrication at 60 nm to 45 nm, further, microfabrication at 32 nm and beyond will be required. Accordingly, in order to fulfill the requirement for microfabrication at 32 nm and beyond, for example, exposure equipment is expected to be developed by combining an EUV light source for generating EUV light having a wavelength of about 13 nm and reduced projection reflective optics. As the EUV light source, there are three kinds of light sources, which include an LPP (laser produced plasma) light source using plasma generated by irradiating a target with a laser beam, a DPP (discharge produced plasma) light source using plasma generated by discharge, and an SR (synchrotron radiation) light source using orbital radiation. Among them, the LPP light source has advantages that extremely high intensity close to black body radiation can be obtained because plasma density can be considerably made larger, and that the light of only the particular waveband can be radiated by selecting the target material. Further, the LPP light source has advantages that an extremely large collection solid angle of 2π to 4π steradian can be ensured because it is a point light source having substantially isotropic angle distribution and there is no structure such as electrodes surrounding the plasma light source and so on. Therefore, the LPP light source is considered to be predominant as a light source for EUV lithography, which requires power of more than several tens of watts. Here, a principle of generating EUV light in the LPP light source will be explained. A target material supplied into a chamber is irradiated with a driver laser beam, and thereby, the target material is excited and turned into plasma. From the plasma, various wavelength components including EUV light are radiated. Then, EUV light is reflected and collected by using an EUV collector mirror for highly reflecting a specific wavelength component (for example, a component having a wavelength of 13.5 nm), and outputted to a device using EUV light (for example, exposure unit). For the purpose, on a reflection surface of the EUV collector mirror, for example, a multilayer coating (Mo/Si multilayer coating) in which molybdenum (Mo) thin coatings and silicon (Si) thin coatings are alternately stacked is formed. In the LPP light source, as the target material to be used for generating plasma radiating EUV light, liquid tin is considered to be a predominant material. Accordingly, in the LPP light source, a target delivery mechanism is provided for injecting tin melted at a high temperature from a target injection nozzle and supplying it in a droplet state to a predetermined plasma generation position. Here, the predetermined plasma generation position is a position on which a pulse laser beam is focused by using a laser beam focusing optics, and, when the target material passing through the position is irradiated with the pulse laser beam, plasma is generated. According to one aspect of the present invention, there is provided an apparatus for measuring and controlling a target trajectory within a chamber apparatus for generating extreme ultraviolet light from plasma generated by irradiating a droplet target supplied from a target injection nozzle with a driver laser beam from an external driver laser, and the apparatus includes: a nozzle adjustment mechanism for adjusting at least one of a position and an angle of the target injection nozzle; a target trajectory measuring unit for measuring a target trajectory formed by a droplet target supplied from the target injection nozzle to obtain trajectory information on the target trajectory; a target trajectory angle detecting unit for obtaining a value related to an angle deviation between the target trajectory represented by the trajectory information obtained by the target trajectory measuring unit and a predetermined target trajectory; and a nozzle adjustment controller for controlling the nozzle adjustment mechanism based on the value related to the angle deviation obtained by the target trajectory angle detecting unit such that the droplet target passes through a predetermined position. Further, according to another aspect of the present invention, there is provided a method of measuring and controlling a target trajectory within a chamber apparatus for generating extreme ultraviolet light from plasma generated by irradiating a droplet target supplied from a target injection nozzle with a driver laser beam from an external driver laser, and the method includes the steps of: (a) measuring a target trajectory formed by a droplet target supplied from the target injection nozzle to obtain trajectory information on the target trajectory; (b) obtaining a value related to an angle deviation between the target trajectory represented by the trajectory information obtained at step (a) and a predetermined target trajectory; and (c) adjusting at least one of a position and an angle of the target injection nozzle based on the value related to the angle deviation obtained at step (b) such that the droplet target passes through a predetermined position. Hereinafter, embodiments of the present invention will be explained in detail by referring to the drawings. The same reference numerals are assigned to the same component elements and the duplicated explanation thereof will be omitted. FIG. 1 is a schematic diagram showing an outline of an extreme ultraviolet light source apparatus to which an apparatus for measuring and controlling a target trajectory according to one embodiment of the present invention is applied. As shown in FIG. 1, the LPP light source includes a driver laser 101, an EUV light generation chamber (chamber apparatus) 102, a target delivery mechanism 103 incidental to the EUV light generation chamber 102, and a laser beam focusing optics 104 as main component elements. Further, in the EUV light generation chamber 102, a nozzle adjustment mechanism 113 as a part of the apparatus for measuring and controlling a target trajectory, which will be explained later, is provided. The apparatus for measuring and controlling a target trajectory may be a single apparatus for performing both measurement and control of the target trajectory (track), or may be an apparatus including an apparatus for measuring a target trajectory and an apparatus for controlling a target trajectory which apparatuses are connected to each other via a communication line. The driver laser 101 is a high-power laser apparatus such as a carbon dioxide laser for generating a driver laser beam (pulsed laser beam) to be used for turning the target material into plasma. The EUV light generation chamber 102 is a chamber in which EUV light is generated. The EUV light generation chamber 102 is evacuated by a vacuum pump 105 for prevention of absorption of EUV light. Further, in the EUV light generation chamber 102, a window 106 for introducing a laser beam 120 generated from the driver laser 101 into the EUV light generation chamber 102 is attached. Furthermore, within the EUV light generation chamber 102, a target injection nozzle 103a as a part of the target delivery mechanism 103, a target collecting unit 107, and an EUV light collector mirror 108 are provided. The target delivery mechanism 103 supplies the target material to be used for generating EUV light into the EUV light generation chamber 102 via the target injection nozzle 103a. As a target material, a molten metal of tin (Sn), lithium (Li), or the like may be used. The target delivery mechanism 103 melts the metal and pressurizes it with an inert gas such as argon (Ar), and thereby, ejects the molten metal through a minute hole of about several tens of micrometers of the target injection nozzle 103a. The molten metal ejected from the minute hole may be formed into droplets having a uniform size at a certain distance from the target injection nozzle 103a by providing periodic vibration to the target injection nozzle 103a by using a piezoelectric element or the like. The produced droplet target 109 is irradiated with a laser beam when it passes through a predetermined laser beam irradiation position 130, and a part of it turns into plasma 131 generating light having various wavelength components including EUV light. Among the supplied droplet targets 109, the targets that have not been turned into plasma may be collected by the target collecting unit 107. The laser beam focusing optics 104 may include a mirror 104a for reflecting the laser beam 120 outputted from the driver laser 101 in a direction of the EUV light generation chamber 102, a mirror adjustment mechanism 104b for adjusting the position and the angle (elevation angle) of the mirror 104a, a focusing element 104c for focusing the laser beam 120 reflected by the mirror 104a, and a focusing element adjustment mechanism 104d for moving the focusing element 104c along the optical axis of the laser beam. The laser beam 120 focused by the laser beam focusing optics 104 may pass through the window 106 and the opening formed at the center of the EUV light collector mirror 108 to reach the trajectory of the droplet target 109. The laser beam focusing optics 104 focuses the laser beam 120 to form a focus on the trajectory of the droplet target 109. Thereby, the droplet target 109 supplied from the target injection nozzle 103a is excited and turned into plasma, and EUV light 121 is generated from the plasma. The EUV light collector mirror 108 is a concave mirror having a spheroidal reflection surface on which, for example, a Mo/Si coating for reflecting light having a wavelength of 13.5 nm at high reflectance is formed. The EUV light collector mirror 108 reflects the generated EUV light 121 to collect it to an intermediate focusing point (IF). The EUV light 121 reflected by the EUV light collector mirror 108 may pass through a gate valve 110 provided in the EUV light generation chamber 102. Further, the EUV light 121 may pass through a spectral purity filter (SPF) 111 for removing unnecessary light (electromagnetic waves or light having shorter wavelengths than that of EUV light, and light having longer wavelengths than that of EUV light, for example, violet light, visible light, infrared light, and so on) from the light generated from the plasma 131, and transmitting only specific EUV light, for example, light having a wavelength of 13.5 nm. Then, the EUV light 121 collected on the IF (intermediate focusing point) may be guided to an exposure unit or the like via a transmission optics. Here, in the case where plasma is generated from the same point as the point at which the droplet target 109 has first been irradiated with the laser beam 120, the laser beam irradiation point coincides with the plasma generation position. On the other hand, there is a method of generating EUV light by irradiating the droplet target 109 with a pre-pulse laser beam to expand it, and then, irradiating the expanded target with a main-pulse laser beam to generate plasma. In this case, the first laser beam irradiation point may not necessarily coincide with the plasma generation position. Accordingly, in this application, the position where the droplet target 109 is first irradiated with the laser beam 120 is referred to as “predetermined laser beam irradiation position”. By the way, the tin droplet target having a diameter of 10 μm to 60 μm passes through a predetermined plasma generation position at a high speed of about 30 m/s to about 60 m/s, for example. In this regard, the droplet target is irradiated with a pulsed laser beam having a repetition rate of, for example, 50 kHz to 100 kHz in a plasma generation region having a diameter of, for example, about several tens of micrometers. Therefore, in order to generate EUV light, it is necessary that the pulse timing of the pulsed laser beam and the generation timing of the droplet target are synchronized and the trajectory of the droplet target passes through the predetermined plasma generation position for stabilization of the output of the EUV light source and the plasma generation position (emission point of EUV light). The trajectory of the droplet target may vary due to various factors such as the temperature change and wear-out of the nozzle part for injecting the target material, and therefore, it is desirable to measure and control the three-dimensional spatial position thereof. A general LPP light source control system includes an imaging device (CCD camera) for supplying an image of a target stream path as output, and a stream path error detector for detecting the position error of the target stream path imaged by the imaging device. The stream path error detector detects the position error of the target stream path. The position error of the target stream path is a position error in a direction of an axis substantially perpendicular to the target stream path from the desired target stream path intersecting the desired plasma start site (plasma generation position). Further, two imaging devices may be arranged such that the optical axes are orthogonal to each other, and perceive the two-dimensional position error. As shown in FIG. 16, the LPP light source control system performs control of aligning the real target stream path with the desirable target stream path by displacing the target delivery mechanism in the direction of the axis to eliminate the error of the target stream path, which is detected by the stream path error detector based on the image obtained by the imaging device, in the direction of the axis. The trajectory position of the droplet target can be controlled within a plane in a two-dimensional manner. However, in the real operation, the radiation direction of the droplet target injected from the target injection nozzle may change and tilt relative to the predetermined injection direction. It is estimated that this is because the tip end of the target injection nozzle is corroded by heat and the channel is deflected or the solid produced by the reaction of a part of the target material, for example, tin oxide or tin compound adheres to the channel or the outer part of the target injection nozzle, and thereby, the injection direction of the droplet target is changed. On the other hand, in the case where the plasma generation position is reflected within the image obtained by the imaging device, the brightness in the plasma generation position is extremely higher and it is difficult to accurately detect the position of the droplets having lower brightness. Therefore, the position error of the target trajectory in the predetermined plasma generation position is estimated by using the position error in the position apart from the plasma generation position, that is, the position nearer to the plasma generation position between the target injection nozzle and the plasma generation position. As shown in FIG. 17, in the case where the real target trajectory tilts relative to the predetermined target trajectory, the position error ΔX2 of the target trajectory in the predetermined plasma generation position is different from the position error ΔX1 of the target trajectory in the measurement position. If the position control of the target delivery mechanism is performed based on the different position error ΔX1, the droplet target is not allowed to accurately pass through the predetermined plasma generation position. As described above, it is impossible to directly measure the position error ΔX2 of the target trajectory in the predetermined plasma generation position, and the real target trajectory may tilt relative to the predetermined target trajectory. Further, when tin is used as the target material, the molten tin is heated to nearly 300° C. in the target delivery mechanism. In this regard, the part near to the tip end of the target injection nozzle for injecting the molten tin may be thermally deformed and displaced from the designed position or the channel of the target injection nozzle may be deflected. In this case, if the configuration in which the target delivery mechanism is mounted on a linear stage or the like and moved is used, when the real target trajectory is shifted relative to the predetermined target trajectory, only a part of the target trajectory may be imaged. That may cause inaccurate evaluation of the amount of movement of the target delivery mechanism or the change of the injection direction of the droplet target. FIG. 18 is a conceptual diagram for explanation of another situation in the general LPP light source control system. In FIG. 18, the situation, in which both the position shift of the target delivery mechanism and the change of the target injection direction in the target injection nozzle occur, is shown. The designed nozzle position is located above the predetermined plasma generation position in the drawing (broken line), but the real nozzle position is shifted to the right in the drawing (solid line). Further, it is possible that the real target injection direction tilts to the right in the drawing relative to the predetermined injection direction. Here, it is assumed that the target injection nozzle is located above the predetermined plasma generation position in the drawing (broken line). In this case, the position error δ of the target trajectory from the predetermined plasma generation position can be obtained based on the position error δ′ of the target trajectory that can be read from the image obtained by the imaging device. However, in the case where the real nozzle position is shifted from the designed nozzle position, it may be difficult to control the droplet target to pass through the predetermined plasma generation position even by moving the nozzle position of the target delivery mechanism to the left in the drawing by the distance δ. Further, in order to minimize the debris of tin produced after the droplet target is irradiated with the driver laser beam, production of a mass-limited target formed by reducing the diameter of the droplet target (to the diameter of about 10 μm) is proposed. With reduction of the diameter of the droplet target, the control with higher accuracy may be required for the trajectory on which the droplet target passes. As below, “the trajectory on which the droplet target passes” may be simply referred to as “the target trajectory”. In the embodiment, in the EUV light generation chamber apparatus of the LPP light source, the apparatus for measuring and controlling a target trajectory is provided such that stable supply of EUV light can be maintained by adjusting the position or the angle of the target injection nozzle even in the case where the injection direction of the droplet target injected from the target injection nozzle tilts from the predetermined injection direction. A target trajectory measuring unit for measuring the target trajectory may be provided inside or outside of the chamber. However, in the case where there is a possibility that the chamber is thermally deformed, in order to reduce the measurement error, it is desirable that the target trajectory measuring unit is provided outside the chamber and in another frame separate from the chamber. FIG. 2 is a block diagram showing a configuration example of the apparatus for measuring and controlling a target trajectory according to the one embodiment of the present invention. In the apparatus for measuring and controlling a target trajectory, the nozzle adjustment mechanism 113 adjusts at least one of the position and the angle of the target injection nozzle 103a. A target trajectory measuring unit 17 measures the target trajectory 112 formed by the droplet target 109 supplied from the target injection nozzle 103a to obtain trajectory information on a target trajectory 112. A target trajectory angle detecting unit 15 obtains a value related to the angle deviation between the target trajectory represented by the trajectory information obtained by the target trajectory measuring unit 17 and the predetermined target trajectory. A nozzle adjustment controller 18 controls the nozzle adjustment mechanism 113 at least based on the value related to the angle deviation obtained by the target trajectory angle detecting unit 15 such that the droplet target 109 passes through the predetermined laser beam irradiation position 130. Further, the nozzle adjustment controller 18 may control the nozzle adjustment mechanism 113 based on output signals of displacement gauges 21 and 22 such that the position of the target injection nozzle 103a coincides with the reference position. Furthermore, the LPP light source, to which the apparatus for measuring and controlling a target trajectory according to the embodiment is applied, may include a trigger timing adjusting unit 33. To the driver laser 101, the trigger timing adjusting unit 33 sends out a trigger signal for adjusting the trigger timing of the driver laser 101 such that the driver laser 101 irradiates the droplet target 109 with the driver laser beam in the predetermined laser beam irradiation position (plasma generation position) 130 in synchronization with the timing when the droplet target 109 reaches the predetermined laser beam irradiation position 130. In the configuration example as shown in FIG. 2, the nozzle adjustment mechanism 113 includes a nozzle position adjustment mechanism 113a, and a nozzle angle adjustment mechanism 113b. The nozzle position adjustment mechanism 113a adjusts the position of the target injection nozzle 103a. The nozzle angle adjustment mechanism 113b adjusts the angle of the target injection nozzle 103a. Further, the nozzle adjustment controller 18 includes a nozzle position adjustment controller 23 and a nozzle angle adjustment controller 16. The nozzle position adjustment controller 23 controls the nozzle position adjustment mechanism 113a such that the position of the target injection nozzle 103a coincides with the reference position. The nozzle angle adjustment controller 16 controls the nozzle angle adjustment mechanism 113b to eliminate the angle deviation obtained by the target trajectory angle detecting unit 15. The apparatus for measuring and controlling a target trajectory includes a target trajectory angle adjustment system as shown in FIG. 3 and a nozzle position adjustment system as shown in FIG. 10. FIG. 3 is a block diagram showing a configuration example of the target trajectory angle adjustment system. The target trajectory angle adjustment system includes the nozzle angle adjustment mechanism 113b, the target trajectory measuring unit 17, the target trajectory angle detecting unit 15, and the nozzle angle adjustment controller 16. The nozzle angle adjustment mechanism 113b adjusts the angle of the target injection nozzle 103a. The target trajectory measuring unit 17 catches the target trajectory 112 formed by the droplet target supplied from the target injection nozzle 103a and continuously dropped in the field of view, and measures the target trajectory 112 within a plane substantially orthogonal to the predetermined target trajectory connecting the center of the tip end of the target injection nozzle 103a and the predetermined laser beam irradiation position 130, and thereby, obtains the trajectory information on the target trajectory 112. The target trajectory angle detecting unit 15 obtains the value related to the angle deviation (the value representing the angle deviation, or the value proportional to the angle deviation, or the like) between the target trajectory represented by the trajectory information obtained by the target trajectory measuring unit 17 and the predetermined target trajectory, and thereby, detects the tilt of the target trajectory 112. The nozzle angle adjustment controller 16 controls the nozzle angle adjustment mechanism 113b to adjust the angle of the target injection nozzle 103a so as to reduce the tilt of the target trajectory 112. Referring to FIG. 2 again, the target trajectory measuring unit 17 may include two-dimensional imaging devices 11 and 13 such as two video cameras or two CCD (charge coupled device) cameras, for example, for respectively obtaining the images of the droplet target 109 in two directions different from each other. In the case where the amount of light is insufficient for imaging the trajectory of the droplet target 109 by using the imaging devices 11 and 13, the object of imaging can be illuminated by using imaging light source devices 12 and 14. Further, in order to catch the trajectory of the droplet target 109 within the two-dimensional plane substantially orthogonal to the predetermined target trajectory, it is desirable that the two imaging devices 11 and 13 are arranged such that their optical axes are orthogonal to each other and the images of the droplet target 109 are respectively obtained in two directions orthogonal to each other. The nozzle angle adjustment mechanism 113b may preferably have a first rotational axis and a second rotational axis orthogonal to each other within the two-dimensional plane substantially orthogonal to the predetermined target trajectory, and can adjust the angle of the target injection nozzle 103a by the two rotational axes according to the control signal outputted from the nozzle angle adjustment controller 16. The imaging devices 11 and 13 may be arranged such that the optical axes of the imaging devices 11 and 13 are in parallel to the first and second rotational axes of the nozzle angle adjustment mechanism 113b, respectively. In this case, the angle of the target injection nozzle 103a can be adjusted by rotating respective one rotational axis with respect to each imaging device. Referring to FIGS. 2 to 6, the operation of the target trajectory angle adjustment system will be explained. FIG. 4 is a flowchart showing a procedure of target trajectory angle adjustment. First, at step S1 as shown in FIG. 4, the target trajectory measuring unit 17 obtains the trajectory information on the target trajectory 112. The simplest technique is to obtain the trajectory information on the target trajectory 112 based on the image of the target trajectory obtained by using the two-dimensional imaging device such as a video camera or a CCD camera on the assumption that the position of the injection opening of the target injection nozzle 103a is not changed. FIG. 5 is a conceptual diagram for explanation of a first technique in a method of measuring and controlling a target trajectory according to one embodiment of the present invention. In FIG. 5, a coordinate system is determined in such a manner that the direction from the center of the tip end of the target injection nozzle 103a toward the predetermined laser beam irradiation position 130 is set to Z-axis, and X-axis and Y-axis orthogonal to each other are placed on a plane orthogonal to the Z-axis, and the target trajectory 112 observed in the Y-axis direction is shown. In the left drawing of FIG. 5, assuming that the target injection opening at the center of the tip end of the target injection nozzle 103a is set to the origin (0, 0), the target trajectory angle detecting unit 15 obtains the amount of deviation X1 of the target trajectory 112 in the X-axis direction in the measurement position represented by Z=Z1. The amount of deviation X1 represents the distance from the predetermined target trajectory in the X-axis direction. The predetermined target trajectory is a vertical line passing through the predetermined laser beam irradiation position 130, and aligned with the Z-axis (X=Y=0). Therefore, the coordinate of the target trajectory 112 in the measurement position (Z=Z1) is (X1, Z1), and the angle deviation between the measured target trajectory 112 as shown by the solid line in the drawing and the predetermined target trajectory as shown by the broken line in the drawing can be obtained from tan θ=X1/Z1. Even in the case where the target injection nozzle 103a and the predetermined laser beam irradiation position 130 are not contained in the images obtained by the imaging devices 11 and 13, if the measurement position (Z=Z1) is determined and the positions of the target trajectory measured in the measurement position (Z=Z1) and the predetermined target trajectory are contained in the images, the angle deviation θ can be obtained by using the amount of deviation X2−X1 of the target trajectory 112 calculated from the images. At step S2 as shown in FIG. 4, the target trajectory angle detecting unit 15 obtains the angle deviation θ between the measured target trajectory 112 and the predetermined target trajectory in this manner. The angle deviation θ relative to the target trajectory can be obtained from tan θ=(X2−X1)/(Z2−Z1). Then, at step S3 as shown in FIG. 4, the nozzle angle adjustment controller 16 determines whether the absolute value of the angle deviation θ exceeds a predetermined threshold value or not. If the absolute value of the angle deviation θ is small enough and does not exceed the predetermined threshold value (NO at step S3), adjustment of the target trajectory 112 is not necessary, and the process returns to the first step S1. On the other hand, if the absolute value of the angle deviation θ exceeds the predetermined threshold value (YES at step S3), at step S4 as shown in FIG. 4, the nozzle angle adjustment controller 16 controls the nozzle angle adjustment mechanism 113b for adjusting the angle of the target injection nozzle 103a to adjust the tilt angle of the target injection nozzle 103a so as to eliminate the angle deviation θ. The right drawing of FIG. 5 shows the state after adjustment. Under the condition that the position of the opening of the target injection nozzle 103a is fixed to the origin (0, 0), when the target injection nozzle 103a is rotated in the opposite direction by the same angle as the absolute value of the angle deviation θ, the target trajectory 112 is aligned with the predetermined target trajectory and the target trajectory 112 passes through the predetermined laser beam irradiation position 130. By performing the same control as above based on the image obtained in the X-axis direction, the tilt of the target trajectory 112 in the Y-direction can be corrected. In the case of using the first technique as shown in FIG. 5, the predetermined target trajectory can be defined as a vertical line in the image. Therefore, the measured tilt of the target trajectory 112 can be obtained easily from the horizontal distance (X1) of the target trajectory 112 in the measurement position (Z=Z1), and it is not necessary to reflect the origin (0, 0) or the predetermined laser beam irradiation position 130 in the image. Further, it is not necessary to place the measurement position near to the predetermined laser beam irradiation position 130, and thus, the accurate tilt can be obtained without the influence of plasma. FIG. 6 is a conceptual diagram for explanation of a second technique in the method of measuring and controlling a target trajectory according to the one embodiment of the present invention. In FIG. 6, a coordinate system is determined in such a manner that the direction from the center of the tip end of the target injection nozzle 103a toward the predetermined laser beam irradiation position 130 is Z-axis, and X-axis and Y-axis orthogonal to each other are placed on a plane orthogonal to the Z-axis, and the target trajectory observed in the Y-axis direction is shown. In the left drawing of FIG. 6, the target trajectory angle detecting unit 15 calculates the amounts of deviation X1, X2 of the target trajectory in the X-axis direction in the first measurement position represented by Z=Z1 and the second measurement position represented by Z=Z2, respectively. Thereby, the coordinate (X1, Z1) of the target trajectory 112 in the first measurement position (Z=Z1) and the coordinate (X2, Z2) of the target trajectory 112 in the second measurement position (Z=Z2) are obtained, and the angle deviation θ between the measured target trajectory 112 as shown by the solid line in the drawing and the predetermined target trajectory as shown by the broken line in the drawing can be obtained from tan θ=(X2−X1)/(Z2−Z1). The amounts of deviation X1, X2 may not necessarily refer to the Z-axis as long as they are measured based on the reference line in parallel to the Z-axis. According to the second technique as shown in FIG. 6, the tilt of the target trajectory 112 can be known from the coordinates of arbitrary two points on the target trajectory. If thus obtained absolute value of the angle deviation θ of the target trajectory 112 exceeds a predetermined threshold value, the nozzle angle adjustment controller 16 controls the nozzle angle adjustment mechanism 113b to adjust the position of the target injection nozzle 103a so as to eliminate the angle deviation θ. As shown in the right drawing of FIG. 6, under the condition that the position of the injection opening of the center of the tip end of the target injection nozzle 103a is fixed to the origin (0, 0), when the target injection nozzle 103a is rotated around the origin in the opposite direction by the same angle as the absolute value of the angle deviation θ, the target trajectory 112 is aligned with the predetermined target trajectory and the target trajectory 112 passes through the predetermined laser beam irradiation position 130. In the above configuration, when the angle of the target injection nozzle 103a is changed by the nozzle angle adjustment mechanism 113b, the position of the injection opening of the tip end of the target injection nozzle 103a may move in the horizontal direction in the drawing. In this case, additionally, it may be necessary to compensate for the movement in the horizontal direction in the drawing to maintain the position of the injection opening to the original position by the action of the nozzle position adjustment system or the like. However, even in the case without the control of the nozzle position adjustment controller 23, if the nozzle angle adjustment controller 16 performs the more sophisticated computation to grasp the relationship between the tilt of the target injection nozzle 103a and the horizontal movement of the tip end position and controls the nozzle position adjustment mechanism 113a together with the nozzle angle adjustment mechanism 113b, the injection opening can be held in the original position. Alternatively, a gonio stage tilting around the injection opening position of the center of the tip end of the target injection nozzle 103a may be used as the nozzle angle adjustment mechanism 113b. The gonio stage is a stage for moving an object along a circumference around a point located in a space. FIG. 7 is a perspective view showing an example of the gonio stage. In FIG. 7, a six-axis stage 40 is shown as the example of the gonio stage. The six-axis stage 40 includes six actuators 41-46. One end 41a of the actuator 41 is rotatably supported by a reference surface 40a (the lower surface of the nozzle position adjustment mechanism 113a as shown in FIG. 2), and the other end 41b of the actuator 41 is rotatably supported by a movable surface 40b to which the target injection nozzle 103a is fixed. The other actuators 42-46 are supported similarly to the actuator 41. The nozzle angle adjustment controller 16 as shown in FIG. 2 controls the actuators 41-46, and thereby, the target injection nozzle 103a can rotate around the injection opening position of the center of the tip end. By the way, it is enough that the target trajectory measuring unit 17 as shown in FIG. 2 can measure the distance in the horizontal direction in the drawing, and therefore, as the target trajectory measuring unit 17, one-dimensional sensor such as a line sensor or a position sensitive detector (PSD) may be used in place of the CCD camera or the like for obtaining a two-dimensional image. FIG. 8 is a conceptual diagram for explanation of an operation of a line sensor. When an image of the target trajectory is projected on the line sensor via transfer optics, an output signal is generated in a position corresponding to the projection location. The output voltage in the passing position of the droplet target increases when the reflected light reflected on the target trajectory is detected, while the output voltage in the passing position of the droplet target decreases when the shadow of the target trajectory is detected. Therefore, the position of the target trajectory can be sensed based on the output signal that changes in such a manner. The CCD line sensor can measure the position of the target trajectory at the higher speed than that of the imaging device for obtaining a two-dimensional image. In the case where the position of the target trajectory is measured by using plural CCD line sensors, at least two CCD line sensors may be provided in at least two locations along the target trajectory, respectively. FIG. 9 is a conceptual diagram for explanation of an operation of a position sensitive detector. The position sensitive detector is a sensor that can obtain the position of the centroid of light volume entering the sensor. As shown in FIG. 9, assuming that the reflected light from the target trajectory enters the position at distance XG from the center of the sensing portion having a length of 2D and the position becomes the centroid of light volume, the position XG of the centroid of light volume is obtained from the following equation by using currents I1 and I2 flowing out from the ends of the sensor.XG=D×(I2−I1)/(I1+I2)Since the position sensitive detector obtains the position of the light spot by the computation of analog voltages, the position of the target trajectory can be measured at an extremely high speed with high resolving power. In the case where the position of the target trajectory is measured by using plural position sensitive detectors, at least two position sensitive detectors may be provided in at least two locations along the target trajectory, respectively. FIG. 10 is a block diagram showing a configuration example of the nozzle position adjustment system in the one embodiment of the present invention. FIG. 11 is a flowchart showing a procedure of nozzle position adjustment. The nozzle position adjustment system includes the nozzle position adjustment mechanism 113a, the two displacement gauges 21 and 22, and the nozzle position adjustment controller 23. The nozzle position adjustment mechanism 113a adjusts the position of the target injection nozzle 103a. The two displacement gauges 21 and 22 measure the position displacement of the target injection nozzle 103a. The nozzle position adjustment controller 23 controls the nozzle position adjustment mechanism 113a based on the output signals of the displacement gauges 21 and 22 such that the position of the target injection nozzle 103a coincides with the reference position, and thereby, compensates for the position displacement of the target injection nozzle 103a in the horizontal direction. The two displacement gauges 21 and 22 are provided such that their measurement axes are in different directions from each other, and can measure the position of the target injection nozzle 103a within the two-dimensional plane orthogonal to the predetermined target trajectory. It is desirable that the optical axes of the two displacement gauges 21 and 22 are orthogonal to each other. As each of the displacement gauges 21 and 22, a laser displacement gauge, a laser interferometer, or the like for performing noncontact and high-accuracy position measurement may be used. Since the target material is heated to about 300° C., the tip end position of the target injection nozzle 103a may be displaced from the original position due to thermal deformation of parts or the like. Even in such a case, in order to supply the target to the predetermined laser beam irradiation position 130, it is preferable that the position of the target injection nozzle 103a is measured without the influence of the mechanical displacement due to heat. Accordingly, the nozzle position adjustment system may include the displacement gauges 21 and 22 fixed to an independent frame separated from the mechanical displacement of the target delivery mechanism 103 due to the heat. Thereby, the position of the tip end of the target injection nozzle 103a can be measured without the influence of heat (step S11 as shown in FIG. 11). Further, the nozzle position adjustment controller 23 calculates the deviation of the current position of the target injection nozzle 103a relative to the reference position (original position) of the target injection nozzle 103a where the droplet target reaches the predetermined laser beam irradiation position 130 (step S12). The nozzle position adjustment controller 23 compares the deviation of the position of the target injection nozzle 103a with the predetermined threshold value, and determines whether the deviation exceeds a threshold value or not (step S13). If the deviation does not exceed the predetermined threshold value (NO at step S13), the process returns to the first step S11. On the other hand, if the deviation exceeds the predetermined threshold value (YES at step S13), the nozzle position adjustment controller 23 controls the nozzle position adjustment mechanism 113a to move the target injection nozzle 103a in a direction in which the deviation is eliminated and they coincide with each other (step S14). The nozzle position adjustment mechanism 113a translates the target injection nozzle 103a to adjust the position of the target injection nozzle 103a. The nozzle position adjustment mechanism 113a may adjust the position of the target injection nozzle 103a by moving the target delivery mechanism 103 mounted on a linear stage or the like. In this manner, the position of the target injection nozzle 103a is adjusted to coincide with the original position where the droplet target can be supplied to the predetermined laser beam irradiation position 130. The flowcharts as shown in FIGS. 4 and 11 provide an explanation of the algorithm of the control operation using a computer, and further, an explanation of the control principle of an industrial instrument or the like. By using the nozzle position adjustment system and the target trajectory angle adjustment system in combination and respectively performing feedback control of them, control results with high quality can be obtained. In this case, first, the nozzle position adjustment system may perform control of providing the position of the target injection nozzle 103a in the reference position (original position). Then, the target trajectory angle adjustment system may perform control of compensating for the tilt of the target trajectory. Further, two kinds of control may be repeatedly performed. By the separation of the two kinds of control, the target trajectory 112 can be accurately maintained in the predetermined laser beam irradiation position 130. Further, the target injection nozzle 103a may not be tilted. Since the position of the target injection nozzle 103a can be measured with relatively high accuracy by the displacement gauges 21 and 22, the target trajectory may be adjusted to pass through the predetermined laser beam irradiation position 130 by only translating the target injection nozzle 103a. FIG. 12 is a conceptual diagram for explanation of a technique of measuring and controlling a target trajectory by nozzle position adjustment in the one embodiment of the present invention. FIG. 12 conceptually shows that, when the target trajectory 112 tilts, the target trajectory 112 is adjusted to be coincident with the predetermined laser beam irradiation position 130 by the translation operation of the target injection nozzle 103a. If the angle deviation θ of the target trajectory 112 from the predetermined target trajectory has been obtained by the target trajectory angle detecting unit 15 (FIG. 2), the droplet target can be supplied to the predetermined laser beam irradiation position 130 by accurately moving the target injection nozzle 103a by the horizontal distance deviation ΔX obtained from the computation by the nozzle position adjustment system. That is, when the target trajectory 112 tilts by the angle deviation θ relative to the predetermined target trajectory and around the reference position of the target injection nozzle 103a, given that the distance in the Z-axis direction from the center of the tip end of the target injection nozzle 103a to the predetermined laser beam irradiation position 130 is L, the distance deviation ΔX in the X-axis direction is L·tan θ. Accordingly, the nozzle position adjustment controller 23 controls the nozzle position adjustment mechanism 113a to move the target injection nozzle 103a in the opposite direction of the tilt by the distance deviation ΔX=L·tan θ, and thereby, the target trajectory 112 may be allowed to pass through the predetermined laser beam irradiation position 130. Referring to FIG. 2 again, in order to accurately irradiate the droplet target 109 passing through the predetermined laser beam irradiation position 130 at a high speed with the driver laser beam to turn the droplet target 109 into plasma, the extreme ultraviolet light source apparatus may further includes a trigger timing adjustment system for adjusting the trigger timing of the driver laser 101. The trigger timing adjustment system may include a detector laser 31, a light receiving element 32, and a trigger timing adjusting unit 33. The detector laser 31 applies a detector laser beam 35 for search toward the trajectory of the droplet target 109. The light receiving element 32 detects the detector laser beam 35 passing between the droplet targets or the detector laser beam 35 reflected by the droplet target. The trigger timing adjusting unit 33 senses the timing when the droplet target 109 passes through the predetermined laser beam irradiation position 130 based on the detection signal supplied from the light receiving element 32. Further, the trigger timing adjusting unit 33 generates a trigger signal for adjusting the trigger timing of the driver laser 101 such that the driver laser 101 irradiates the droplet target 109 with a driver laser beam 120 in the predetermined laser beam irradiation position 130, and outputs the trigger signal to the driver laser 101. The driver laser 101 may generate the driver laser beam 120 in synchronization with the trigger signal. In the case where the scattering light by the driver laser beam 120 is relatively strong or the case where the droplet target is small, the transmitted light or the reflected light of the detector laser beam 35 is relatively weak and the light receiving element 32 may not accurately detect the detector laser beam 35. In such a case, the detector laser beam 35 may be applied toward the position above the predetermined laser beam irradiation position 130 (toward the target injection nozzle 103a side) instead of the vicinity of the predetermined laser beam irradiation position 130. The trigger timing adjusting unit 33 senses the timing when the droplet target passes through the detection position, and then, the trigger timing adjusting unit 33 may activate the trigger signal to be supplied to the driver laser 101 with the timing when the droplet target reaches the predetermined laser beam irradiation position 130. Thereby, even the small droplet target 109 is irradiated with the driver laser beam 120, and the droplet target 109 is turned into plasma. FIGS. 13-15 are block diagrams showing some modes of the nozzle position adjustment system and the target trajectory angle adjustment system. FIG. 13 is a block diagram showing a second mode of the nozzle position adjustment system and the target trajectory angle adjustment system in the one embodiment of the present invention. FIG. 13 shows the nozzle position adjustment system and the target trajectory angle adjustment system for measuring the position displacement of the target injection nozzle 103a and the tilt of the target trajectory 112 and eliminating the respective deviations. The nozzle position adjustment system and the target trajectory angle adjustment system include the nozzle position adjustment mechanism 113a, the nozzle angle adjustment mechanism 113b, the target trajectory measuring unit 17, the target trajectory angle detecting unit 15, the displacement gauges 21 and 22, and a nozzle adjustment controller 24. The nozzle position adjustment mechanism 113a adjusts the position of the target injection nozzle 103a. The nozzle angle adjustment mechanism 113b adjusts the angle of the target injection nozzle 103a. The target trajectory measuring unit 17 measures the target trajectory by using a sensor selected from among various sensors cited above. The target trajectory angle detecting unit 15 obtains the value related to the angle deviation between the target trajectory represented by the trajectory information and the predetermined target trajectory based on the trajectory information obtained by the target trajectory measuring unit 17, and thereby, detects the tilt of the target trajectory 112. The displacement gauges 21 and 22 measure the position displacement of the tip end of the target injection nozzle 103a. The nozzle adjustment controller 24 controls the nozzle position adjustment mechanism 113a and the nozzle angle adjustment mechanism 113b to respectively perform the position adjustment of the target injection nozzle 103a and the angle adjustment of the target trajectory based on the output signals of the displacement gauges 21 and 22 and the value related to the angle deviation obtained by the target trajectory angle detecting unit 15. In the nozzle position adjustment system and the target trajectory angle adjustment system, the displacement gauges 21 and 22 directly measure the displacement of the tip end of the target injection nozzle 103a, and the nozzle adjustment controller 24 generates the control signal of the nozzle position adjustment mechanism 113a and the control signal of the nozzle angle adjustment mechanism 113b based on the measurement result and the information on the tilt of the target trajectory 112. For example, the nozzle adjustment controller 24 may first perform control of providing the position of the target injection nozzle 103a in the reference position, and then, perform control of compensating for the tilt of the target trajectory. Further, the nozzle adjustment controller 24 may repeatedly perform the two kinds of control. Thereby, the target trajectory 112 can be accurately controlled to pass through the predetermined laser beam irradiation position 130. According to the mode, high-accuracy control can be performed compared to the mode of adjusting the horizontal position of the target injection nozzle 103a according to the position error of the target trajectory 112. FIG. 14 is a block diagram showing a third mode of the nozzle position adjustment system and the target trajectory angle adjustment system in the one embodiment of the present invention. As shown in the image example on the lower right of FIG. 14, the tip end portion of the target injection nozzle 103a is reflected in the images obtained by the imaging devices 11 and 13, and thus, without using the displacement gauges 21 and 22, the coordinate (X1, Z1) of the center of the tip end of the target injection nozzle 103a as the reference point and the coordinate (X2, Z2) of the target trajectory in the measurement position represented by Z=Z2 can be obtained. The target trajectory angle detecting unit 15 obtains the angle deviation θ of the target trajectory 112 from the two coordinates, and obtains the position displacement of the target injection nozzle 103a from the coordinate of the tip end of the target injection nozzle 103a. It is preferable that the imaging devices 11 and 13 are at the same distance from the tip end of the target injection nozzle 103a and have the same angle of view. On the basis of the information, a nozzle adjustment controller 25 controls the nozzle position adjustment mechanism 113a. The nozzle adjustment controller 25 further maintains the tip end of the target injection nozzle 103a in the original position and controls the nozzle angle adjustment mechanism 113b. Thereby, the nozzle adjustment controller 25 compensates for the tilt of the target injection nozzle 103a and maintains the target trajectory 112 of the droplet target injected from the target injection nozzle 103a in the Z-axis direction. Accordingly, the target trajectory 112 of the target injected from the target injection nozzle 103a can pass through the predetermined laser beam irradiation position 130. In the third mode as shown in FIG. 14, the target injection nozzle 103a is included in the field of view of the imaging devices 11 and 13 and the displacement gauges 21 and 22 are omitted, and there is an advantage that the apparatus is simplified compared to the second mode as shown in FIG. 13. FIG. 15 is a block diagram showing a fourth mode of the nozzle position adjustment system and the target trajectory angle adjustment system in the one embodiment of the present invention. In the fourth mode, the nozzle angle adjustment mechanism 113b is omitted in the second mode as shown in FIG. 13, and there is an advantage that the nozzle adjustment mechanism is simplified. The nozzle position adjustment system and the target trajectory angle adjustment system as shown in FIG. 15 include the nozzle position adjustment mechanism 113a, the target trajectory measuring unit 17, the target trajectory angle detecting unit 15, the displacement gauges 21 and 22, and a nozzle position adjustment controller 26. The nozzle position adjustment mechanism 113a adjusts the position of the target injection nozzle 103a. The target trajectory measuring unit 17 measures the target trajectory by using a sensor selected from among various sensors cited above. The target trajectory angle detecting unit 15 obtains the value related to the angle deviation between the target trajectory represented by the trajectory information obtained by the target trajectory measuring unit 17 and the predetermined target trajectory, and thereby, detects the tilt of the target trajectory 112. The displacement gauges 21 and 22 measure the position displacement of the tip end of the target injection nozzle 103a. The nozzle position adjustment controller 26 controls the nozzle position adjustment mechanism 113a to perform the position adjustment of the target injection nozzle 103a based on the output signals of the displacement gauges 21 and 22 and the value related to the angle deviation obtained by the target trajectory angle detecting unit 15. The nozzle position adjustment controller 26 controls the nozzle position adjustment mechanism 113a based on the position deviation of the target injection nozzle 103a and the measured tilt of the target trajectory 112. Thereby, the target injection nozzle 103a can be translated such that the target trajectory 112 passes through the predetermined laser beam irradiation position 130. According to the one embodiment of the invention, in the chamber apparatus of the LPP light source, even in the case where the injection direction of the droplet target injected from the target injection nozzle of the target delivery mechanism tilts from the predetermined injection direction, the angle deviation between the measured target trajectory and the predetermined target trajectory can be obtained and at least one of the position and the angle of the target injection nozzle can be adjusted based on the angle deviation such that the droplet target passes through the predetermined position. Furthermore, according to some embodiments, the following merits may be obtained. (1) The LPP type EUV light source apparatus may generate EUV light constantly with high efficiency. (2) Since it is highly possible that the laser beam can be focused and applied to the center part of the droplet target with high accuracy, the intensity distribution may be more uniform in a far field (a region farther from the plasma generation position (emission point of EUV light) than the intermediate focusing point (IF)), and the energy stability of EUV light may be improved. (3) Even in the case where the diameter of the droplet target is made smaller, it is possible that the droplet target can be irradiated with the laser beam with high accuracy, and the debris may be reduced. In addition, in the above described embodiment, the case where the present invention is applied to the LPP type EUV light source apparatus for generating EUV light by focusing the driver laser beam to the predetermined laser beam irradiation position, where the droplet target passes, to generate plasma has been explained, but the present invention is not limited to the embodiment. For example, the present invention can be also applied to an LPP type EUV light source apparatus for generating EUV light by irradiating the droplet target with a pre-pulse laser beam for expanding the target or weakly turning it into plasma, and then, irradiating the expanded target or the weak plasma with a main-pulse laser beam to generate plasma.
description
This application claims the benefit of U.S. Provisional Application Ser. No. 61/259,291, filed on Nov. 9, 2009. 1. Field of the Invention This invention relates generally to jet pump stabilization in nuclear reactors and, more particularly, to an auxiliary wedge apparatus, for modifying jet pump wedge positioning assemblies within a nuclear reactor pressure vessel. 2. Description of Related Art As detailed by Wivagg in U.S. Pat. No. 7,023,949 (“Wivagg '949”). Boiling water reactors (“BWRs”) are designed to generate steam in reactor pressure vessels (“RPVs”) by heating the water surrounding uranium-containing tubes of fuel assemblies located in the RPV core regions. The RPVs have recirculation loops designed to facilitate the circulation of water in the core regions. The recirculation loops pump water out of the RPVs and return the water to the inlets of jet pump assemblies located in annular regions in the RPVs surrounding the core regions. The jet pump assemblies are designed to entrain the surrounding water in the annular regions and then discharge the water in a manner that induces a desired flow pattern in the core regions. The jet pump assemblies are subject to vibrations caused by hydraulic forces due to the flow of water. Thus, in one BWR design, the jet pump assemblies are horizontally supported against vibration within brackets by a suspension system including a wedge movably mounted on a vertically oriented guide rod that is attached to a jet pump assembly. The wedge, which may weigh about seven pounds, is designed to slide downwardly under the force of gravity into the space between the bracket and the jet pump assembly and thereby urge the jet pump against adjustment screws. This design is shown in prior art FIGS. 1-3 (from Wivagg '949), wherein, in FIG. 1, nuclear reactor 10 contains outside reactor pressure vessel 12, interior core shroud 18, surrounding reactor core assemblies 20, including fuel, usually UO2 contained in zirconium based tubes, not shown. The core assemblies generate steam from cooling water. Inlet feed water 22 passes into recirculation water outlet nozzle 33 and is pumped to water inlet nozzle 42 via pumping loop (not shown) and passes to riser piping 44. Riser piping 44 terminates at a manifold 48, sometimes referred to as a “ramshead.” Each jet pump assembly 46 of the pair generally includes an inlet 50 adjacent the manifold 48 that is open to an annular region defined by the wall of the RPV pressure vessel 12 and wall of the core shroud 18 for entraining the surrounding water in the annular region, a mixing section 52 and a diffuser section 54 supported on a crossplate 56. The jet pump assembly 46 may have a boss 49 on its periphery surface as shown in FIG. 2. In the prior art, each jet pump assembly 46 had an associated main wedge 60 that was movably mounted on a vertically extending guide rod 62 fastened to the jet pump assembly 46. As shown in prior art FIG. 2, the main wedge 60 was designed to slide vertically through an opening 68, better shown in FIG. 3, in a restrainer bracket 70, having adjustment set screws 80, therethrough to contact the jet pump assembly 46. The bracket 70 was attached to the riser pump piping 44 by welds 72 or other suitable means. As shown, there are no wedges near the adjustment set screws 80 of FIGS. 2 and 3. The weight of the main wedge 60 provides a sufficient force urging the jet pump assembly 46 against two (or more) adjustment set screws 80 for horizontally supporting the jet pump assembly 46 against hydraulic forces and vibrations. The outer surface of the main wedge 60 could be inclined relative to the edge surface of the bracket 70. Erbes et al. (U.S. Pat. No. 6,052,425) in their FIG. 2 show a plurality of set screws 32A to D passing through restrainer brackets 28A and B. The main wedges are shown as 30A and B disposed away from the set screws. This provides only two restraining wedge assemblies on opposite sides of inlet mixers assemblies 16A and B. U.S. Pat. No. 7,627,074 (Erbes et al.) teaches dual spring wedges contained within a U-shaped bracket where dual guide rods pass through the top of the U-shaped bracket, through the springs to contact dual wedges. Problems associated with this design are complexity and probable cost. Other patents dealing with jet pump wedges include U.S. Pat. Nos. 4,675,149; 6,320,923; 6,490,331; and 6,788,756 (Perry et al.; Wivagg et al.; Erbes; and Erbes, respectively). Existing designs usually require that the space between the mixer belly band and the restrainer bracket that is being occupied, needs to be measured and therefore the wedge assembly needs to be machined to fit each specific location. What is needed is a simplified wedge design that does not need to be machined at site and does not require the removal of jet pumps for installation greatly reduces the cost of implementing the repair and is a significant advantage to the owner. What is also needed is an auxiliary wedge assembly disposed next to, over, or in some connection with the set screws and secondary bracing means. It is therefore an object of this invention to provide a design that installs from above without removing jet pump hardware and provides a mechanism to accommodate a large range in manufacturing variation in jet pump positioning. The above problems and needs are provided and objects met by providing an auxiliary wedge positioning apparatus for use in a nuclear reactor consisting essentially of: (a) a restraint bracket body having a top end flat portion having one hole therethrough and a transverse rail with two bottom integral hooked protrusions each exactly opposite to each other and attached to the transverse rail, said protrusions having an angled gull wing shape with an upward member section and a downward member section; (b) a single triangular slide wedge having a flat side attached to the transverse rail of the restraint bracket body, with an end flat portion and an angled side facing outward; (c) a single slide rod passing through the top flat portion hole to contact the end flat portion of the triangular slide wedge; and (d) a single spring disposed around the slide rod, the spring contacting the top end flat portion and exerting pressure against the end flat portion of the triangular slide wedge to exert pressure against adjacent bodies in the nuclear reactor.Here, the hooked protrusions have a middle upward member section and an end downward section next to the transverse rail, and a wing stabilizing attachment parallel to the upward member section and the downward member section. The invention also provides at least two separate auxiliary wedge positioning apparatus in a nuclear reactor, containing riser piping that can feed pumped cooled water to at least one jet pump assembly having a circumferential restrainer bracket, which restrainer bracket has at least two vibration damping adjustment set screws each set in a set screw block contacting the jet pump assembly, the bracket is positioned around the jet pump assembly which bracket also contacts the riser piping, such assembly and riser piping subject to vibration during reactor operation, wherein a main wedge is utilized away from the set screws while the at least two separate auxiliary wedge positioning apparatus being positioned adjacent the set screws; wherein the at least two separate auxiliary wedge positioning apparatus each consist essentially of: (a) a restraint bracket body having a top end flat portion having one hole therethrough and a transverse rail with two bottom integral hooked protrusions each exactly opposite to each other and attached to the transverse rail, said protrusions having an angled gull wing shape with an upward member section and a downward member section, structured to contact the at least one set screw block; (b) a single triangular slide wedge having a flat side attached to the top rail of the restraint bracket body, with an end flat portion and an angled side facing outward; (c) a single slide rod passing through the top flat portion hole to contact the end flat portion of the triangular slide wedge; and (d) a single spring disposed around the slide rod, the spring contacting the top end flat portion and exerting pressure against the end flat portion of the triangular slide wedge, this pressure on the slide wedge forcing it against the jet pump assembly.Here, the at least one of the adjustment set screw blocks are positioned to contact part of the wing of the bracket body and the at least two separate auxiliary wedge positioning apparatus utilizes a combination of spring force and gravity to provide continuous adjustment against the at least one jet pump assembly. The hooked protrusions, in the form of a “gull wing,” provide stability under jet pump assembly vibration and prevent excessive circumferential movement around the jet pump assembly. The term “gull wing” is herein meant to define the configuration shown particularly in FIGS. 6 and 7 as members 150, which is a “parallel” member section, parallel and outward from the rail 110 and transverse at its bottom of the rail 110 and attached to the transverse rail 110 as shown in FIGS. 5-7; 152, which is an angled upward member; and 156, which is an angled downward member attached to 152; all of hooked protrusions 111. To reiterate, the auxiliary wedge of this invention uses a wedge action to restore the contact support between the jet pump restrainer bracket and the jet pump mixer (see FIG. 2). The wedge uses a combination of spring force and gravity to provide continuous adjustments for possible alignment variations of the mixer and compensations for possible settlement after installation. The lines of contact between the wedge assembly, the inlet mixer and the restrainer bracket form a triangle that adds stability when installed (see FIG. 4). Hooked protrusions, such as member 152, and wing stabilizing attachment/contact pad 155, contact or are adjacent to the set screw block 122′ (see FIG. 6) to prevent excessive circumferential movement around the mixer. These protrusions also aid in installation of the apparatus while the mixer is in place and help maintain vertical orientation under vibration conditions. The wing stabilizing attachment is parallel to the upward member and the downward member (best shown in FIG. 6) and adjacent to the at least one set screw block; and assists in preventing circumferential auxiliary wedge movement. Thus, positioning of the stabilizing attachment in such a manner is very important to this invention. The triangle contact design allows for wedge stability while not requiring machining or measurements as do other designs. The maximized stroke enables a minimum number of apparatus to accommodate the range of gap distances for the variations in existing plants. The present invention generally relates to an auxiliary wedge spring apparatus structured to impart a wedge action to fill the space between the jet pump restrainer bracket and the mixing section of the jet pump assembly. Referring now to the drawings, FIGS. 4 and 5 are perspective and exploded views of an auxiliary wedge positioning apparatus 100 according to the main embodiment of the present invention. The auxiliary wedge positioning apparatus 100 includes a restraint bracket body 102, single spring 103, capture rod 104, slide wedge 105, pin 106, and single slide rod 107. As best seen in FIG. 5, the restraint bracket body 102 generally includes a rail 110 transverse to top end flat (spring stop) portion 108 angled at substantially 90° from rail 110 at a first end 116. The auxiliary hooked protrusions 111 having a “gull wing” shape, defined previously, (best shown in FIGS. 5, 6 and 7) at a second end opposite first end 116. Thus, the top of the bracket body 102 is an L shape rather than a U shape. As can be seen best in FIGS. 4 and 5, the hooked protrusions forming the gull-wing are each exactly opposite each other and attached to the transverse rail 110 as part of parallel member section 150. The hooked protrusions 111, shown in FIG. 6, pass to a parallel member section 150 next to the transverse rail and outward 90° from the rail 110 and the restraint bracket body 102; having an attached middle upward member section 152 at an angle 154 about 20° to 60° to the restraint bracket body 102; ending at an end downward member section 156 at an angle 158 about 70° to 110° from the upward member section 152. The figure itself, FIG. 6, should control as to these angles. Also shown in FIGS. 6 and 7 is a wing stabilizing attachment 155 connected to the bottom of upward member section 152 and downward section 156, partly to ensure stability of the gull-wing to vibration, but also to be disposed adjacent to set screw block 122′ which after time may make contact with wing stabilizing attachment 155, which increases wing rigidity and acts to assist or prevent excessive circumferential movement of the entire auxiliary wedge positioning apparatus. The stabilizing attachment 155 is parallel to the upward member section 152 and the downward member section 156, as shown by parallel lines 159 shown in FIG. 7. A chamfer of sections 152 and 156 is also shown in FIG. 7 at 157. Referring back to FIG. 5, the top end flat spring stop portion 108 includes a bore 109 therethrough to accommodate slide rod 107. A first end of slide rod 107 is inserted through the bore 109. Spring 103 is then inserted over and around/surrounding the slide rod 107, such that a first end 103′ of spring 103 contacts one side of top end flat stop portion 108 and the second end of spring end 103″ provides pressure against the slide wedge 105. The single spring 103 is essential to the invention to exert variable pressure against the top wedge end 118. Auxiliary slide wedge 105 is slipped onto rail 110 of restraint bracket body 102, brought into contact with the second end 103″ of the spring, and receives the first end of slide rod 107 therein. Wedge pin 106 is inserted through a hole 112 in the first side of slide wedge 105, through a bore 113 within the first end of slide rod 107, and through a hole (not shown) on the second side of slide wedge 105, where it is secured to ground the second end 103″ of spring in tension engagement with the flat top wedge end 118 of wedge 105. FIGS. 7 and 8 are additional views of the wedge positioning apparatus with the same numbering as FIGS. 4 to 6 for the sake of simplicity. Also, in FIG. 6 is restraining bracket 121 through which set screw 122 passes into set screw block 122′ which is disposed below upward member section 152 of hooked gull wing protrusion 111, as shown, with contact points at 123 and 124 gull wing points. Machined hole 115 transverse to rail 110 is shown above the top of restraining bracket 121. This machined hole 115 is also shown in FIG. 5 and FIG. 8. Wedge pin 106 is structured to couple slide rod 107 with slide wedge 105. Slide wedge 105 is then pushed towards flat end top stop 108 so as to slightly compress spring 103. Capture rod 104 is then inserted through a slot 114 in the top of slide wedge 105. Capture rod 104 is then coupled to the second end of the restraint bracket body 102. The slot 114 and capture rod 104 cooperate to allow slide wedge 105 to travel along the length of the restraint bracket body 102. Thus, in summary, the wedge positioning apparatus contains a restraint bracket body 102 having a rail 110 having at its bottom end two integral hooked protrusions/wings 111, the restraint bracket body having an angled top end spring stop 108 having bore 109 therethrough receiving a slide rod 107 having a hole 113 therethrough for receiving a wedge pin 106, which slide rod holds a spring 103 in place. The spring 103 contacts the flat top wedge end 118 of a slide wedge 105 forcing the slide wedge to be held in place, where the slide wedge contacts the rail 110. Now referring to FIG. 9, the auxiliary wedge positioning apparatus 100 uses a combination of spring force and gravity to provide continuous adjustment for possible alignment variations of the components involved (e.g., jet pump assembly 120, restraining bracket 121, set screw 122, set screw block 122′, etc.) settle after installation. The apparatus can use a triangular, three-line contact 162 shown in dotted lines to maintain stability between all of the parts. The apparatus has hooked protrusions/wings 111 structured to contact either on the top of or at the bottom of the at least one restrainer bracket set screw block 122′, while bottom machining hole 115, while not entirely shown here, is generally above the restraining bracket 121. At least one of these protrusions 111 contact in one embodiment, the set screw block 122′ as shown in FIG. 10 where the set screw block 122′ is disposed below protrusions 111. Also shown is secondary bracing means 130 which has no part in this invention. The adjustment set screw 122, within set screw block 122′, prevents excessive circumferential movement around the jet pump assembly 120. The adjustment set screw blocks 122′ are positioned to contact at least one of the protrusions 111 as shown on their underside gull wing point 160 (in FIG. 6). These protrusions 111 and 111′ also aid in installation of the apparatus while the jet pump assembly 120 is in place and provide stability under vibration conditions. In one embodiment, the triangular contact design 162 allows for wedge stability while not requiring machining or take measurements on site. In one embodiment, the spring 103 is selected such that the wedge assembly positioning apparatus 100 is capable of accommodating the large range of gap distances encountered due to variations in existing nuclear plants. Also shown is riser piping 144 and hollow space between the jet pump assembly 120 and bracket 121. FIG. 11 is a side view of FIG. 10, using the same numbering for simplicity, but set screw blocks cannot be seen. The main wedge means, generally shown as 140, is shown disposed from 110° to 130° usually 120° angle from both auxiliary wedges 100. Although the invention has been described in detail for the purpose of illustration based on what is currently considered to be the most practical and preferred embodiments, it is to be understood that such detail is solely for that purpose and that the invention is not limited to the disclosed embodiments, but, on the contrary, is intended to cover modifications and equivalent arrangements that are within the spirit and scope of the appended claims. For example, it is to be understood that the present invention contemplates that, to the extent possible, one or more features of any embodiment can be combined with one or more features of any other embodiment.
abstract
A non-destructive testing method comprises providing a specimen having at least one positron emitter therein; determining a threshold energy for activating the positron emitter; and determining whether a half-life of the positron emitter is less than a selected half-life. If the half-life of the positron emitter is greater than or equal to the selected half-life, then activating the positron emitter by bombarding the specimen with photons having energies greater than the threshold energy and detecting gamma rays produced by annihilation of positrons in the specimen. If the half-life of the positron emitter is less then the selected half-life, then alternately activating the positron emitter by bombarding the specimen with photons having energies greater then the threshold energy and detecting gamma rays produced by positron annihilation within the specimen.
055641040
description
DETAILED DESCRIPTION Introduction PA0 Methods of separating radiolabeled biological molecules from liquid radioactive waste solutions PA0 Preparation of solid phase binders PA0 Removal of .sup.125 I thyroxine from a liquid solution with a solid phase charcoal binder PA0 Removal of .sup.125 I folate and .sup.57 Co vitamin B12 from a liquid solution with a magnetizable particle charcoal adsorbent PA0 Removal of .sup.125 I thyroxine from a liquid solution with a magnetizable particle immunochemical binder This invention relates to concentration of liquid radioactive waste containing radioactively labeled biological molecules. The disposal of such liquid radioactive waste presents a problem for many laboratories and institutions. This is particularly true due to the widespread use of procedures such as radioimmunoassays, which generate large volumes of low level liquid radioactive waste. The removal of radioactively labeled molecules from liquid radioactive waste solutions greatly reduces the volume of radioactive waste and therefore facilitates the storage or disposal of radioactive waste. This invention provides methods for removing a variety of radioactively labeled biological molecules from radioactive waste solutions. The radioactively labeled biological molecules are bound to a solid phase binder and form a complex with the solid phase binder. The solid phase binder is then removed from the radioactive waste solution, which results in the concentration of the radioactive waste. The term "biological molecule" as used herein refers to carbon-containing molecules, including macromolecules, that are found in a biological source, as well as derivatives, analogues and modifications of such molecules. In addition, the term refers to carbon-containing molecules such as pharmaceuticals, antibiotics and the like which are used in medicine. The term also refers to variety of other biologically significant carbon-containing molecules such as toxins, pesticides and herbicides that may be assayed in medicine or in environmental testing. For example, nucleic acid analogues containing modified bases not found in nature are included as biological molecules. Similarly, any analogue of a molecule found in nature or any chemical modification of such a molecule is also included in the definition of biological molecules. Biological molecules may be isolated from natural sources or synthesized in the laboratory, as, for example, synthetic peptides or oligonucleotides. The term "radioactively labeled biological molecule", as used herein refers to a biological molecule that is labeled with a radioactive isotope. A variety of different radioisotopes may be used. Typically the radioisotopes used are alpha, beta or gamma emitters. For example, radioisotopes commonly used in radioimmunoassays and other assays and laboratory procedures include .sup.14 C, .sup.3 H, .sup.125 I, .sup.131 I, .sup.32 p and .sup.57 Co. Other radioactive isotopic labels may also be used. The radioisotope may be attached to or incorporated into the biological molecule in a large variety of ways known to those of skill in the art. These methods of attachment can include the preparation of derivatives and modifications of biological molecules for the purpose of radiolabeling. The methods of the invention relate to the removal of radioactively labeled biological molecules, as defined above from liquid radioactive waste solutions. The terms "liquid radioactive waste solution" or "radioactive waste solution" refer to liquid radioactive waste which contains radioactively labeled biological molecules. Liquid radioactive waste solutions may be aqueous or nonaqueous liquids. For example, the liquid radioactive waste resulting from many radioimmunoassay procedures typically consists of aqueous wash solutions containing a variety of radioactively labeled biological molecules. Radioimmunoassay procedures generate large volumes of liquid radioactive waste solutions. Since the introduction of radioimmunoassay (RIA) techniques by Yallow and Berson (Yallow, R. S., Berson, S. A., Journal of Clinical Investigation, 1960, 39:1157-1175) in the late 1950s, RIA technologies have become one of the most widely used analytical methods in the field of diagnostics and in many other biotechnology related-fields for the quantitative analysis of many substances. The RIA methods gained popularity because of their high accuracy and sensitivity which nonradioisotopic methods lack. Notwithstanding its sustained popularity, the radioactive waste associated with the use of RIA procedures presents a major problem. Following the completion of the RIA assay, the resultant radioactive waste must be disposed of in a safe and secure manner, often requiring a large storage space and special lead-lined containers. RIA procedures can be performed in a variety of different formats. An example of a typical RIA format is useful to illustrate how liquid radioactive waste is generated from these procedures. In a typical RIA procedure, a specific antigen together with a radioactively labelled antigen competes for a limited amount of the antibody or binder specific to that antigen. The antibody:antigen (Ab:Ag) complex is then separated from unbound antigen by various physical, chemical, physicochemical, or immunochemical methods. The radioactivity of the bound or free fractions is then measured and compared to a reference or standard to determine the amount of unknown antigen. Many RIA variations have been developed and described in detail in literature (Miles, L. E. M., Hales, C. N., Nature, (1968), 219:186-189; Miles et al. Analytical Biochemistry (1974) 61:209-224). One example is the immunoradiometric assay (IRMA) in which the antibody, as opposed to antigen, is labeled with an isotopic material. In the IRMA technique, a sample containing an antigen is incubated with an excess amount of antibody (also called capture antibody) specific to an antigenic determinant on the antigen, in order to capture all of the antigen in the sample. This step is followed by the addition of radioisotope-labeled antibody, specific to a different antigenic site on the same antigen. An Ab:Ag:Ab-radioisotope complex is thus formed. The unbound radioactive antibodies are then separated from the Ab:Ag:Ab-radioisotope complex by removal of the excess solution. The bound radioactivity is then quantified by using a radioactive counter. The unknown sample results are then compared with results from a standard solution in order to measure the concentration of the unknown sample. Antibody or antibodies used in the above techniques may be polyclonal from various species (e.g. donkey, sheep, goat, rabbit, mice, human, etc.) or monoclonal antibodies from the above-named species. A variety of separation techniques and materials used to separate the bound from free fractions in RIA techniques are known to those of skill in the art. Examples of such methods are listed in Table A below. TABLE A ______________________________________ METHOD OF SEPARATING BOUND AND FREE ANTIGEN Specific Method or Material Type of Method Used ______________________________________ 1. CHEMICAL Precipitation ethanol, polyethylene glycol, sodium sulphate, etc. 2. PHYSICAL Gel filtration Sephadex Electrophoresis on starch gel, cellulose acetate, etc. Chromatography paper, silica gel, etc. Chromato electrophoresis Ion exchange Adsorption charcoal, magnetizable (free fraction) talc, etc. 3. IMMUNOLOGICAL Precipitation with Second Antibody procedure second Ab Solid-phase first Ab * Polymerization of first Ab * Entrapment first antibody entrapped in cross-linked albumin * Adsorption polystyrene derivatives, paper discs, etc. * Covalently coupled CNBr-activated cellulose, magnetizable cellulose, sepharose, etc. Solid-phase second CNBr-activated cellulose, antibody magnetizable cellulose, sepharose, etc. ______________________________________ Consideration of the various separation techniques used in RIA procedures illustrates why RIA procedures often generate large volumes of liquid radioactive waste. For example solid phase separation methods typically involve washing solid phase immunocomplexes containing a labeled antigen or antibody with an aqueous wash solution, which generates a large volume of low level liquid radioactive waste. The various RIA techniques use a variety of different radioisotope labels. .sup.14 C, .sup.3 H, .sup.125 I, .sup.131 I, .sup.32 P and .sup.57 Co are among the most popular radioisotopes used in assay techniques in the medical, medical-diagnostic, and other biotechnology fields. Other radioisotopes not mentioned may also be utilized. A large variety of different biological molecules are used in radioimmunoassay techniques in medicine and research. Common radioactively labeled molecules used in clinical laboratory testing include hormones such as .sup.125 I thyroid hormones, .sup.125 I steroids such as cortisol, testosterone and estrogenic hormones, and a variety of .sup.125 I polypeptide hormones such as TSH, LH, FSH, HCG, etc. Other commonly used radioactively labeled molecules in RIA's include drugs such as .sup.125 I digoxin, vitamins such as .sup.125 I folate and .sup.57 Co vitamin B12, as well as labeled antibody molecules used in IRMA procedures. Many other radioactively labeled molecules present in liquid radioactive waste are known to those of skill in the art and can also be concentrated by the methods of the invention. The present invention involves adding a variety of solid phase binders including resins and adsorbent materials to a solution containing radioactively labeled biological molecules. These resins and adsorbent materials include adsorbent materials that are entrapped inside a resin or resins, or that are chemically coupled to a resin. The radioactive molecules are bound to the solid phase binder through physical, physiochemical, or immunochemical means during an incubation period. The immobilized radioactive molecules can then be separated and hence concentrated. The separation procedure removes the radioactively labeled biological molecule from the liquid radioactive waste solution, thereby concentrating the volume of radioactive material. Separation can be achieved by a variety of methods including filtration or centrifugation. Separation can also be achieved by magnetizable particle separation, if the resin or adsorbent materials have magnetic or paramagnetic properties. In addition, any of the separation techniques used in immunoassays and shown in table A or described in Ratcliffe, J. G., et al. (1974) Br. Med. Bull. 30(1) 32-37 or in Yalow, R. S. (1968) Exc. Med. Found. Int. Congr. Ser. 161: 627-631 can be used to remove radioactively labeled biological molecules from liquid radioactive waste solutions. Other physical separation techniques commonly known to those skilled in the art can also be employed. A variety of solid phase binders can be used in the claimed methods. The term "solid phase binder" as used herein refers to any solid phase preparation that is capable of binding a radioactively labeled biological molecule present in a liquid solution. Solid phase binders are used to remove radioactively labeled biological molecules from liquid solution. A wide variety of solid phase binders can be used. For example, solid phase binders may be used that are based on known methods for separating bound from free radiolabeled molecules in radioimmunoassay procedures. A number of such separation methods are listed in Table A herein. Additional separation methods for radioimmunoassay procedures which describe additional materials for use as solid phase binders are described in Ratcliffe, J. G., et al. supra and in Yalow, R. S. (1968) supra. A variety of solid materials may be used as solid supports in solid phase binders. Examples of such solid materials including many plastics such as nylon, polyacrolein, polystyrene, polypropylene, cellulose, agarose, as well other polymers, copolymers, glass, porous glass, and other naturally occurring resins. Adsorbents entrapped or chemically bound to a resin or resins can be packed in a column or packaged as a cartridge or any other resin containment device, holder, or container. The solution containing radioactively labeled biological molecules is then passed through the column, cartridge device, holder, or container resulting in removal of the radioactively material. In order to facilitate flow of liquid through the column, adsorbent particles can be incorporated into a polymer matrix. The polymer containing the adsorbent particles can then be used in a column or cartridge as described above. As an additional example, an adsorbent can be attached to a porous glass support such as porous glass beads. The porous glass beads are then packed into a column or cartridge which can be used to remove radioactively biological molecules from radioactive waste solutions. The use of several different column or cartridge configurations in the present invention is shown in FIGS. 1-3 herein. A variety of other column or cartridge configurations known to those of skill in the art can also be used. This invention also includes methods by which radioisotope-labeled compounds (e.g. small compounds such as steroids, thyroxin hormones, therapeutic drugs, etc.), that are present in a liquid solution can be adsorbed by activated charcoal particles. The particles containing the radioisotope-labeled compounds adsorbed to it can then be concentrated by means of centrifugation or filtration. A particular example of the use of a charcoal adsorbent is granulated-activated charcoal packed in a column, cartridge, or other containment device. The liquid solution containing the radioisotope-labeled material is then passed through the column or other device, by gravity or by the use of a pump, vacuum, or whichever is suitable. The radioisotope-labeled material is adsorbed in the column or device, hence concentrated for easy storage and disposal. Examples of the use of such columns are shown in FIGS. 1-3 herein. For instance, charcoal adsorbents can be used in the column formats shown in FIG. 1. The term "solid phase adsorbent" as used herein refers to a particular type of solid phase binder that binds radioactively labeled biological molecules by the process of adsorption of the biological molecule to the surface of the adsorbent. A wide variety of different adsorbents may be used in solid phase adsorbents. An example of a solid phase adsorbent is a charcoal adsorbent. The term "charcoal adsorbent", as used herein refers to any solid phase adsorbent which contains charcoal. The charcoal adsorbent can be particles of treated or untreated charcoal. Alternatively, the charcoal adsorbent can be particles of charcoal that are attached to a variety of different solid supports. For example, charcoal particles can be entrapped within a polymer such as polyacrylamide. As an additional example, charcoal-can be attached to a porous glass support. In both examples, the charcoal adsorbent is preferably packed into a cartridge or column and the radioactive waste solution is passed through the column or cartridge in order to remove radioactively labeled biological molecules. A wide variety of other adsorbents in addition to charcoal can be used as solid phase adsorbents. For example, silicates such as talc and Fuller's earth, can be used. Glass beads and glass wool can also be used as adsorbents for certain biological molecules such as DNA. Solid phase adsorbents can also be mixture of different substances as, for example, mixtures of celite and charcoal. Solid phase adsorbents can be particles of an adsorbent or can be attached to a polymer or entrapped within a polymer resin. As described above, these adsorbents can also be entrapped within a polymer resin, which can have advantages for use in columns and cartridges. A large number of naturally occurring or synthetically prepared adsorbents or resins have the ability to bind many radioisotope-labeled materials. However, some radioisotope-labeled compounds cannot be readily adsorbed to solid phase adsorbents. These types of molecules can generally be removed from liquid radioactive waste solutions by use of a solid phase immunochemical binder. An antibody, or a naturally or synthetically produced binder, or a genetically engineered binder specific for a radioisotope-labeled compound can be bound to a solid support such as a resin. The solid support can then be mixed with the contaminated solution to bind the radioisotope-labeled biological molecule. After a brief incubation, the solid support can be separated by a variety of techniques such as centrifugation or filtration. As an additional example, the antibody can be physically adsorbed or chemically bound to a variety of magnetizable solid-supports to implement easy separation. The radioactive waste solution can be concentrated by a factor of a hundred or more for easier disposal. A solid phase immunochemical binder, such as a solid phase antibody, can also be packed in a column, cartridge, or other device, and the solution containing radioisotope-labeled compounds can be passed through the column by means of gravity, pump, or vacuum to facilitate and accelerate the decontamination procedure. The term "solid phase immunochemical binder" as used herein, refers to those solid phase binders that use antibody-antigen binding to accomplish the binding of a radioactively labeled biological molecule to a solid phase binder. The term also includes the binding of radioactively labeled antibodies in liquid radioactive waste solutions by non-immunoglobulin proteins such as protein A, protein G combined protein A-protein G molecules (protein A/G). Typically, a solid phase immunochemical binder has an antibody capable of binding a radioactively labeled biological molecule coupled to a solid phase. Alternatively, an antigen can be coupled to a solid phase and used to bind radioactively labeled antibodies that are present in radioactive waste solutions. As yet another example, antibodies that bind radioactively labeled biological molecules can be added to a radioactive waste solution in liquid phase to form an immunocomplex with a radioactively biological molecule. The immunocomplex can be bound by a solid phase reagent capable of binding the liquid phase antibody. Examples of such solid phase reagents include anti-immunoglobulin antibodies, protein A, protein G, or protein A/G coupled to a solid phase. The term "antibody" as used herein, refers to an immunoglobulin molecule able to bind to a specific epitope on an antigen. Antibodies can be a polyclonal mixture or monoclonal. Antibodies can be intact immunoglobulins derived from natural sources or from recombinant sources and can be immunoreactive portions of intact immunoglobulins. Antibodies are typically tetrameres of immunoglobulin polypeptide chains. The antibodies may exist in a variety of forms including, for example, Fv, F.sub.ab, and F(ab).sub.2, as well as in single chains (e.g., Huston, et al., Proc. Nat. Acad. Sci. U.S.A., 85:5879-5883 (1988) and Bird, et al., Science 242:423-426 (1988), which are incorporated herein by reference). (See generally, Hood, et al., Immunology, Benjamin, N.Y., 2nd ed. (1984), and Hunkapiller and Hood, Nature, 323:15-16 (1986), which are incorporated herein by reference). Single-chain antibodies, in which genes for a heavy chain and a light chain are combined into a single coding sequence, may also be used. There are also many other types of solid phase binders that can be used in addition to solid phase adsorbents and solid phase immunochemical binders. Some of these binders are used for binding specific types of labeled biological molecules. For example, solid phase oligonucleotides can be used to hybridize to complementary radiolabeled nucleic acids that are present in radioactive waste solutions. Hydroxyapatite and other substances that bind nucleic acids can also be used to bind radioactively labeled nucleic acids. As described above, solid phase binders remove radioactively labeled biological molecules from liquid radioactive waste solutions by forming a complex between the solid phase binder and the radioactively biological molecules. The term "solid phase binder:radioactively labeled biological molecule complex" refers to the complex formed when a solid phase binder binds to a radioactively labeled biological molecule. The type of binding in the complex will vary depending on the type of solid phase binder that is used. For example, solid phase adsorbents adsorb certain radioactively labeled biological molecules to the surface of the adsorbent. As another example, solid phase immunochemical binders use antibody-antigen binding in the formation of the solid phase binder:radioactively labeled biological molecule complex. As described above, there are a variety of methods for removing the solid phase binder:radioactively labeled biological molecule complex from the radioactive waste liquid. For example, magnetizable particle binders can be used to effect this separation. The term "magnetizable particle binder" as used herein refers to a solid phase binder that uses a magnetizable particle as the solid phase. There can be a variety of different types of magnetizable particles. These particles can use different magnetizable constituents as well as different polymers to form the solid phase. There are a variety of different magnetizable constituents that can be used in the particle. Typically, the magnetic constituents are not magnetized metals, but rather metallic constituents that can be attracted by magnet. However, particles with magnetic properties can also be used. Typical examples of magnetizable constituents include ferric oxide, nickel oxide, barium ferrite, and ferrous oxide. A variety of different polymers or resins can be also used in the magnetizable particle. Examples of such polymers include polyacrylamide, polyacrolein and cellulose. The term "magnetizable polymer", as used herein refers to a polymer containing a magnetizable constituent. Polyacrylamide, polyacrolein and cellulose polymers which have incorporated iron oxide particles are examples of magnetizable polymers. The term "magnetizable polyacrylamide gel" refers to a polyacrylamide gel that has incorporated a magnetizable constituent such as iron oxide. A variety of magnetizable particle binders, their use and methods of their preparation are described in Pourfarzaneh, M., et al. (1982) Methods of Biochemical Analysis 28:267-295. Magnetizable particle binders can use any of the binding principles used for other solid phase binders. For example, magnetizable particle binders can have adsorbent particles attached to or incorporated into a magnetizable particle. These particles can bind biologically labeled radioactive molecules by the process of adsorption. Magnetizable particle binders can also be solid phase immunochemical binder. The term "magnetizable particle immunochemical binder" refers to a solid phase immunochemical binder wherein the solid phase is a magnetizable particle. The term "magnetizable particle binder:radioactively labeled biological molecule complex" as used herein, refers to the complex formed when a magnetizable particle binder binds to a radiolabeled biological molecule. The type of binding in the complex varies depending on the binder that is used in magnetizable particle binder. For example, magnetizable particle immunochemical binders use antigen-antibody binding in the formation the magnetizable particle binder:radioactively labeled biological molecule complex. The magnetizable particle binder:radioactively labeled biological molecule complex is removed from the liquid radioactive waste solution by application of a magnetic field. This method can be applied to liquid radioactive waste solutions containing more than one radioactively labeled biological molecule. For example, a number of different magnetizable particle binders capable of binding different radioactively labeled biological molecules can be added to a liquid radioactive waste solution which contains more than one radioactively labeled biological molecule. The resultant magnetizable particle binder:radioactively labeled biological molecule complexes can then be removed by applying a magnet to the liquid radioactive waste solution. The various solid phase binders as described herein can be prepared by methods known to those of skill in the art. For example, magnetizable polymers can be prepared as described in Pourfarzaneh, M. (1980) "Synthesis of Magnetizable Solid Phase Supports for Antibodies and Antigens and their Application to Isotopic and Non-isotopic Immunoassay" Medical College of St. Bartholomew's Hospital, University of London, London, U.K. and in Pourfarzaneh, M. et al. (1982) supra. For example, iron oxide can be incorporated into a polyacrylamide or polyacrolein gel during the polymerization reaction as described in Pourfarzaneh, M. (1980) supra. Magnetizable cellulose can be also be prepared from cellulose and iron oxide as described in Pourfarzaneh, M. (1980) supra. A variety of other magnetizable polymers can also be prepared by similar methods or by other methods known to those of skill in the art. Methods of preparing solid phase immunochemical binders are also well known to those of skill in the art. For example, antibodies can be attached to various solid phases by methods used for constructing immunoassay solid supports. See Enzyme Immunoassay, E. T. Maggio, ed., CRC Press, Boca Raton, Florida (1980); "Practice and Theory of Enzyme Immunoassays," P. Tijssen, Laboratory Techniques in Biochemistry and Molecular Biology, Elsevier Science Publishers B. V. Amsterdam (1985); and, Harlow and Lane, Antibodies: A Laboratory Manual, Cold Spring Harbor Pubs., N.Y. (1988), each of which is incorporated herein by reference. Magnetizable particle binders including magnetizable particle adsorbents and magnetizable particle immunochemical binders can be prepared as described in Pourfarzaneh, M. et al. (1980) supra and Pourfarzaneh, M., et al. (1982) supra. Antibodies and other proteins and peptides of interest can be coupled to a variety of magnetizable polymer solid supports using methods known in the art. For example, antibodies and other proteins can be coupled to CNBr-activated magnetizable cellulose and to glutaraldehyde activated magnetizable polyacrylamide using standard procedures (see Pourfarzaneh, M. et al. (1980) supra). In addition, polymers such as polyacrolein have highly reactive aldehyde groups on their surface which can be coupled to primary amino groups of proteins (see Pourfarzaneh, M. et al. (1980) supra). A number of other polymer and protein chemistry reactions known to those of skill in the art can also be used to couple antibodies and other proteins to the magnetizable polymers of the invention. In addition to the magnetizable particle immunochemical binders, other magnetizable particle binders are also prepared by methods known to those of skill in the art. For example, magnetizable particle adsorbents such as such as charcoal particles entrapped in a magnetizable polymer matrix can be prepared as described in Pourfarzaneh, M. et al. (1980) supra and Pourfarzaneh, M., et al. (1982) supra. There are also a variety of other solid phase binders which are described herein. These solid phase binders can all be produced by methods well known to those of skill in the art. Preparation of the columns and cartridges containing solid phase binders is done using standard chemistry and biochemistry techniques. While the methods described herein are directed toward the removal of radiolabeled biological molecules from radioactive waste solutions, it is also contemplated that these methods can also be applied to many other decontamination problems such as extraction of chemical, bacterial, or viral components from various liquids. For example, chemical manufacturing plants often generate aqueous liquids containing toxic compounds that must be removed before the aqueous liquid can be further processed or released into the environment. Some of these compounds can removed by using solid phase adsorbents such a charcoal adsorbents, for example, in a column format. Other such compounds can be removed by other solid phase binders described herein such as solid phase immunochemical binders. EXAMPLES EXAMPLE 1 A celite-charcoal column was prepared by placing a layer of glass wool in the bottom of a 50 ml plastic syringe, covering this with a glass fiber disc and then a sludge comprising 4 grams of charcoal (MFC, 300 mesh, Hopkins and Williams Ltd., Chadwell Health, U.K.) and 1 gram of celite (Sigma Chemical Co., St. Louis, Mo., USA) suspended in distilled water. A trace amount of .sup.125 I-Thyroxin (.about.10,060 CPM) (prepared as described in Pourfarzaneh, M. (1980) supra) was added to 100 ml of distilled water and was gently layered on the surface and allowed to pass through the charcoal column. The efficiency of extraction, usually greater than 98%, was checked by measuring the radioactivity in the eluate. EXAMPLE 2 Using a pipette, 100 .mu.l of .sup.57 Co-B.sub.12 (Vitamin B.sub.12) and .sup.125 I-Folate (Bio-Rad Corp., Hercules, Calif., USA) was added to a 120.times.8 mm polypropylene test tube followed by 1000 .mu.l of distilled water. Magnetizable Polyacrylamide Charcoal Particles (Cortex Biochem Inc., San Leandro, Calif., USA), 5 mg (100 .mu.l) was added to the above radioactive mixture and then vortex-mixed briefly. Polyacrylamide magnetizable particles containing charcoal are prepared as described Pourfarzaneh, M. et al. supra. The mixture was then allowed to incubate for 10 minutes while the particles gravity settled. The tube was placed on a magnet and the liquid (1050 .mu.l) pipetted into a separate tube. The radioactivity of the liquid and tubes containing magnetizable charcoal were then measured in a radioactive counter. Table B summarizes the results obtained. TABLE B ______________________________________ Radioactivity prior to Radioactivity Radioactivity addition of absorbed by remaining in Radioactive magnetizable magnetizable supernatant, material charcoal, (CPM)* charcoal, (CPM) (CPM) ______________________________________ .sup.57 Co-BI2 4227.8 4429.0# 37.3.box-solid. .sup.125 I-Folate 2548.2 2786.0# 85.0.box-solid. ______________________________________ *CPM = Count per minute # = This amount of radioactivity appears to be higher than the original sample. This is due to the radioactivity being concentrated by the magnetizable particles into a smaller volume when the particles were gravity settled. .box-solid. = These values are equivalent to background radioactivity. As shown in Table B, various radioactive materials can be adsorbed and removed or concentrated from solutions by this technique. The concentration factor can be from several to many thousandfold. EXAMPLE 3 Into a polypropylene test tube, 100 .mu.l of .sup.125 I-Thyroxin (.sup.125 I-T4) (Incstar Corp. Minneapolis, Minn., USA) was added with 100 .mu.l of T4 mouse monoclonal antibody. After a brief incubation, 100 .mu.l (5 mg) of magnetizable cellulose chemically coupled to sheep anti-mouse antibody (Cortex Biochem Inc., San Leandro, Calif., USA) was added. The magnetizable cellulose chemically coupled to sheep anti-mouse antibody was prepared as described in Pourfarzaneh, M., et al. supra. The mixture was incubated further for 15 minutes at room temperature, after which, the radioactivity was measured. This was followed by addition of 1 ml of water to the mixture. The magnetizable particles were sedimented on a magnet and the supernate was transferred to another test tube. The radioactivity was measured in a radioactivity counter. Table C below summarizes the data obtained: TABLE C ______________________________________ Total Radio- Radioactivity Radioactivity Radioactive activity in absorbed by remaining in material the mixture magnetizable supernatant (complex) (CPM) particles (CPM) (CPM) ______________________________________ .sup.125 I-T4-MAb* 4412.1 3961.8 167.0 ______________________________________ * = Monoclonal antithyroxin As shown in the above examples, the radioisotope-labeled materials can be adsorbed and concentrated by using simple physical adsorption, or by physicochemical reactions, or by immunochemical complex formations. It is understood that the examples and embodiments described herein are for illustrative purposes only and that various modifications or changes in light thereof will be suggested to persons skilled in the art and are to be included within the spirit and preview of this application and scope of the appended claims. All publications, patents, and patent applications cited herein are hereby incorporated by reference.
048872822
claims
1. In a method for changing the imaging scale in x-ray lithography, said method including exposing an object by directing a beam of collimated x-radiation through a mask to transfer an image of a mask structure by parallel projection onto the object which is arranged in a direction of the beam to follow the mask, the improvement comprising changing the imaging scale of the image by deforming the object at least in the region to be exposed into a curved surface and maintaining the radius of curvature for the individual surface points of the area being deformed constant during the irradiation of the object. 2. In a method according to claim 1, wherein the step of deforming deforms the surface of the object with the radius of curvature being constant, at least in the region to be exposed. 3. In a method according to claim 1, wherein the step of deforming comprises providing a holder having a curved surface and pressing the object against said curved surface. 4. In a method according to claim 1, wherein the step of deforming the object comprises holding the edges of the object and applying a force to a back surface of the object facing away from the mask. 5. In a method according to claim 1, wherein the step of bending the object includes providing an object having a thickness steadily increasing from the edges towards the center, holding the object at the edges, and applying a pressure to a back surface of the object facing away from the mask. 6. In a method according to claim 1, wherein the step of deforming the object deforms the object so that the surface facing the mask has a spherical curvature. 7. In a method according to claim 1, wherein the step of deforming the object deforms the object so that the surface facing the mask has a cylindrically curved surface. 8. In a method according to claim 1, wherein the step of directing a beam of x-radiation provides synchroton radiation. 9. In a method according to claim 1, wherein the step of exposing the object exposes the object in a step and repeat process, which includes displacing the object relative to the mask with a three-dimensional displacement at the completion of each step. 10. In a method according to claim 9, wherein the step of repositioning positions each region of the object with the center of the region being on a straight line extending through the mask and having the smallest distance from the mask. 11. In a method for changing the imaging scale in x-ray lithography, said method including directing a beam of collimated x-radiation through a mask onto a surface of an object, the improvement comprising deforming the object at least in the region to be exposed to deform the surface of the object into a cylindrically curved surface having a symmetry cylindrical axis lying in a plane extending perpendicular to a propagation direction of the beam of x-radiation and maintaining the radius of curvature for the individual surface points of the area being deformed constant during the irradiation of the object, shaping the x-ray beam to have a rectangular cross section with a dimension in the direction of the cylindrical axis being smaller than the direction perpendicular to the cylindrical axis, sweeping the x-ray beam across the mask in a direction of the cylindrical axis with a first speed, and displacing the mask relative to the object in the direction of the cylindrical axis with a second speed. 12. In a method according to claim 11, wherein for the correction of orthoganal errors, the mask is displaced with a third speed relative to the object in a direction perpendicular to the cylindrical axis and perpendicular to the propagation further includes displacing the mask relative to the object direction of the x-ray beam. 13. In a method according to claim 11, wherein the step of sweeping the x-radiation across the mask includes providing a rotating mirror and directing the beam from the source onto the mirror, which sweeps it across the mask. 14. In a method according to claim 11, wherein the relative movement is by moving the mask and object through the x-ray beam in common. 15. In an apparatus for changing the imaging scale in an x-ray lithography, said apparatus including a radiation source for generating collimated x-radiation, means for shaping the x-radiation into a beam of x-radiation having a rectangular cross-section, means for supporting a mask in the beam path of the radiation to impose an image in the beam passing through the mask, means for mounting an object adjacent the mask to receive the image on a surface of the object, the improvements comprising means for changing the imaging scale of the image received on said surface comprising the means for mounting including means for holding the object and having means for deforming the object to create a curved surface for the surface of the object facing the mask. 16. In an apparatus according to claim 15, wherein the means for holding includes holding the edges of the object and means for applying force to a back surface of the object to cause the surface facing the mask to be bent into a curved surface. 17. In an apparatus according to claim 15, wherein the means for holding includes a curved surface facing a back surface of the object, said curved surface having at least one groove, said groove being connected by a line to a vacuum pump so that the object is held onto the curved surface by the vacuum applied in said groove. 18. In an apparatus according to claim 17, wherein the surface of the holder has a cylindrically curved surface. 19. In an apparatus according to claim 17, wherein the curved surface of the holder is a spherically curved surface. 20. In an apparatus according to claim 19, wherein the at least one groove is an annular groove. 21. In an apparatus for changing the imaging scale in an x-ray lithography, said apparatus including a radiation source for generating a collimated x-radiation, means for mounting a mask in the beam path of the radiation, means for holding an object adjacent the mask and in alignment with an x-ray beam passing through the mask, the improvement comprising the means for holding including a container having an elastic wall, said object being held on said container, said container having means for changing the pressure in the container to deform the object held on said elastic wall. 22. In a method for changing the imaging scale in x-ray lithography, said method including directing a collimated x-radiation through a mask onto an object, the improvement comprising deforming the object at least in the region to be exposed and maintaining the radius of curvature for the individual surface points of the area being deformed constant during the irradiation of the object, said step of deforming the object comprising holding the edges of the object and applying a force at a single point to a back surface of the object facing away from the mask. 23. In a method for changing the imaging scale in x-ray lithography, said method including directing a collimated x-radiation through a mask onto an object, the improvement comprising deforming the object at least in the region to be exposed and maintaining the radius of curvature for the individual surface points of the area being deformed constant during the irradiation of the object, said step of deforming the object comprising holding the edges of the object and applying a force along a line to a back surface of the object facing away from the mask to obtain a cylindrical curving of the object. 24. In a method for changing the imaging scale in x-ray lithography, said method including directing a collimated x-radiation through a mask onto an object, the improvement comprising deforming the object at least in the region to be exposed and maintaining the radius or curvature for the individual surface points of the area being deformed constant during the irradiation of the object, said step of deforming the object including providing a container having an elastic wall, holding the object on said elastic wall and increasing the pressure in the container to bend the object by the elastic wall.
052162559
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention This invention relates to the field of linear accelerators, and in particular to computer controlled radiation therapy systems. 2. Description of the Prior Art Radiation therapy has been used extensively as a method for treating cancer patients, either alone, or in combination with surgery and chemotherapy. In typical radiation therapy systems, such as the Mevatron systems available from Siemens Medical Systems, Inc. (Iselin, N.J.), a radiation source is housed in a structure called a gantry. The apparatus includes a conventional microwave power source such as a klystron, and an accelerator structure, which may be a travelling wave or standing wave device. The accelerator produces an electron beam, which is steered through a collimating head mounted on the gantry and directed at the region to be treated. For more superficial tumors, the electron beam itself is used for treatment, because it has less impact on deeper tissue. For deeper tumors, however, high energy X rays are preferred for their penetrating power. To generate the X rays, the same electron accelerator may be used with the addition of a target made of heavy metal (e.g., gold or tantalum) placed in the electron beam path. The target emits a continuous X ray Bremsstrahlung spectrum when struck by the electron beam. The gantry can rotate about a gantry axis which extends from the head to the foot of a treatment couch on which the patient lies, so that the radiation can enter the patient from different angles. The radiation beam coming from the accelerator is always directed through, and centered on, the gantry axis. In applying radiation to the patient, two competing objectives are present: eliminating the malignant cells in the target region, and avoiding complications due to application of radiation to surrounding tissues. To avoid these complications, lower doses have often been applied to the targeted tumor cells than would be applied if complications were not considered, lowering the probability of successful cancer elimination. To protect surrounding tissues without compromising the treatment, it is desirable to tailor the radiation dosage to match the size, shape and location of the malignant region. Several methods have been used in radiation therapy systems to improve control of the dosage distribution. One such method is to shape the beam profile. The "raw" beam which leaves the target has a non-uniform intensity. It is known to balance or compensate the dosage in any given space-angle rang of the radiation leaving the target by placing a compensating absorber in the beam path. U.S. Pat. No. 4,109,154 to Taumann discusses an electron accelerator in which a compensating absorber is used to shape the beam profile. The absorber absorbs overly intense radiation in the center of the beam cone. A paper by Mantel, et al. entitled "Automatic Variation of Field Size and Dose Rate in Rotation Therapy," 2 J. Radiat. Oncol. Biol. Phys. 697 (1977) discusses a technique for changing the field size and dose rate used during rotation therapy. The gantry (and the enclosed beam forming head) rotates around the patient, so that the beam is applied from several angles. The field size and dose rate are varied as functions of the gantry angle. In this technique, the field size is adjusted in one dimension by moving a set of collimator aperture plates, or jaws, which define the beam aperture (and control the beam width), and simultaneously varying the dose rate during rotation in accordance with values selected by a computer program. The result is a more uniform dose distribution inside the target volume, and reduced dose outside that volume. U.S. Pat. No. 4,140,129 to Heinz et al discloses a beam defining system for an electron accelerator, having an adjustable collimator and an accessory holder, to which an electron applicator is attached. The electron applicator has an wall which encloses the electron beam cone from the collimator, and an additional frame-shaped limiting aperture in order to limit the electron beam cone at the edges which face away from the beam defining system. The scattered or secondary electrons in the marginal region of the beam cone are substantially blocked by the limiting aperture. The electrons which are thus blocked have lower energy levels and, so, do not contribute to higher dosage performance deep within the patient. Thus, this device reduces undesirable irradiation of the skin surrounding the target. U.S. Pat. Nos. 4,343,997 and 4,359,642 to Heinz, which are hereby incorporated by reference for their teachings on radiation treatment devices, describe a collimator assembly which may be used to limit or define X-ray cones of various sizes in an electron beam accelerator. A flattening filter is used with the technique to flatten the X-ray density profile. Flat dosage is achieved through the use of a collimator shielding block and one of a plurality of insert pieces or bushings which are interchangeable with one another to produce different cone angles for irradiating differently sized areas. Another method of controlling the dosage profile is to vary the size of the beam aperture. A paper by Kijewski, et al. entitled "Wedge shaped Dose Distribution by Computer Controlled Collimator Motion" 5 Med. Phys. 426 (1978) discusses the use of a defined plate (jaw) motion to obtain a wedge-shaped isodose curve (the set of points which receive the same dose of radiation) during irradiation. FIG. 2a shows isodose profiles 30a-c achieved by this technique. The treatment begins with two collimator plates 32a, 32b separated from one another. After a predetermined time interval, plate 32a is moved towards plate 32b, which remains stationary. The movement continues until the plates meet. This causes the width of the beam 34 to become narrower as the treatment continues. The isodose curves 30a-c are deeper in the region near the stationary plate, which is exposed to radiation the longest. Such wedge shaped isodose curves may be desired in radiation therapy to adjust to anatomical conditions of the subject. A similar result may be achieved by beginning with closed plates and opening the plates. FIG. 2b shows an isodose curve in which the plates 32c, 32d begin in the closed position. U.S. Pat. No. 5,019,713 to Schmidt discusses a radiation therapy device in which a movable aperture assembly and a non-movable filter body are combined to allow the isodose curve in the object of irradiation to rise or fall in the opening direction. At the beginning of the treatment, the plates are closed, and one plate begins to move away from the other (stationary) plate. The absorptance of the filter varies across its length. The cumulative radiation dose received at any point varies as a function of both the filter characteristics and the distance from the stationary plate, making possible non-monotonic isodose curves which vary in one dimension. For example, if the portion of the filter closest to the stationary plate has a higher absorptance, the isodose curve will have an inverted U-shape. A paper by Levene, et al. entitled, "Computer Controlled Radiation Therapy" 129 Radiol. 769 (1978) discusses variation of dose rate, gantry angle and collimator plate position to achieve the known "arc wedge" technique. A paper by Chin et al. entitled, "Dose Optimization with Computer Controlled Gantry Rotation, Collimator Motion and Dose Rate Variation" 9 J. Radiat. Oncol. Biol. Phys. 723 (1983) discusses a method by which continuous irradiation is simulated by summation of a large number of discrete stationary beams. Dose rate, gantry angle and collimator plate positions are varied among the beams. These methods achieve isodose contours which might not be attainable using a single stationary beam. It is noted that the Levene et al. and Chin et al. papers relate to a conformal radiation treatment which conforms the field gradient and dose rate to a target volume using gantry rotation. Although some of these devices allow a number of different isodose contours to be generated, the apparatus and methods used may be relatively cumbersome and time consuming. SUMMARY OF THE INVENTION In accordance with the present invention, an exemplary system and method are provided for controlling the isodose profile in a radiation treatment apparatus. The system includes an electron accelerator which generates a photon beam. The accelerator has a collimator assembly operating under computer control. The collimator assembly includes a plurality of movable plates mounted to a rotatable collimating head. The plates are oriented in a direction perpendicular to the longitudinal axis of the beam, so that the width of the beam is defined by the relative positions of the plates. The plates are actuated during the radiation treatment, under computer control, to vary the beam width defined by the opening formed between the plates. The treatment is divided into two parts. During each part, two movable plates are first moved together from an open position, and then opened from a closed position or vice versa. The direction of motion of the plates during the second part of the treatment is perpendicular to the direction of plate motion during the first part. According to one aspect of the invention, the apparatus has a single pair of plates which move during the radiation treatment and a rotating collimating head. The collimating head is rotated by ninety degrees between the first and second parts of the treatment. According to another aspect of the invention, the apparatus has two sets of movable plates, which are movable in orthogonal directions to perform the first and second parts of the treatment. According to another aspect of the invention, the apparatus includes a radiation beam generator which may be controlled by the computer to generate beams of photons having different intensities. According to yet another aspect of the invention, the computer is programmable to move the plates and to change the intensity of the photon beam during treatment to produce a arbitrary isodose profile.
summary
abstract
A method for transmuting spent fuel from a nuclear reactor includes the step of separating the waste into components including a driver fuel component and a transmutation fuel component. The driver fuel, which includes fissile materials such as Plutonium239, is used to initiate a critical, fission reaction in a reactor. The transmutation fuel, which includes non-fissile transuranic isotopes, is transmuted by thermal neutrons generated during fission of the driver fuel. The system is designed to promote fission of the driver fuel and reduce neutron capture by the driver fuel. Reacted driver fuel is separated into transuranics and fission products using a dry cleanup process and the resulting transuranics are mixed with transmutation fuel and re-introduced into the reactor. Transmutation fuel from the reactor is introduced into a second reactor for further transmutation by neutrons generated using a proton beam and spallation target.
abstract
A method for preparing an oxalate of one or more actinides for processing and recycling nuclear fuel, comprising: the precipitation of said actinide or the coprecipitation of said actinides in the form of oxalate particles by bringing into contact an aqueous solution containing the actinide(s) with an aqueous solution of oxalic acid or of an oxalic acid salt; and the collection of the resulting oxalate particles; characterized in that the precipitation or coprecipitation is carried out in fluidized bed.
description
At least one feature pertains to a support frame for a radiation shield garment and methods of use thereof. It is well-known to provide protective garments to personnel working in or near a radioactive environment, to health care workers operating x-ray equipment or working in radiology laboratories. Generally, such radiation shield garments are extremely heavy because they include one or more layers of lead sheet material to provide the desired protection. Extended use of such garments may become burdensome and tiring to the wearer with extended use. This is particularly true when the weight of the garment is borne primarily and/or unremittingly by the wearer's shoulders and neck. For example, persons exposed to x-rays typically wear aprons and/or other apparel made from material having a large lead and/or other heavy metal content, designed to absorb harmful radiation to which the user might otherwise be exposed. Such aprons may weigh in the range of about 8 to about 25 pounds. Since users (or wearers), such as x-ray technicians, radiologists, etc. frequently have to wear them for extended periods of time, they often become tiring and even painful, particularly when the sole or primary support is substantially or constantly one portion of the wearer's body. The shoulders, because of their physiological structure and their relatively high position on the body as a whole, are particularly susceptible to these effects. Consequently, a support frame to be worn by the wearer which alleviates the problems inherent in extended use of protective radiation shield garments as described previously is needed. A support frame for a radiation shield garment, comprising: (a) an elongated upper vertical back member, having an upper top end and an upper bottom end; (b) a lower vertical back member, having a lower top end and a lower bottom end, the lower top end of the lower vertical back member slideably attached to the upper bottom end of the elongated upper vertical back member; (c) a lower back support panel coupled to the lower bottom end of the lower vertical back member; and (d) a pair of shoulder members attached to the upper top end of the elongated vertical back member is herein disclosed. Each shoulder member may comprise: (i) base; and (ii) a shoulder bracket projecting upwardly in a curved manner from the base for extending over shoulders of a wearer. The base may include one or more slots providing angular adjustment of the pair of shoulder members and wherein one or more fasteners extend through the one or more slots slideably attaching the each shoulder member to the upper top end of the elongated upper vertical back member. The support frame may further comprise one or more inwardly facing upper padded members coupled to the base of the each of the pair of shoulder members and positioned to rest upon a shoulder area of the wearer. The upper bottom end of the elongated upper vertical back member may include one or more longitudinal slots; and wherein one or more fastening means extend through the elongated slots providing vertical height adjustment of the elongated upper vertical back member. The lower back support member may be positioned to rest upon a lower back area of a wearer. The support frame may further comprise a strap integrally connected to the lower back support member. The strap may comprise a first end and a second end, the second end comprising an attaching means integral thereto. The support frame may further comprise an inwardly facing center padded member attached to the upper bottom end of the lower vertical back member and positioned to rest upon a lower back area of a wearer. The upper top end of the elongated upper vertical back member may have a V-shaped configuration and the lower bottom end of the lower vertical back member has an upside down T-shaped configuration. The elongated upper vertical back member, the lower vertical back member, and the pair of shoulder members attached to the upper top end of the elongated vertical back member may be comprised of a material selected from the group consisting of aluminum and polypropylene. A support frame for a radiation shield garment, comprising: (a) an elongated upper vertical back member, having an upper top end and an upper bottom end, the upper top end branching into a left portion and a right portion; (b) a lower vertical back member, having a lower top end and a lower bottom end, the lower top end of the lower vertical back member slideably attached to the upper bottom end of the elongated upper vertical back member; (c) a lower back support member attached to the lower bottom end of the lower vertical back member; and (d) a pair of shoulder members attached to the upper top end of the elongated vertical back member, each of the pair of should members comprising: (i) a base; and (ii) a rigid attachment strap projecting upwardly in a curved manner from the base for extending over shoulders of a wearer is herein disclosed. The base may include one or more slots providing angular adjustment of the pair of shoulder members and wherein one or more fasteners extend through the one or more slots slideably attaching the each shoulder member to the upper top end of the elongated upper vertical back member. The upper bottom end of the elongated vertical back member may include one or more longitudinal slots; and wherein one or more fastening means extend through the elongated slots providing vertical height adjustment of the elongated upper vertical back member. The support frame may further comprise a strap integrally connected to the lower back lower padded member. The strap may comprise a first end and a second end, the second end comprising an attaching means integral thereto. The support frame may further comprise an inwardly facing center padded member attached the upper bottom end of the lower vertical back member and positioned to rest upon a lower back area of the wearer. The upper top end of the elongated upper vertical back member may have a V-shaped configuration and the lower bottom end of the lower vertical back member has an upside down T-shaped configuration. The elongated upper vertical back member, the lower vertical back member, and the pair of shoulder members may be attached to the upper top end of the elongated vertical back member are comprised of aluminum. A support frame for a radiation shield garment, comprising: (a) an elongated upper vertical back member, having an upper top end and an upper bottom end; (b) a lower vertical back member, having a lower top end and a lower bottom end, the lower top end of the lower vertical back member slideably coupled to the upper bottom end of the elongated upper vertical back member; (c) a lower back support member attached to the lower bottom end of the lower vertical back member; (d) an inwardly facing center padded member attached to the upper bottom end of the lower vertical back member and positioned to rest upon the lower back of the wearer; (e) a pair of shoulder members attached to the upper top end of the elongated vertical back member, each of the pair of should members comprising: (i) a base; (ii) a shoulder bracket projecting upwardly in a curved manner from the base for extending over shoulders of a wearer; and (iii) an inwardly facing upper padded member coupled to the base the each of the pair of shoulder members and positioned to rest upon a shoulder area of the wearer is herein disclosed. The following detailed description is of the best currently contemplated modes of carrying out the invention. The description is not to be taken in a limiting sense, but is made merely for the purpose of illustrating the general principles of the invention. Embodiments of the invention are directed to a support frame for alleviating the weight and stress inflicted upon the shoulders and neck of individual caused by wearing a radiation shield garment and methods of use thereof. In one embodiment, the support frame comprises an elongated upper vertical back members slidably coupled to a lower vertical back member to provide vertical height adjustment; a lower back support panel coupled to the lower vertical back member to provide lower back support to the wearer; and a pair of shoulder members attached to the upper top end of the elongated vertical back member to support shoulder regions of the radiation shield garment. FIG. 1 illustrates a front view of a support frame for a radiation shield garment, according to an embodiment of the invention. FIG. 2 illustrates a back view of the support frame for a radiation shield garment of FIG. 1. FIG. 3 illustrates a side perspective view of the support frame for a radiation shield garment of FIG. 1. The following discussion refers interchangeably to FIGS. 1-3. As shown, the support frame 100 includes an elongated upper vertical back member 102, having an upper top end 102a and an upper bottom end 102b, and a lower vertical back member 104, having a lower top end 104a (see FIG. 2) and a lower bottom end 104b (see FIG. 2). Upper bottom end 102b of elongated upper vertical back member 102 may be slideably attached to lower top end 104a of lower vertical back member 104 by an adjustment means 106 (see FIG. 2) and configured for placement along the back of an individual or wearer. Generally, elongated upper vertical back member 102 and lower vertical back member 104 may be formed of a substantially rigid material such as plastic, aluminum or an equivalent material. In one embodiment, the material is 5052T6 aluminum. Adjustment means 106 may include one or more longitudinal slots 108 provided through upper bottom end 102b of elongated upper vertical back member 102 and one or more fastening members 110 extending through slots 108 providing vertical height adjustment of back panel 102 to fit different body heights. Fastening members 110 may include any type of device for connecting metal, plastic and other materials in common with support frame construction, including screws, bolts, nuts, washers, rivets, cotter pins, clevis pins, studs, threaded rods and other mechanical connectors. In an alternative embodiment, elongated upper vertical back member 102 and lower vertical back member 104 are telescoping members. According to this embodiment, the panels 102, 104 include a plurality of correlating openings on each of panels 102, 104 and one or more push pin mechanisms which lock the panels 102, 104 together at the points along the correlating openings. In one embodiment, upper top end 102a of elongated upper vertical back member 102 may have a V-shaped configuration which approximately correlates to shoulder blades of an individual; however, other suitable shapes are within the scope of the invention. In one embodiment, lower bottom end 104b of lower vertical back member 104 may have a generally upside down T-shaped configuration which approximately correlates to the pelvic region of an individual; however, other suitable shapes are within the scope of the invention. The configuration of these components allow for maximum weight distribution associated with the support frame 100 to maximize the comfort of the wearer. Continuing to refer to FIGS. 1-2, a pair of shoulder members 112, 114 may be connected to upper top end 102a of elongated upper vertical back member 102 at upper attachment points 116, 118, respectively. Each shoulder member 112, 114 may have a base 120, 122 (see FIG. 2) and extend into a shoulder bracket 124, 126 which project upwardly in a curved manner from bases 120, 122. Generally, shoulder brackets 124, 126 are designed to extend over the shoulders of the wearer. Similar to panels 102, 104, each shoulder member may be formed of a substantially rigid material such as plastic, aluminum or an equivalent material. Bases 120, 122 may have a generally circular configuration; however, other suitable shapes are within the scope of the invention. Bases 120, 122 approximately correlate to shoulder blades of the wearer. In one embodiment, each base 120, 122 includes one or more circular or semi-circular slots 128, 130 and one or more fastening means 132, 134 extending through slots 128 providing angular adjustment of rigid attachment straps 124, 126 to fit different sized shoulders of wearers. Fastening means 132, 134 may include any type of device for connecting metal, plastic and other materials in common support frame construction, including screws, bolts, nuts, washers, rivets, cotter pins, clevis pins, studs, threaded rods and other mechanical connectors. In one embodiment, a lower back support panel 136 may be fixedly coupled to upper bottom end 104a of lower vertical back member 104 and positioned to rest upon the lower back region of the wearer to improve wearer comfort. Lower back lower support member 136 may be secured to T-shaped portion of lower vertical back member 104 by a fastening mechanism including, but are not limited to, screws, bolts, nuts, washers, rivets, cotter pins, clevis pins, studs, threaded rods and other mechanical connectors. Similar to panels 102, 104, each shoulder member may be formed of a substantially rigid material such as plastic, aluminum or an equivalent material, however, the inner surface therefore may have a resilient foam material attached thereto to provide comfort to the wearer. In one embodiment, the material is polypropylene. Lower back lower support member 136 may include a strap 138 for extending generally about the waist or hips of the wearer for securing support frame 100 to the wearer. Strap 138 may include a first end 138a and a second end 138b and be integrally formed with lower back lower padded member 136 or may be detachably secured to lower back lower padded member 136. Second end 138b may include a connector or attaching member 140 integral thereto. First end 138a of strap 138 may be adapted to pass through attaching member 140 providing adjustment to fit different body sizes. First end 138a and second end 138b may also be attached by any other suitable means, such as such as hook and loop fastener sold under the name Velcro™. A material comprising the strap 138 may be, e.g., polypropylene webbing while a material comprising the connector 140 may be, e.g., acetal. In one embodiment, lower back lower support member 136 may have a generally rectangular configuration having a straight top edge, a generally S-shaped bottom edge in between two sloping side edges; however, other suitable shapes are within the scope of the invention. In any embodiment, support member 136 correlates in configuration to the lower back region of an individual. That is, support member 136 is approximately concave in configuration (see FIG. 3). A resilient foam material may adhere to the inner surface of support member 136 to provide additional support and comfort to the wearer. According to embodiments of the invention, an inwardly facing center padded member 141 formed of a resilient foam material, foam/cloth combination, or any other suitable material, may be fixedly coupled to lower top end 104a of lower vertical back member 104 and positioned to rest upon the lower back area or region of the wearer to improve wearer comfort. Inwardly facing center padded member 141 may be secured to lower top end 104a by a fastening mechanism including, but not limited to, screws, bolts, nuts, washers, rivets, cotter pins, clevis pins, studs, threaded rods and other mechanical connectors. In one embodiment, the fastening mechanisms are 8/32 well nuts, 8/32 cap head screw and insulator Teflon® washer. In one embodiment, inwardly facing center padded member 141 may have a generally rectangular configuration; however, other suitable shapes are within the scope of the invention. In one embodiment, one or more inwardly facing upper padded members 142, 144 formed of a resilient foam material, foam/cloth combination, or any other suitable material, may be fixedly coupled to bases 120, 122 of shoulder members 112, 114 and positioned to rest upon the shoulder area or region of the wearer to improve wearer comfort. The inwardly facing upper padded members 142, 144 may be secured to bases 120, 122 by a fastening mechanism including, but are not limited to, screws, bolts, nuts, washers, rivets, cotter pins, clevis pins, studs, threaded rods and other mechanical connectors. The inwardly facing upper padded members 142, 144 may have a generally circular configuration; however, other suitable shapes are within the scope of the invention. FIGS. 4-5 illustrate front and back views, respectively, of support frame 100 of FIG. 1 mounted on a wearer. According to embodiments of the invention, the support frame as previously described may be used by any medical or healthcare personnel who must don a radiation shield garment on a routine basis, e.g., radiologists, ultrasound technicians and dental technicians. Instead of the radiation shield garment conventionally resting on the shoulders, the support frame according to embodiments of the invention may be positioned on the wearer with the radiation shield garment being positioned thereon. The support frame effectively re-distributes the weight of the radiation shield garment by securing to the hip region of the wearer which can accommodate a larger payload (relative to the shoulders). Additionally, the shoulder members effectively lift the weight of the radiation shield garment from the shoulders of the wearer and distribute that weight along the support frame. Thus, the wearer is still protected by the radiation shield garment while realizing increased comfort from repeatedly wearing the radiation shield garment. While certain exemplary embodiments have been described and shown in the accompanying drawings, it is to be understood that such embodiments are merely illustrative of and not restrictive on the broad invention, and that this invention is not to be limited to the specific constructions and arrangements shown and described, since various other modifications may occur to those ordinarily skilled in the art.
claims
1. A data processing system comprising:a) at least one Enterprise Resource Planning (ERP) system (102; 302, 302′, . . . ) having:means (124, 126) for storing s set of test method keys,means (108, 118) for generating a test order (174) for testing a production sample (120), the test order carrying a method key selected from the set of method keys,b) a server computer (104) having:means (142, 150) for storing method definitions to be performed for carrying out test methods, each sequence being retrievable using a respective method key,means (132) for retrieving one of the method definitions using the method key of a received test order and for instantiating a retrieved method definition to provide method steps,means (132) for generating a tubular representation (156) of the received test orders showing the method steps,database means (140) for storing intermediate results received from a client computer (158, 160, . . . ) obtained by performance of one or more of the method steps,processing means for performing calculations in accordance with one or more of the method steps using the intermediate results,means (148) for generating a test report (176) for the ERP system,c) the client computer (158, 160, . . . ) having:user interface means for viewing the tabular representation (156) and for selecting one of the test orders,laboratory instrumentation interface means for communicating with a laboratory instrument (166, 168, . . . ), the laboratory instrument being adapted to perform at least one of the method steps on the production sample; andwherein the ERP system further comprises a logistics component (116) for controlling the distribution of a plurality of the production sample to client computer locations. 2. The data processing system of claim 1, wherein the logistics component is adapted to assign an identifier (122) to the production sample, and the client computer has means (164) for reading the production sample identifier. 3. A data processing system comprising:a) at least one Enterprise Resource Planning (ERP) system (102; 302, 302′, . . . ) having:means (124, 126) for storing a set of test method keys,means (108, 118) for generating a test order (174) for testing a production sample (120), the test order carrying a method key selected from the set of method keys,b) a server computer (104) having:means (142, 150) for storing method definitions to be performed for carrying out test methods, each sequence being retrievable using a respective method key,means (132) for retrieving one of the method definitions using the method key of a received test order and for instantiating a retrieved method definition to provide method steps,means (132) for generating a tabular representation (156) of the received test orders showing the method steps,database means (140) for storing intermediate results received from a client computer (158, 160, . . . ) obtained by performance of one or more of the method steps,processing means for performing calculations in accordance with one or more of the method steps using the intermediate results,means (148) for generating a test report (176) for the ERP system,c) the client computer (158, 160, . . . ) having:user interface means for viewing the tabular representation (156) and for selecting one of the teat orders,laboratory instrumentation interface means for communicating with a laboratory instrument (166, 168, . . . ), the laboratory instrument being adapted to perform at least one of the method steps on the production sample; andwherein the server computer further comprises a filter component (134) for filtering the tabular representation. 4. A data processing system comprising:a) at least one Enterprise Resource Planning (ERP) system (102; 302, 302′, . . . ) having:means (124, 126) for storing a set of test method keys,means (108, 118) for generating a test order (174) for testing a production sample (120), the test order carrying a method key selected from the set of method keys,b) a server computer (104) having:means (142, 150) for storing method definitions to be performed for carrying out test methods, each sequence being retrievable using a respective method key,means (132) for retrieving one of the method definitions using the method key of a received test order and for instantiating a retrieved method definition to provide method steps,means (132) for generating a tabular representation (156) of the received test orders showing the method steps,database means (140) for storing intermediate results received from a client computer (158, 160, . . . ) obtained by performance of one or more of the method steps,processing means for performing calculations in accordance with one or more of the method steps using the intermediate results,means (148) for generating a test report (176) for the ERP system,c) the client computer (158, 160, . . . ) having:user interface means for viewing the tabular representation (156) and for selecting one of the test orders,laboratory instrumentation interface means for communicating with a laboratory instrument (166, 168, . . . ), the laboratory instrument being adapted to perform at least one of the method steps on the production sample; andwherein the server computer farther comprises an authentication component (136) for authenticating a user of the client computer. 5. A data processing system comprising:a) at least one Enterprise Resource Planning (ERP) system (102; 302, 302′, . . . ) having:means (124, 126) for storing a set of test method keys,means (108, 118) for generating a test order (174) for testing a production sample (120), the test order carrying a method key selected from the set of method keys,b) a server computer (104) having:means (142, 150) for storing method definitions to be performed for carrying out test methods, each sequence being retrievable using a respective method key,means (132) for retrieving one of the method definitions using the method key of a received test order and for instantiating a retrieved method definition to provide method steps,means (132) for generating a tabular representation (156) of the received test orders showing the method steps,database means (140) for storing intermediate results received from a client computer (158, 160, . . . ) obtained by performance of one or more of the method steps,processing means for performing calculations in accordance with one or more of the method steps using the intermediate results,means (148) for generating a test report (176) for the ERP system,c) the client computer (158, 160, . . . ) having:user interface means for viewing the tabular representation (156) and for selecting one of the test orders,laboratory instrumentation interface means for communicating with a laboratory instrument (166, 168, . . . ), the laboratory instrument being adapted to perform at least one of the method steps on the production sample; andthe server computer further comprises means (144) for generating an audit trail and an approval component (148), wherein the approval component excludes users that are reported in the audit trail from providing an approval to a result obtained from the testing of the production sample. 6. A method for data processing comprising the following steps:generating a test order (174) by an Enterprise Resource Planning (ERP) system (102; 302, 302′, . . . ) for testing a production sample (122), the test order carrying a method key selected from a predefined set (126) of method keys,transmitting the test order to a server computer (104),using the method key of the test order for retrieval of a method definition,instantiating the method definition using the test order to provide method steps,generating a tabular representation (156) of the received test order and the method steps for selection from a client computer (158, 160, . . . ) that is coupled to the server computer in order to perform the method steps using laboratory instruments (166, 168, . . . ) being coupled to the client computer, and further comprisingcontrolling the distribution of a plurality of the production sample to client computer locations using a logistics component (116) of the ERP system. 7. The method of claim 6, further comprising filtering the tabular representation by means of a filter parameter. 8. A method for data processing comprising the following steps:generating a test order (174) by an Enterprise Resource Planning (ERP) system (102; 302, 302′, . . . ) for testing a production sample (122), the test order carrying a method key selected from a predefined set (126) of method keys,transmitting the test order to a server computer (104),using the method key of the test order for retrieval of a method definition,instantiating the method definition using the test order to provide method steps,generating a tabular representation (156) of the received test order and the method steps for selection from a client computer (158, 160 , . . . ) that is coupled to the server computer in order to perform the method steps using laboratory instruments (166, 168, . . . ) being coupled to the client computer, and further comprisingfiltering the tabular representation by means of a filter parameter, which provides showing to a user only a test order associated with the user. 9. A system for processing data for managing test orders for testing of product comprising:means for generating a test order (174) by an Enterprise Resource Planning (ERP) system (102; 302, 302′, . . . ) for testing a production sample (122), the test order carrying a method key selected from a predefined set (126) of method keys,means for transmitting the test order to a server computer (104),means for using the method key of the test order for retrieval of a method definition,means for instantiating the method definition using the test order,means for generating a tabular representation (156) of the received test order and the instantiated method definition for selection from a client computer (158, 160, . . . ) that is coupled to the server computer in order to perform the method steps using laboratory instruments (166, 168, . . . ) being coupled to the client computer, and further comprisingmeans for controlling the distribution of a plurality of the production sample to client computer locations using a logistics component (116) of the ERP system. 10. A system for processing data for managing test orders for testing of product comprising:means for generating a teat order (174) by an Enterprise Resource Planning (ERP) system (102; 302, 302′, . . . ) for testing the production sample (122) the test order carrying a method key selected from a predefined set (126) of method keys,means for transmitting the test order to a server computer (104),means for using the method key of the test order for retrieval of a method definition,means for instantiating the method definition using the teal order,means for generating a tabular representation (136) of the received test order and the instantiated method definition for selection from a client computer (158, 160, . . . ) that is coupled to the server computer in order to perform the method steps using laboratory instruments (166, 168, . . . ) being coupled to the client computer, and further comprising filtering the tabular representation by means of a filter parameter for showing a subset of the test order to a user which is relevant to the user and/or the client computer used for carrying out the test order. 11. A method for data processing comprising the following steps:generating a test order (174) by an Enterprise Resource Planning (ERP) system (102; 302, 302′, . . . ) for testing a production sample (122), the test order carrying a method key selected from a predefined set (126) of method keys,transmitting the test order to a server computer (104),using the method key of the test order for retrieval of a method definition,instantiating the method definition using the test order to provide method steps,generating a tabular representation (156) of the received test order and the method steps for selection from a client computer (158, 160, . . . ) that is coupled to the server computer in order to perform the method steps using laboratory instruments (166, 168′, . . . ), being coupled to the client computer, and further comprisingfiltering the tabular representation by means of a filter parameter, which provides a subset of the test order to a user that are relevant to the user and/or the client computer used for carrying out part of the test order.
056407017
claims
1. A method for treating material contaminated with soluble radioactive species comprising the steps of: a. providing contaminated soil; b. introducing into said soil an aqueous extracting solution, said extracting solution having a pH greater than or equal to about 7.5; c. segregating fine vegetation from said soil; d. introducing into said soil an acid in an amount sufficient to lower the pH of the extracting solution; e. separating said soil from said extracting solution; and f. removing said radioactive species from said extracting solution. i. floating and/or fluidizing said fine vegetation from said soil; and ii. gathering said vegetation. i. treating said soil with a flocculent and/or a coagulant to coagulate substantially all of organic material and said soil, and ii. then segregating said coagulant from said extracting solution by settling, centrifugation, and/or filtration. a. providing contaminated soil; b. introducing into said soil an aqueous extracting solution comprising sodium carbonate and potassium carbonate, or ammonium carbonate, said extracting solution having a pH and being added in an amount sufficient to solubilize, mobilize or disperse at least about 10% by weight of said contaminate into solution; c. segregating contaminated fine vegetation from said soil; d. introducing into said extracting solution a mineral acid, said mineral acid being added in an amount sufficient to remove substantially all organic material from said extracting solution; e. separating clean soil from said extracting solution; and f. removing said radioactive species from said extracting solution. a. providing a contaminated process stream used in removing contaminants from contaminated soil, said process stream comprising soil particles, clay and silt particles, humus and fine vegetation; b. introducing into said process stream an aqueous extracting solution comprising sodium carbonate and potassium carbonate, or ammonium carbonate, said extracting solution having a pH greater or equal to about 7.5; c. segregating contaminated vegetation from said process stream; d. introducing into said process stream a mineral acid in an amount sufficient to lower the pH of the extracting solution; e. separating clean particulate material from said process stream; f. removing said radioactive species from said process stream. 2. The method of claim 1 wherein said material to be treated contains at least one soluble radioactive species selected from the group consisting of uranium, radium, cesium, cobalt, strontium, americium, thorium, plutonium, cerium, rubidium and mixtures thereof. 3. The method of claim 1 wherein said radioactive species is uranium, radium, or a mixture thereof. 4. The method of claim 1 wherein step (a) comprises providing contaminated soil comprising soil particles, clay and silt particles, humus and fine vegetation. 5. The method of claim 1 wherein step (b) comprises introducing an aqueous extracting solution comprising sodium carbonate and potassium carbonate, or ammonium carbonate. 6. The method of claim 1 wherein said extracting solution has a pH greater than or equal to about 8. 7. The method of claim 6 wherein said pH is greater than or equal to about 8.5. 8. The method of claim 6 wherein said pH is greater than or equal to about 9. 9. The method of claim 1 wherein step (b) comprises introducing an aqueous extracting solution consisting essentially of sodium carbonate and potassium carbonate. 10. The method of claim 1 wherein step (b) comprises introducing an aqueous extracting solution consisting essentially of ammonium carbonate. 11. The method of claim 1 wherein step (c) comprises the steps of: 12. The method of claim 1 wherein step (d) comprises introducing at least one acid selected from the group consisting of hydrochloric acid, nitric acid, sulfuric acid, perchloric acid, or a mixture thereof. 13. The method of claim 12 wherein said acid is hydrochloric acid. 14. The method of claim 1 wherein said acid is added in an amount sufficient to remove substantially all organic material from said extracting solution. 15. The method of claim 1 wherein said acid is added in an amount sufficient to lower the pH of the extracting solution to less than or equal to about 7. 16. The method of claim 1 wherein said acid is added in an amount sufficient to lower the pH of the extracting solution to less than or equal to about 7.5. 17. The method of claim 1 wherein said acid is added in an amount sufficient to lower the pH of the extracting solution to less than or equal to about 8. 18. The method of claim 1 wherein said acid is added in an amount sufficient to lower the pH of the extracting solution to less than or equal to about 8.5. 19. The method of claim 1 wherein said acid is added in an amount sufficient to lower the pH of the extracting solution to less than or equal to about 9. 20. The method of claim 1 wherein step (e) comprises the further steps of: 21. The method of claim 1 wherein step (f) comprises removing said radioactive species from said extracting solution by at least one means selected from ion exchange, precipitation, and zeolitic adsorption. 22. A method for remediating soil contaminated with uranium, or radium, or a mixture thereof, comprising the steps of: 23. The method of claim 22 wherein step (b) comprises introducing into said soil an aqueous extracting solution having a pH greater than or equal to about 7.5. 24. The method of claim 23 wherein said pH is greater than or equal to about 8. 25. The method of claim 23 wherein said pH is greater than or equal to about 8.5. 26. The method of claim 23 wherein said pH is greater than or equal to about 9. 27. The method of claim 23 wherein said pH is greater than or equal to about 9.5. 28. The method of claim 23 wherein said pH is greater than or equal to about 10. 29. The method of claim 22 wherein step (d) comprises introducing said mineral acid in an amount sufficient to lower the pH of said extracting solution to equal to or less than about 7. 30. The method of claim 22 wherein said mineral acid is added in an amount sufficient to lower the pH to equal to or less than about 7.5. 31. The method of claim 22 wherein said mineral acid is added in an amount sufficient to lower the pH to equal to or less than about 8. 32. The method of claim 22 wherein said mineral acid is added in an amount sufficient to lower the pH to equal to or less than about 8.5. 33. The method of claim 22 wherein said mineral acid is added in an amount sufficient to lower the pH to equal to or less than about 9. 34. The method of claim 22 wherein said mineral acid is added in an amount sufficient to lower the pH to equal to or less than about 10. 35. A method for treating material contaminated with soluble radioactive species comprising the steps of:
046541888
abstract
Shielding for technicians working around a nuclear reactor during the refueling process is provided by permanently mounting a shield support around the reactor shroud and temporarily hanging shielding members such as lead panels from the support. The shield support includes a permanent rail encircling the shroud and swingout arms which are pivotably mounted to the permanent rail. During use the shielding members are positioned adjacent the swingout arms by a stud tensioner hoist, and the swingout arms are pivoted outward to receive the shielding members. When installed, the edges of the shielding members overlap.
abstract
An ion implantation apparatus is provided with first and second magnets arranged so as to face each other in a Y direction across a path for a ribbon-shaped ion beam. The first and second magnets cross a traveling direction of the ribbon-shaped ion beam. Each of the first and second magnets has a pair of magnetic poles on an inlet side and on an outlet side of the ion beam. The polarities thereof are opposite between the first magnet and the second magnet.
claims
1. A filtering element comprising:(a) an elongate perimeter frame having an end surface for mounting to a header, the end surface defining one or more openings,(b) a pair of fluid permeable screens fixed to the perimeter frame in opposed spaced relation to one another, and(c) at least one fluid flow channel is formed between the pair of fluid permeable screens to provide fluid communication with the header or tube via the one or more openings of the perimeter frame,wherein each one of the pair of fluid permeable screens is folded into a plurality of hollow vanes extending outwardly in a direction away from the other of the fluid permeable screens, wherein each one of the hollow vanes defines pairs of opposing, spaced apart permeable surfaces parallel to one another and to the end surface, and wherein each pair of opposing, spaced apart permeable surfaces define a vane width, wherein the vane width is less than a spacing between adjacent vanes. 2. The filtering element of claim 1, wherein each one of the pair of fluid permeable screens are formed from permeable metal sheet, metal mesh or a combination thereof. 3. The filtering element of claim 1, further comprising a corrugated metal spacer disposed between and maintaining each one of the pair of fluid permeable screens in spaced relation, a plurality of flow channels being defined between the corrugated metal spacer and the pair of fluid permeable screens. 4. The filtering element of claim 1, wherein each one of the plurality of hollow vanes has a vane pitch of approximately 14 millimeters and the spacing between adjacent vanes is approximately 10 millimeters. 5. The filtering element of claim 1;wherein the at least one fluid flow channel is defined by a plate positioned between the pair of fluid permeable screens; andwherein the plurality of hollow vanes are oriented transversely to the flow channel. 6. The filtering element of claim 5;wherein the plate is corrugated. 7. A strainer for filtering debris from a fluid comprising:(a) a header defining an enclosed volume and having an outlet to provide fluid communication with a suction source, the header having a plurality of inlet aperture slots formed therein, and(b) a plurality of filter elements in fluid communication with the plurality of inlet aperture slots for filtering debris from the fluid, each one of the filter elements comprising a perimeter frame having an end surface for mounting to the header, the end surface defining one or more openings, and a pair of fluid permeable screens fixed thereto in opposed spaced relation, and at least one fluid flow channel therebetween in fluid communication with the enclosed volume through the one or more openings of the frame and the aperture slot,wherein each one of the pair of fluid permeable screens is folded into a plurality of hollow vanes extending outwardly in a direction away from the other of the fluid permeable screens, wherein each one of the hollow vanes defines pairs of opposing, spaced apart permeable surfaces parallel to one another and to the end surface, and wherein each pair of opposing, spaced apart permeable surfaces define a vane width, wherein the vane width is less than a spacing between adjacent vanes. 8. The strainer of claim 7, wherein the each one of the pair of fluid permeable screens are formed from perforated metal plate. 9. The strainer of claim 8, further comprising a corrugated metal spacer disposed between and maintaining each one of the pair of fluid permeable screens in spaced relation, a plurality of the flow channels being defined between the corrugated metal spacer and the pair of fluid permeable screens. 10. The strainer of claim 7, further comprising a corrugated metal spacer disposed between and maintaining each one of the pair of fluid permeable screens in spaced relation, a plurality of the flow channels being defined between the corrugated metal spacer and the pair of fluid permeable screens. 11. The strainer of claim 7, wherein each one of the plurality of hollow vanes has a vane pitch of approximately 14 millimeters and the spacing between adjacent vanes is approximately 10 millimeters. 12. The strainer of claim 7;wherein the at least one fluid flow channel is defined by a plate positioned between the pair of fluid permeable screens; andwherein the plurality of hollow vanes are oriented transversely to the flow channel. 13. The strainer of claim 12;wherein the plate is corrugated. 14. A filtering element comprising a perimeter frame having one or more openings defined by an end surface of the perimeter frame for mounting to a header, and a pair of fluid permeable screens fixed to the perimeter frame in opposed spaced apart relation to one another defining at least one fluid flow channel to provide fluid communication with a header or tube via the one or more openings in the end surface of the perimeter frame, each one of the screens including a plurality of hollow vanes extending outwardly in a direction away from the other of the fluid permeable screen, pairs of adjacent hollow vanes defining pairs of opposing, spaced apart permeable surfaces parallel to one another and to the end surface, each one of the permeable surfaces effecting fluid communication with a hollow core of the vane to which the surface is respective, and, wherein the vane width is less than a spacing between adjacent vanes. 15. The filtering element as claimed in claim 14, further comprising:a corrugated metal spacer disposed between and maintaining each one of the pair of fluid permeable screens in spaced relation, a plurality of flow channels being defined between the corrugated metal spacer and the pair of fluid permeable screens. 16. The filtering element as claimed in claim 14;wherein each one of the one or more fluid permeable screens is formed from permeable metal sheet, metal mesh or a combination thereof. 17. The filtering element as claimed in claim 14;wherein each one of the one or more fluid permeable screens includes layered mesh. 18. The filtering element as claimed in claim 17, further comprising:a perforated plate;wherein the layered mesh is attached to the perforated plate. 19. The filtering element of claim 14;wherein each one of the plurality of hollow vanes has a vane pitch of approximately 14 millimeters and the spacing between adjacent vanes is approximately 10 millimeters. 20. The filtering element of claim 14;wherein the at least one fluid flow channel is defined by a plate positioned between the pair of fluid permeable screens; andwherein the plurality of hollow vanes are oriented transversely to the flow channel. 21. The filtering element of claim 20;wherein the plate is corrugated.
abstract
Disclosed is a method for treating an absorber pin, wherein the pin comprises a cladding in which a sintered boron carbide-based material having cracks is located, the material having porosity less than 1% of the volume of the material, the cracks containing sodium and at least one radioactive material. The method includes contacting the material with a treatment reaction mixture including carbon dioxide and water, in such a manner that the production of sodium carbonate and the expansion thereof cause the opening of cracks and of the sheath from at least one slit provided in the sheath as well as the propagation of the treatment process within the material. The process overcomes the physical-chemical properties of a sintered boron carbide-based material as much as possible. These properties prevent an easy treatment of the sodium and radioactive material contained in the cracks of the material.
description
The present application hereby claims priority under 35 U.S.C. § 119 to European patent application number EP 18163993.1 filed Mar. 26, 2018, the entire contents of which are hereby incorporated herein by reference. Embodiments of the invention generally relate to a method for adjusting a collimator of an X-ray source and to a corresponding X-ray device. Furthermore, embodiments of the invention generally relate to a corresponding computer program product and a computer readable media are provided. X-ray imaging is widely used in medical examination. An X-ray imaging device may comprise a fixed X-ray detector such that the position and size of the X-ray detector as well as the distance between the X-ray radiation source and the X-ray detector are well defined within the X-ray imaging device. The X-ray imaging device may be configured such that the size of a light field irradiated by the X-ray source matches to an active field of the X-ray detector. However, X-ray imaging devices may also utilise mobile X-ray detectors to allow free exposures. The mobile X-ray detector may be arranged as required with respect to an examination object and the radiation X-ray source may be moved freely to focus on a region of interest of the examination object, for example a body part of a patient to be examined. Due to the free arrangement of the X-ray detector and the X-ray source, an area irradiated by the X-ray source (light field size) may be larger or may extend beyond an active field of the mobile X-ray detector. This may lead to an increased X-ray dose for the patient. An operator of the X-ray imaging device may align the X-ray source and may adjust a collimator of the X-ray source such that the collimated field is restricted to the active area of the X-ray detector. However, this demand may be difficult to fulfil and may require several iterations of manual adjustment, which may be time-consuming. Accordingly, the inventors have discovered that a need exists to improve X-ray imaging devices which utilise a mobile X-ray detector. This need is met by the features of the independent claims. The dependent claims describe further aspects. According to a first embodiment, a method for adjusting a collimator of an X-ray source is provided. According to the method, an arrangement of an X-ray detector with respect to the X-ray source is detected. Based on the detected position of the X-ray detector with respect to the X-ray source, an adjustment for the collimator is automatically determined. Based on the determined adjustment for the collimator, the collimator is automatically adjusted. According to a another embodiment, a method for adjusting a collimator of an X-ray source is provided. The method comprise: detecting an arrangement of an X-ray detector with respect to the X-ray source to determine a position of the X-ray detector detected with respect to the X-ray source; automatically determining an adjustment for the collimator based on the position of the X-ray detector determined with respect to the X-ray source; and automatically adjusting the collimator based on the adjustment determined for the collimator. According to another embodiment, an X-ray device is provided. The X-ray device comprises an X-ray source comprising a collimator, a capturing device configured to detect an arrangement of an X-ray detector with respect to the X-ray source, and a processing device. The capturing device may comprise for example a camera, in particular a 3-D digital camera. The processing device may comprise for example a digital processing device like a controller or a central processing unit (CPU) including memory and input and output interfaces for receiving information from for example the capturing device, a graphical user interface, and for providing information to actuators for adjusting the collimator. The processing device is configured to determine an adjustment for the collimator based on the detected position of the X-ray detector with respect to the X-ray source, and to adjust the collimator based on the determined adjustment for the collimator. According to another embodiment, an X-ray device is provided. The X-ray device, comprises: an X-ray source including a collimator; an X-ray detector; and at least one processor configured to detect an arrangement of the X-ray detector with respect to the X-ray source; automatically determine an adjustment for the collimator based on a position of the X-ray detector determined with respect to the X-ray source; and automatically adjust the collimator based on the adjustment determined for the collimator. A further embodiment of the present invention relates to a computer program product comprising a computer program. The computer program is loadable into a memory of a processing device of an X-ray device. The computer program includes program code sections to cause the processing device to execute an embodiment of the above-described method when the computer program is executed in the processing device. The computer program product may comprise other elements apart from the computer program. These other elements may be hardware, for example a memory device, on which the computer program is stored, a hardware key for using the computer program and the like, and/or software, for example a documentation or a software key for using the computer program. Furthermore, according to another embodiment, a computer readable media is provided which includes computer executable instructions for performing an embodiment of the above-described method. The computer readable media may comprise for example a DVD, a magnetic tape, a hard disk or an USB stick, on which electronically readable control information, in particular software, is stored. Upon reading this control information from the computer readable media into a processing device of an X-ray device, the above-described method may be performed by the processing device. The drawings are to be regarded as being schematic representations and elements illustrated in the drawings are not necessarily shown to scale. Rather, the various elements are represented such that their function and general purpose become apparent to a person skilled in the art. Any connection or coupling between functional blocks, devices, components, or other physical or functional units shown in the drawings or described herein may also be implemented by an indirect connection or coupling. A coupling between components may also be established over a wireless connection. Functional blocks may be implemented in hardware, firmware, software, or a combination thereof. Various example embodiments will now be described more fully with reference to the accompanying drawings in which only some example embodiments are shown. Specific structural and functional details disclosed herein are merely representative for purposes of describing example embodiments. Example embodiments, however, may be embodied in various different forms, and should not be construed as being limited to only the illustrated embodiments. Rather, the illustrated embodiments are provided as examples so that this disclosure will be thorough and complete, and will fully convey the concepts of this disclosure to those skilled in the art. Accordingly, known processes, elements, and techniques, may not be described with respect to some example embodiments. Unless otherwise noted, like reference characters denote like elements throughout the attached drawings and written description, and thus descriptions will not be repeated. The present invention, however, may be embodied in many alternate forms and should not be construed as limited to only the example embodiments set forth herein. It will be understood that, although the terms first, second, etc. may be used herein to describe various elements, components, regions, layers, and/or sections, these elements, components, regions, layers, and/or sections, should not be limited by these terms. These terms are only used to distinguish one element from another. For example, a first element could be termed a second element, and, similarly, a second element could be termed a first element, without departing from the scope of example embodiments of the present invention. As used herein, the term “and/or,” includes any and all combinations of one or more of the associated listed items. The phrase “at least one of” has the same meaning as “and/or”. Spatially relative terms, such as “beneath,” “below,” “lower,” “under,” “above,” “upper,” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below,” “beneath,” or “under,” other elements or features would then be oriented “above” the other elements or features. Thus, the example terms “below” and “under” may encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly. In addition, when an element is referred to as being “between” two elements, the element may be the only element between the two elements, or one or more other intervening elements may be present. Spatial and functional relationships between elements (for example, between modules) are described using various terms, including “connected,” “engaged,” “interfaced,” and “coupled.” Unless explicitly described as being “direct,” when a relationship between first and second elements is described in the above disclosure, that relationship encompasses a direct relationship where no other intervening elements are present between the first and second elements, and also an indirect relationship where one or more intervening elements are present (either spatially or functionally) between the first and second elements. In contrast, when an element is referred to as being “directly” connected, engaged, interfaced, or coupled to another element, there are no intervening elements present. Other words used to describe the relationship between elements should be interpreted in a like fashion (e.g., “between,” versus “directly between,” “adjacent,” versus “directly adjacent,” etc.). The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of example embodiments of the invention. As used herein, the singular forms “a,” “an,” and “the,” are intended to include the plural forms as well, unless the context clearly indicates otherwise. As used herein, the terms “and/or” and “at least one of” include any and all combinations of one or more of the associated listed items. It will be further understood that the terms “comprises,” “comprising,” “includes,” and/or “including,” when used herein, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items. Expressions such as “at least one of,” when preceding a list of elements, modify the entire list of elements and do not modify the individual elements of the list. Also, the term “example” is intended to refer to an example or illustration. When an element is referred to as being “on,” “connected to,” “coupled to,” or “adjacent to,” another element, the element may be directly on, connected to, coupled to, or adjacent to, the other element, or one or more other intervening elements may be present. In contrast, when an element is referred to as being “directly on,” “directly connected to,” “directly coupled to,” or “immediately adjacent to,” another element there are no intervening elements present. It should also be noted that in some alternative implementations, the functions/acts noted may occur out of the order noted in the figures. For example, two figures shown in succession may in fact be executed substantially concurrently or may sometimes be executed in the reverse order, depending upon the functionality/acts involved. Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which example embodiments belong. It will be further understood that terms, e.g., those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein. Before discussing example embodiments in more detail, it is noted that some example embodiments may be described with reference to acts and symbolic representations of operations (e.g., in the form of flow charts, flow diagrams, data flow diagrams, structure diagrams, block diagrams, etc.) that may be implemented in conjunction with units and/or devices discussed in more detail below. Although discussed in a particularly manner, a function or operation specified in a specific block may be performed differently from the flow specified in a flowchart, flow diagram, etc. For example, functions or operations illustrated as being performed serially in two consecutive blocks may actually be performed simultaneously, or in some cases be performed in reverse order. Although the flowcharts describe the operations as sequential processes, many of the operations may be performed in parallel, concurrently or simultaneously. In addition, the order of operations may be re-arranged. The processes may be terminated when their operations are completed, but may also have additional steps not included in the figure. The processes may correspond to methods, functions, procedures, subroutines, subprograms, etc. Specific structural and functional details disclosed herein are merely representative for purposes of describing example embodiments of the present invention. This invention may, however, be embodied in many alternate forms and should not be construed as limited to only the embodiments set forth herein. Units and/or devices according to one or more example embodiments may be implemented using hardware, software, and/or a combination thereof. For example, hardware devices may be implemented using processing circuitry such as, but not limited to, a processor, Central Processing Unit (CPU), a controller, an arithmetic logic unit (ALU), a digital signal processor, a microcomputer, a field programmable gate array (FPGA), a System-on-Chip (SoC), a programmable logic unit, a microprocessor, or any other device capable of responding to and executing instructions in a defined manner. Portions of the example embodiments and corresponding detailed description may be presented in terms of software, or algorithms and symbolic representations of operation on data bits within a computer memory. These descriptions and representations are the ones by which those of ordinary skill in the art effectively convey the substance of their work to others of ordinary skill in the art. An algorithm, as the term is used here, and as it is used generally, is conceived to be a self-consistent sequence of steps leading to a desired result. The steps are those requiring physical manipulations of physical quantities. Usually, though not necessarily, these quantities take the form of optical, electrical, or magnetic signals capable of being stored, transferred, combined, compared, and otherwise manipulated. It has proven convenient at times, principally for reasons of common usage, to refer to these signals as bits, values, elements, symbols, characters, terms, numbers, or the like. It should be borne in mind, however, that all of these and similar terms are to be associated with the appropriate physical quantities and are merely convenient labels applied to these quantities. Unless specifically stated otherwise, or as is apparent from the discussion, terms such as “processing” or “computing” or “calculating” or “determining” of “displaying” or the like, refer to the action and processes of a computer system, or similar electronic computing device/hardware, that manipulates and transforms data represented as physical, electronic quantities within the computer system's registers and memories into other data similarly represented as physical quantities within the computer system memories or registers or other such information storage, transmission or display devices. In this application, including the definitions below, the term ‘module’ or the term ‘controller’ may be replaced with the term ‘circuit.’ The term ‘module’ may refer to, be part of, or include processor hardware (shared, dedicated, or group) that executes code and memory hardware (shared, dedicated, or group) that stores code executed by the processor hardware. The module may include one or more interface circuits. In some examples, the interface circuits may include wired or wireless interfaces that are connected to a local area network (LAN), the Internet, a wide area network (WAN), or combinations thereof. The functionality of any given module of the present disclosure may be distributed among multiple modules that are connected via interface circuits. For example, multiple modules may allow load balancing. In a further example, a server (also known as remote, or cloud) module may accomplish some functionality on behalf of a client module. Software may include a computer program, program code, instructions, or some combination thereof, for independently or collectively instructing or configuring a hardware device to operate as desired. The computer program and/or program code may include program or computer-readable instructions, software components, software modules, data files, data structures, and/or the like, capable of being implemented by one or more hardware devices, such as one or more of the hardware devices mentioned above. Examples of program code include both machine code produced by a compiler and higher level program code that is executed using an interpreter. For example, when a hardware device is a computer processing device (e.g., a processor, Central Processing Unit (CPU), a controller, an arithmetic logic unit (ALU), a digital signal processor, a microcomputer, a microprocessor, etc.), the computer processing device may be configured to carry out program code by performing arithmetical, logical, and input/output operations, according to the program code. Once the program code is loaded into a computer processing device, the computer processing device may be programmed to perform the program code, thereby transforming the computer processing device into a special purpose computer processing device. In a more specific example, when the program code is loaded into a processor, the processor becomes programmed to perform the program code and operations corresponding thereto, thereby transforming the processor into a special purpose processor. Software and/or data may be embodied permanently or temporarily in any type of machine, component, physical or virtual equipment, or computer storage medium or device, capable of providing instructions or data to, or being interpreted by, a hardware device. The software also may be distributed over network coupled computer systems so that the software is stored and executed in a distributed fashion. In particular, for example, software and data may be stored by one or more computer readable recording mediums, including the tangible or non-transitory computer-readable storage media discussed herein. Even further, any of the disclosed methods may be embodied in the form of a program or software. The program or software may be stored on a non-transitory computer readable medium and is adapted to perform any one of the aforementioned methods when run on a computer device (a device including a processor). Thus, the non-transitory, tangible computer readable medium, is adapted to store information and is adapted to interact with a data processing facility or computer device to execute the program of any of the above mentioned embodiments and/or to perform the method of any of the above mentioned embodiments. Example embodiments may be described with reference to acts and symbolic representations of operations (e.g., in the form of flow charts, flow diagrams, data flow diagrams, structure diagrams, block diagrams, etc.) that may be implemented in conjunction with units and/or devices discussed in more detail below. Although discussed in a particularly manner, a function or operation specified in a specific block may be performed differently from the flow specified in a flowchart, flow diagram, etc. For example, functions or operations illustrated as being performed serially in two consecutive blocks may actually be performed simultaneously, or in some cases be performed in reverse order. According to one or more example embodiments, computer processing devices may be described as including various functional units that perform various operations and/or functions to increase the clarity of the description. However, computer processing devices are not intended to be limited to these functional units. For example, in one or more example embodiments, the various operations and/or functions of the functional units may be performed by other ones of the functional units. Further, the computer processing devices may perform the operations and/or functions of the various functional units without sub-dividing the operations and/or functions of the computer processing units into these various functional units. Units and/or devices according to one or more example embodiments may also include one or more storage devices. The one or more storage devices may be tangible or non-transitory computer-readable storage media, such as random access memory (RAM), read only memory (ROM), a permanent mass storage device (such as a disk drive), solid state (e.g., NAND flash) device, and/or any other like data storage mechanism capable of storing and recording data. The one or more storage devices may be configured to store computer programs, program code, instructions, or some combination thereof, for one or more operating systems and/or for implementing the example embodiments described herein. The computer programs, program code, instructions, or some combination thereof, may also be loaded from a separate computer readable storage medium into the one or more storage devices and/or one or more computer processing devices using a drive mechanism. Such separate computer readable storage medium may include a Universal Serial Bus (USB) flash drive, a memory stick, a Blu-ray/DVD/CD-ROM drive, a memory card, and/or other like computer readable storage media. The computer programs, program code, instructions, or some combination thereof, may be loaded into the one or more storage devices and/or the one or more computer processing devices from a remote data storage device via a network interface, rather than via a local computer readable storage medium. Additionally, the computer programs, program code, instructions, or some combination thereof, may be loaded into the one or more storage devices and/or the one or more processors from a remote computing system that is configured to transfer and/or distribute the computer programs, program code, instructions, or some combination thereof, over a network. The remote computing system may transfer and/or distribute the computer programs, program code, instructions, or some combination thereof, via a wired interface, an air interface, and/or any other like medium. The one or more hardware devices, the one or more storage devices, and/or the computer programs, program code, instructions, or some combination thereof, may be specially designed and constructed for the purposes of the example embodiments, or they may be known devices that are altered and/or modified for the purposes of example embodiments. A hardware device, such as a computer processing device, may run an operating system (OS) and one or more software applications that run on the OS. The computer processing device also may access, store, manipulate, process, and create data in response to execution of the software. For simplicity, one or more example embodiments may be exemplified as a computer processing device or processor; however, one skilled in the art will appreciate that a hardware device may include multiple processing elements or processors and multiple types of processing elements or processors. For example, a hardware device may include multiple processors or a processor and a controller. In addition, other processing configurations are possible, such as parallel processors. The computer programs include processor-executable instructions that are stored on at least one non-transitory computer-readable medium (memory). The computer programs may also include or rely on stored data. The computer programs may encompass a basic input/output system (BIOS) that interacts with hardware of the special purpose computer, device drivers that interact with particular devices of the special purpose computer, one or more operating systems, user applications, background services, background applications, etc. As such, the one or more processors may be configured to execute the processor executable instructions. The computer programs may include: (i) descriptive text to be parsed, such as HTML (hypertext markup language) or XML (extensible markup language), (ii) assembly code, (iii) object code generated from source code by a compiler, (iv) source code for execution by an interpreter, (v) source code for compilation and execution by a just-in-time compiler, etc. As examples only, source code may be written using syntax from languages including C, C++, C#, Objective-C, Haskell, Go, SQL, R, Lisp, Java®, Fortran, Perl, Pascal, Curl, OCaml, Javascript®, HTML5, Ada, ASP (active server pages), PHP, Scala, Eiffel, Smalltalk, Erlang, Ruby, Flash®, Visual Basic®, Lua, and Python®. Further, at least one embodiment of the invention relates to the non-transitory computer-readable storage medium including electronically readable control information (processor executable instructions) stored thereon, configured in such that when the storage medium is used in a controller of a device, at least one embodiment of the method may be carried out. The computer readable medium or storage medium may be a built-in medium installed inside a computer device main body or a removable medium arranged so that it can be separated from the computer device main body. The term computer-readable medium, as used herein, does not encompass transitory electrical or electromagnetic signals propagating through a medium (such as on a carrier wave); the term computer-readable medium is therefore considered tangible and non-transitory. Non-limiting examples of the non-transitory computer-readable medium include, but are not limited to, rewriteable non-volatile memory devices (including, for example flash memory devices, erasable programmable read-only memory devices, or a mask read-only memory devices); volatile memory devices (including, for example static random access memory devices or a dynamic random access memory devices); magnetic storage media (including, for example an analog or digital magnetic tape or a hard disk drive); and optical storage media (including, for example a CD, a DVD, or a Blu-ray Disc). Examples of the media with a built-in rewriteable non-volatile memory, include but are not limited to memory cards; and media with a built-in ROM, including but not limited to ROM cassettes; etc. Furthermore, various information regarding stored images, for example, property information, may be stored in any other form, or it may be provided in other ways. The term code, as used above, may include software, firmware, and/or microcode, and may refer to programs, routines, functions, classes, data structures, and/or objects. Shared processor hardware encompasses a single microprocessor that executes some or all code from multiple modules. Group processor hardware encompasses a microprocessor that, in combination with additional microprocessors, executes some or all code from one or more modules. References to multiple microprocessors encompass multiple microprocessors on discrete dies, multiple microprocessors on a single die, multiple cores of a single microprocessor, multiple threads of a single microprocessor, or a combination of the above. Shared memory hardware encompasses a single memory device that stores some or all code from multiple modules. Group memory hardware encompasses a memory device that, in combination with other memory devices, stores some or all code from one or more modules. The term memory hardware is a subset of the term computer-readable medium. The term computer-readable medium, as used herein, does not encompass transitory electrical or electromagnetic signals propagating through a medium (such as on a carrier wave); the term computer-readable medium is therefore considered tangible and non-transitory. Non-limiting examples of the non-transitory computer-readable medium include, but are not limited to, rewriteable non-volatile memory devices (including, for example flash memory devices, erasable programmable read-only memory devices, or a mask read-only memory devices); volatile memory devices (including, for example static random access memory devices or a dynamic random access memory devices); magnetic storage media (including, for example an analog or digital magnetic tape or a hard disk drive); and optical storage media (including, for example a CD, a DVD, or a Blu-ray Disc). Examples of the media with a built-in rewriteable non-volatile memory, include but are not limited to memory cards; and media with a built-in ROM, including but not limited to ROM cassettes; etc. Furthermore, various information regarding stored images, for example, property information, may be stored in any other form, or it may be provided in other ways. The apparatuses and methods described in this application may be partially or fully implemented by a special purpose computer created by configuring a general purpose computer to execute one or more particular functions embodied in computer programs. The functional blocks and flowchart elements described above serve as software specifications, which can be translated into the computer programs by the routine work of a skilled technician or programmer. Although described with reference to specific examples and drawings, modifications, additions and substitutions of example embodiments may be variously made according to the description by those of ordinary skill in the art. For example, the described techniques may be performed in an order different with that of the methods described, and/or components such as the described system, architecture, devices, circuit, and the like, may be connected or combined to be different from the above-described methods, or results may be appropriately achieved by other components or equivalents. Most of the aforementioned components, in particular the identification unit, can be implemented in full or in part in the form of software modules in a processor of a suitable control device or of a processing system. An implementation largely in software has the advantage that even control devices and/or processing systems already in use can be easily upgraded by a software update in order to work in the manner according to at least one embodiment of the invention. According to a first embodiment, a method for adjusting a collimator of an X-ray source is provided. According to the method, an arrangement of an X-ray detector with respect to the X-ray source is detected. Based on the detected position of the X-ray detector with respect to the X-ray source, an adjustment for the collimator is automatically determined. Based on the determined adjustment for the collimator, the collimator is automatically adjusted. A camera, for example a digital camera, in particular a digital 3-D camera, may be used to detect the arrangement of the X-ray detector. The X-ray detector may comprise a mobile X-ray detector allowing free exposure arrangement of the X-ray detector. A position of the camera with respect to the X-ray source may be fixed. As an alternative, the camera may additionally detect the position and orientation of the X-ray source such that the arrangement of the X-ray detector with respect to the X-ray source may be determined. For example, an image showing the X-ray detector and the X-ray source may be captured and based on the captured image, a distance between the X-ray detector and the X-ray source, an orientation of the X-ray detector with respect to the X-ray source, and/or a size of an active field of the X-ray detector may be automatically determined, for example by a processing device which performs an image processing. Thus, parameters like X-ray detector position and orientation, distance between the X-ray detector and the X-ray source, a size and an orientation of the collimator may be determined in a three-dimensional coordinate system or relative to the camera. Based on these parameters, the active area of the mobile X-ray detector and the area irradiated by the collimator (light field) may be compared and the setup may be changed automatically, in particular adjusting the collimator. For example, the maximum opening of the collimator may be limited to the borders of the mobile X-ray detector such that an X-ray dose irradiated on the patient may be decreased. According to an example embodiment, automatically adjusting the collimator may comprise automatically adjusting a height or automatically adjusting a width of a light field of the collimator. Further, a rotation of the light field of the collimator may automatically be adjusted. This may limit the maximum opening of the collimator to the borders of the mobile X-ray detector. Further, free arrangement of the mobile X-ray detector may be supported, for example by rotating the light field of the collimator. Further, when the distance between the X-ray source and the mobile X-ray detector is changed, the collimator size may be adapted so that the collimation of the light field remains the same. Adjusting the width, height and/or rotation of the light field of the collimator may be accomplished by adjusting corresponding blades which may be driven by actuators controlled by a processing device. In another example embodiment, the arrangement of the X-ray source may be adjustable. For detecting the arrangement of the X-ray detector with respect to the X-ray source, the position of the X-ray detector may be detected and an adjustment for the X-ray source may be automatically determined based on the detected position of the X-ray detector. A position of the X-ray source is automatically adjusted based on this determined adjustment for the X-ray source. The position of the X-ray detector as well as the position of the X-ray source may be determined based on an image captured by a camera, in particular a 3-D camera. For example, based on the detected arrangement of the X-ray detector with respect to the X-ray source, a processing device may determine that this setup has to be changed. If the position and orientation of the X-ray detector have to be changed, the X-ray source may be moved to a position where an area irradiated by the X-ray source (light field) covers the active area of the X-ray detector. Next, the collimator may be adjusted such that the area irradiated by the X-ray source (light field) does not extend beyond the active area of the X-ray detector. According to another example embodiment, a warning indicating that an optimal adjustment of the collimator cannot be achieved with the detected arrangement of the X-ray detector with respect to the X-ray source is output to an operator. The operator may then consider to rearrange the X-ray detector in combination with the object to be examined, and the above-described method may be repeated for automatically adjusting the collimator. According to another embodiment, an X-ray device is provided. The X-ray device comprises an X-ray source comprising a collimator, a capturing device configured to detect an arrangement of an X-ray detector with respect to the X-ray source, and a processing device. The capturing device may comprise for example a camera, in particular a 3-D digital camera. The processing device may comprise for example a digital processing device like a controller or a central processing unit (CPU) including memory and input and output interfaces for receiving information from for example the capturing device, a graphical user interface, and for providing information to actuators for adjusting the collimator. The processing device is configured to determine an adjustment for the collimator based on the detected position of the X-ray detector with respect to the X-ray source, and to adjust the collimator based on the determined adjustment for the collimator. The X-ray device may be configured to perform the above-described method in an embodiment. A further embodiment of the present invention relates to a computer program product comprising a computer program. The computer program is loadable into a memory of a processing device of an X-ray device. The computer program includes program code sections to cause the processing device to execute an embodiment of the above-described method when the computer program is executed in the processing device. The computer program product may comprise other elements apart from the computer program. These other elements may be hardware, for example a memory device, on which the computer program is stored, a hardware key for using the computer program and the like, and/or software, for example a documentation or a software key for using the computer program. Furthermore, according to another embodiment, a computer readable media is provided which includes computer executable instructions for performing an embodiment of the above-described method. The computer readable media may comprise for example a DVD, a magnetic tape, a hard disk or an USB stick, on which electronically readable control information, in particular software, is stored. Upon reading this control information from the computer readable media into a processing device of an X-ray device, the above-described method may be performed by the processing device. It is to be understood that the features mentioned above and features yet to be explained below may be used not only in the respective combinations indicated, but also in other combinations or in isolation without departing from the scope of the present invention. Features of the above-mentioned aspects and examples and the embodiments described below may be combined with each other unless specifically mentioned otherwise. In the following, embodiments of the invention will be described in detail with reference to the accompanying drawings. It is to be understood that the following description of embodiments is not to be taken in a limiting sense. The scope of the invention is not intended to be limited by the embodiments described herein or by the drawings, which are to be illustrative only. The drawings are to be regarded as being schematic representations, and elements illustrated in the drawings are not necessarily shown to scale. Rather, the various elements are represented such that their function and general purpose becomes apparent to a person skilled in the art. Any connection or coupling between functional blocks, devices, components of physical or functional units shown in the drawings and described herein may also be implemented by an indirect connection or coupling. Any coupling between components may be established over a wired or wireless connection. Functional blocks may be implemented in hardware, software, firmware, or a combination thereof. Same reference signs in the various drawings refer to similar or identical components. FIG. 1 shows schematically an X-ray imaging device 100. The X-ray imaging device 100 comprises an X-ray source 101 comprising a radiation source 102, for example an X-ray tube, configured to emit an X-ray beam through a collimator 104. The collimator 104 is arranged to collimate the X-ray beam. The collimator 104 may be configured to restrict a spatial extent of the X-ray beam in one or more directions perpendicular to a direction of propagation of the beam. The X-ray beam passing through the collimator 104 is further passing through an collimator adjustment system 103 of the collimator 104. The collimator adjustment system 103 may comprise movable blades for adjusting a light field which is irradiated by the X-ray beam passing through the collimator 104. The X-ray source 101 comprises furthermore an actuator 105 which may be coupled to the collimator adjustment system 103 for moving the blades based on control information provided to the actuator 105. The X-ray source 101 may comprise a further actuator 107 for adjusting a position and an orientation of the X-ray source 101. Furthermore, the X-ray source 101 may comprise further components, for example a further actuator for moving the radiation source 102 with respect to the collimator 104. An X-ray beam 130 generated by the X-ray source 101 may be directed to an object 106 to be imaged. The object 106 may be located on or near an imaging platform 108. The imaging platform 108 may comprise or constitute an X-ray detector arranged to detect X-ray radiation emitted from the radiation source 102. For example, the imaging platform 108 may be arranged to receive a cassette containing a radiographic or photographic film reactive to the radiation emitted from the radiation source 102. In another example, the imaging platform 108 may comprise an electronic flat panel X-ray detector. The imaging platform 108 may have an active area 109 which is responsive to the incoming X-ray radiation, whereas a remaining part of the surface of the imaging platform 108, for example a frame surrounding the active area 109, may not be responsive to the incoming X-ray radiation. The X-ray imaging device 100 may comprise a processing device 110 for controlling the radiation source 102 and the actuators 105, 107. For example, the processing device 110 may control a position and/or an orientation of the X-ray source 101 to control a position from which radiation is emitted from the radiation source 102 and/or one or more settings of the collimator 104. For example, the processing device 110 may be configured to generate control signals for controlling drive motors or other electromechanical drives of the actuators 105, 107 connected to the X-ray source 101 and the collimator 104 to control the position, orientation, and/or extent of the emitted X-ray beam 130. The processing device 110 may be implemented using hardware and/or software. For example, the processing device 110 may comprise a processor 112 programmed to perform the functions of the processing device 110. The control device 110 may further include a memory 114 arranged to store data, for example program code executable by the processor 112 to perform the functions of the processing device 110. The program code may be loaded into the memory 114 from a computer readable media, for example a DVD 118, an USB stick or via a data communication network. The program code may in particular be configured to perform a method described herein with reference to FIG. 4. Furthermore, the imaging device 100 may comprise a capturing device 116 configured to detect an arrangement of the imaging platform 108 with respect to the X-ray source 101. The capturing device 116 may comprise for example a camera, for example an optical digital camera, in particular a 3-D camera. The camera may be configured t provide one or more color channels or may be configured to provide a greyscale image. The 3-D camera may be configured to provide one or more color or greyscale channels and one or more depth channels. In some embodiments, the imaging device 100 may comprise one or more (not shown) interfaces for receiving a connection to a camera not permanently connected to the imaging device 100. The capturing device may be mechanically connected to the X-ray source 101 so that the capturing device moves together with the X-ray source 101. Accordingly, images generated by the capturing device will include an area that will be irradiated by the radiation source 102 wherever the X-ray source 101 is located. As an alternative, as shown in FIG. 1, the capturing device 116 may be arranged spaced apart from the X-ray source 101 and the imaging platform 108, but such that an image captured by the capturing device 116 shows at least the imaging platform 108 and optionally also the X-ray source 101. However, a relative arrangement between the capturing device 116 and the X-ray source 101 may be known to the processing device 110 based on a control of the actuator 107. Additionally or as an alternative, the image captured by the capturing device 116 may show the arrangement of the imaging platform 108 in relation to the X-ray source 101. The imaging platform 108 is movable and may be freely arranged as required and appropriate for taking X-ray images of an object to be examined, for example of a body part like an arm or leg of a patient. As the imaging platform 108 is freely movable, the active area 109 of the imaging platform 108 may not match to an area irradiated by the X-ray beam 130. The area irradiated by the X-ray beam 130 will be called in the following light field of the X-ray source 101. The light field of the X-ray source 101 may be defined by its outline or contour, for example by a width, a height and an orientation. The outline of the light field may be modified by rearranging the X-ray source 101, for example controlled by the processing device 110 using the actuator 107. However, due to mechanical restrictions, for example a distance between the X-ray source 101 and the imaging platform 108, or due to X-ray radiation restrictions, for example a required X-ray intensity, a matching of the light field of the X-ray beam 130 to the active area 109 of the imaging platform 108 may not be possible. Further, the width-to-height-ratio of the active area 109 and the light field of the X-ray beam 130 may not be matching. FIG. 2 shows an example of an active area 109 of the imaging platform 108 which does not match to the light field 131 of the X-ray beam 130. When the light field 131 does not match the active area 109, some significant areas may not be irradiated resulting in an incomplete X-ray image, and some not significant areas may be irradiated resulting in an unnecessary high X-ray dose for the patient. An aligned light field 131 matching to the active area 109 as shown in FIG. 3 may mitigate such drawbacks. In connection with FIG. 4, method steps will be described in the following which are executed by the processing device 110 to align the light field 131 to the active area 109 of the freely movable imaging platform 108. The method comprises method steps 401 to 408, wherein some method steps may be optional, in particular steps 402, 403, 405, 407 and 408. Steps 401 to 403 illustrate an example of detecting an arrangement of the imaging platform (X-ray detector) 108 with respect to the X-ray source 101. For example, in step 401 an image may be captured with the capturing device 116. The image may comprise at least an image of the imaging platform 108, in particular the active area 109 which is sensitive to the X-ray beam 130. The X-ray source 101 may be movable under control of the actuator 107. For example a position and orientation of the X-ray source 101 may be configurable and controllable via the actuator 107 by the processing device 110. If the position of the capturing device 116 and the current position of the X-ray source 101 is known to the processing device 110, the arrangement of the imaging platform 108 with respect to the X-ray source 101 may be determined based on the image from the capturing device 116 which shows the imaging platform 108. However, as an alternative, the image captured by the capturing device 116 may show the imaging platform 108 and the X-ray source 101 such that the relative position of the imaging platform 108 with respect to the X-ray source 101 may be determined based on the image by image processing performed by the processing device 110. In particular, the processing device 110 may automatically compute based on the image captured by the capturing device 116 a distance between the imaging platform 108 and the X-ray source 101, an orientation of the imaging platform 108 with respect to the X-ray source 101 and/or a size of the active field 109 of the imaging platform 108. In step 402, an adjustment for the X-ray source 101 may be determined by the processing device 110 based on the detected position of the imaging platform 108 with respect to the current position of the X-ray source 101. Based on the determined adjustment for the X-ray source 101, actuator 107 may be controlled by the processing device 110 to rearrange the X-ray source 101 according to the determined adjustment (step 403). As a result, the X-ray beam 130 from the X-ray source 101 should be at least coarsely directed to the imaging platform 108. At this stage, the light field 131 of the X-ray beam 130 irradiated by the X-ray source 101 may be arranged with respect to the imaging platform 108 as shown in FIG. 2. Furthermore, at this stage, also the current arrangement of the imaging platform 108 with respect to the X-ray source 101 is known to the processing device 110. In step 404 the processing device 110 determines an adjustment for the collimator 104 based on the detected position of the imaging platform 108 with respect to the X-ray source 101. This adjustment for the collimator 104 may comprise for example an adjustment of a height of the light field 131, an adjustment of a width of the light field 131 and/or an adjustment of rotation or orientation of the light field 131. There may be arrangements of the imaging platform 108 with respect to the X-ray source 101 which do not allow to align the light field 131 to the active area 109. This may be determined in step 405, and in case an aligned or optimal adjustment of the collimator cannot be achieved with the detected arrangement of the imaging platform 108 with respect to the X-ray source 101, a corresponding warning may be output in step 408 to an operator of the imaging device 100. In response to this warning, the operator may have to rearrange the imaging platform 108 and the object 106 to be examined, and the method may be continued in step 401. In case in step 405 is determined that an aligned or optimal adjustment of the collimator 104 can be achieved for the present arrangement of the imaging platform 108 with respect to the X-ray source 101, the collimator adjustment system 103 may be adjusted based on the determined adjustment for the collimator 104 in step 406. As a result, the light field 131 emitted by the X-ray source 101 and restricted and collimated by the collimator 104 and the collimator adjustment system 103 may be aligned to the active area 109 of the imaging platform 108 as shown in FIG. 3. Finally, in step 407, the processing device 110 may control the X-ray source 101 to emit the X-ray beam 130 such that an X-ray image of the object 106 may be taken by the imaging platform 108. To sum up, the collimation size is automatically adjusted according to the active area 109 of the mobile imaging platform 108 for free exposures. Thus, the maximum opening of the collimator 104 is limited to the borders of the active area 109 of the mobile imaging platform 108. This may reduce the dose for the patient as optimal collimation is possible according to the size of the active area 109 of the imaging platform 108. When the distance between the X-ray source 101 and the imaging platform 108 is changed, the collimator size may be adapted so that the collimation of the object to be examined remains the same. While the invention has been illustrated and described in detail with respect to preferred embodiments, the invention is not limited to the disclosed examples. Other variations may be deducted by those skilled in the art without leaving the scope of protection of the claimed invention. The patent claims of the application are formulation proposals without prejudice for obtaining more extensive patent protection. The applicant reserves the right to claim even further combinations of features previously disclosed only in the description and/or drawings. References back that are used in dependent claims indicate the further embodiment of the subject matter of the main claim by way of the features of the respective dependent claim; they should not be understood as dispensing with obtaining independent protection of the subject matter for the combinations of features in the referred-back dependent claims. Furthermore, with regard to interpreting the claims, where a feature is concretized in more specific detail in a subordinate claim, it should be assumed that such a restriction is not present in the respective preceding claims. Since the subject matter of the dependent claims in relation to the prior art on the priority date may form separate and independent inventions, the applicant reserves the right to make them the subject matter of independent claims or divisional declarations. They may furthermore also contain independent inventions which have a configuration that is independent of the subject matters of the preceding dependent claims. None of the elements recited in the claims are intended to be a means-plus-function element within the meaning of 35 U.S.C. § 112(f) unless an element is expressly recited using the phrase “means for” or, in the case of a method claim, using the phrases “operation for” or “step for.” Example embodiments being thus described, it will be obvious that the same may be varied in many ways. Such variations are not to be regarded as a departure from the spirit and scope of the present invention, and all such modifications as would be obvious to one skilled in the art are intended to be included within the scope of the following claims. 100 imaging device 101 x-ray source 102 radiation source 103 collimator adjustment system 104 collimator 105,107 actuator 106 object 108 imaging platform/X-ray detector 109 active area (of imaging platform) 110 processing device 112 processor 114 memory 116 capturing device 118 computer readable media 130 x-ray beam 131 light field (irradiated by x-ray beam) 401 detect position of imaging platform/x-ray detector 402 determine adjustment for x-ray source 403 adjust position of x-ray source 404 determine adjustment for collimator 405 determine, if collimator adjustment con be achieved 406 adjust collimator 407 take x-ray image 408 output warning
claims
1. A radiographic imaging apparatus comprising:a control unit housing a control section and a power source section;a panel unit housing a radiation detection panel;a connection member that rotatably connects one edge portion of each of the control unit and the panel unit so as to adopt two states: a closed state in which one face of the control unit faces one face of the panel unit, and an open state in which the one face of the control unit and the one face of the panel unit are side-by-side facing in substantially the same direction, wherein in the open state the other face of the panel unit is positioned higher than the other face of the control unit; anda support member positioned below the other face of the panel unit and supporting the panel unit when in the open state. 2. The radiographic imaging apparatus of claim 1, wherein the support member is configured so as to be attachable to and detachable from the panel unit. 3. The radiographic imaging apparatus of claim 1, wherein in the open state, the one face of the control unit that faces the panel unit in the closed state and the one face of the panel unit are at substantially the same height. 4. The radiographic imaging apparatus of claim 1, wherein the support member is a member comprising a grid that removes scattered rays of radiation due to an imaging subject during image capture. 5. The radiographic imaging apparatus of claim 1, wherein the support member is a member comprising metal that prevents back scattering during image capture. 6. The radiographic imaging apparatus of claim 1, wherein the support member is a member comprising a battery. 7. The radiographic imaging apparatus of claim 1, wherein the support member is a handle provided at a peripheral edge portion of the panel unit. 8. The radiographic imaging apparatus of claim 1, wherein the radiation detection panel is capable of detecting radiation from both a front face and a back face. 9. The radiographic imaging apparatus of claim 8, further comprising a detection component for detecting whether the control unit and the panel unit are in the closed state or the open state, wherein the control section controls such that still image capture is performed in cases in which the closed state has been detected by the detection component, and controls such that video image capture is performed in cases in which the open state has been detected. 10. The radiographic imaging apparatus of claim 1, wherein the control unit comprises, on the one face, an operation section, a display section, or a combination thereof. 11. The radiographic imaging apparatus of claim 1, wherein the support member is displaceably configured so as to be inset to the control unit when in the closed state, and to be positioned below the other face of the panel unit when in the open state. 12. The radiographic imaging apparatus of claim 1, wherein the radiation detection panel comprises a substrate formed with switch elements and layered with a charge generation layer that generates charge by irradiation with radiation, the switch elements being configured to accumulate charge generated by the charge generation layer and read out the charge, with the radiation detection panel installed in the panel unit such that the charge generation layer is on the one face side of the panel unit. 13. The radiographic imaging apparatus of claim 1, wherein an amplification circuit for amplifying an electrical signal output from a radiation detection panel, an A/D converter for converting the electrical signal amplified by the amplification circuit to digital image data, or a combination thereof, is provided inside the connection member. 14. The radiographic imaging apparatus of claim 1, wherein the control unit further comprises a communication section that performs communication with an external device. 15. The radiographic imaging apparatus of claim 14, wherein the communication section is a wireless communication section that performs wireless communication with the external device. 16. The radiographic imaging apparatus of claim 1, wherein a surface of the control unit is formed in an undulating shape. 17. The radiographic imaging apparatus of claim 1, wherein a thickness of the panel unit is thinner than a thickness of the control unit. 18. The radiographic imaging apparatus of claim 1, wherein:the radiation detection panel is configured such that radiation is converted into light in a scintillator for converting radiation into light and the radiation detection panel outputs an electrical signal expressing a radiographic image representation of this light; andthe scintillator is configured including columnar crystals of a fluorescent material. 19. The radiographic imaging apparatus of claim 18, wherein the fluorescent material is CsI.
description
This application is based upon and claims the benefit of priority from prior Japanese Patent Application No. 2007-336619 filed on Dec. 27, 2007 in Japan, the entire contents of which are incorporated herein by reference. 1. Field of the Invention The invention relates to a lithography apparatus and a lithography method and, for example a lithography method and apparatus which writes a pattern on a target object using an electron beam. 2. Related Art A lithography technique which advances the development of micropatterning of a semiconductor device is a very important process, the only process to generate a pattern in semiconductor manufacturing processes. In recent years, as integration density increases in LSIs, a circuit line width requested for a semiconductor device is miniaturized year by year. In order to form a desired circuit pattern for the semiconductor device, a high-precision original pattern (also called a reticle or a mask) is necessary. In this case, an electron beam pattern writing technique primarily has an excellent resolution, and is used in manufacture of a high-precision original pattern. FIG. 7 is a conceptual diagram for explaining an operation of a variable-shaped electron beam lithography apparatus. The variable-shaped electron beam (EB) lithography apparatus operates as described below. In a first aperture plate 410, a square, for example, rectangular opening 411 to shape an electron beam 330 is formed. In a second aperture plate 420, a variable-shaped opening 421 to shape the electron beam 330 passing through the opening 411 into a desired square shape is formed. The electron beam 330 irradiated from a charged particle source 430 and passing through the rectangular opening 411 is deflected by a deflector. The beam passes through a part of the variable-shaped opening 421 and is irradiated on a target object which is placed on a stage and coated with a resist material. The stage continuously moves in one predetermined (for example, an X direction) during pattern writing. In this manner, a square shape which can pass through both the rectangular opening 411 and the variable-shaped opening 421 is written on a pattern writing region of the target object 340. A scheme that causes a beam to pass through both the opening 411 and the variable-shaped opening 421 to form an arbitrary shape is called a variable-shaped scheme. An identifying (ID) figure to identify a mask itself is written outside a chip region for manufacturing an original semiconductor of a mask serving as a target object. For example, the figure is written by a bar-code pattern (for example, see JP-A-2001-92110). For example, when the mask is to be written, an ID figure to identify the mask itself described above is written together with a pattern to manufacture an original semiconductor at the same time. In a conventional art, to write the ID figure, a graphic data representing an ID figure is formed by using a CAD or the like in advance. The graphic data for the ID is formed by the same format as that of a pattern data for manufacturing an original semiconductor. However, the ID figure is unique to the mask. Different ID figures are used different masks. For this reason, the graphic data for the ID must be cumbersomely formed for each mask. Since the ID figure is formed by using a CAD or the like in advance, information obtained immediately before pattern writing, information such as a time of day of actual pattern writing, and the like cannot be described. However, for a user side, the information obtained immediately before pattern writing is strongly required to be written in a mask as an ID figure. As described above, the information obtained immediately before is strongly required to be written as the ID figure on the mask. However, as in pattern data for manufacturing a semiconductor, graphic data representing the ID figure must be additionally formed by an external apparatus or the like in advance, and the information obtained immediately before the pattern writing is difficult to be included in the ID figure. The invention has as its object to provide a lithography method and apparatus which can write an ID figure without forming graphic data in advance. According to an embodiment of the invention, there is provided a lithography apparatus, including a generating unit configured, by receiving character information which specifies a shape of an identification figure representing identification information of a target object, to generate pattern writing data of the identification figure on the basis of the character information; a synthesizing unit configured, by receiving a pattern writing data of a pattern written on the target object, to synthesize the pattern writing data of the pattern and the pattern writing data of the identification figure; and a pattern writing unit configured to write the pattern and the identification figure on the target object on the basis of the synthesized pattern writing data. According to an embodiment of the invention, there is provided a lithography method, including by receiving character information which specifies a shape of an identification figure representing identification information of a target object, generating pattern writing data of the identification figure on the basis of the character information; by receiving a pattern writing data of a pattern written on the target object, synthesizing the pattern writing data of the pattern and the pattern writing data of the identification figure; and writing the pattern and the identification figure on the target object on the basis of the synthesized pattern writing data. In each embodiment, a configuration using an electron beam as an example of a charged particle beam will be described below. The charged particle beam is not limited to the electron beam, and a beam such as an ion beam using charged particles may be employed. As an example of a charged particle beam lithography apparatus, especially, a variable-shaped electron beam lithography apparatus will be described below. Although an electron beam lithography apparatus will be described below as an example, the invention is not limited to the electron beam lithography apparatus. The invention can be similarly applied to a laser mask lithography apparatus. FIG. 1 is a conceptual diagram showing a configuration of a lithography apparatus according to a first embodiment. In FIG. 1, a lithography apparatus 100 includes a pattern writing unit 150 and a control unit 160. The lithography apparatus 100 is an example of a charged particle beam lithography, or “writing” apparatus. The lithography apparatus 100 writes, or “draws” a predetermined pattern on a target object 101. The pattern writing unit 150 includes a pattern writing chamber 103 and an electron lens barrel 102 arranged in an upper part of the pattern writing chamber 103. The electron lens barrel 102 includes an electron gun assembly 201, an illumination lens 202, a first aperture plate 203, a projection lens 204, a deflector 205, a second aperture plate 206, an objective lens 207, and a deflector 208. In the pattern writing chamber 103, an X-Y stage 105 is arranged, and the target object 101 serving as a pattern writing object is arranged on the X-Y stage 105. The target object 101 includes, for example, an exposure mask to transfer a pattern to a wafer in which a semiconductor device is formed. The mask includes, for example, mask blanks in which any pattern is not formed. The control unit 160 includes a pattern writing data processing unit 110, a deflection control circuit 112, a digital-analog converters (DAC) 114 and 116, a control computer 120, a keyboard (K/B) 122, a monitor 124, a memory 126, an interface (I/F) circuit 128, and magnetic disk drives 140 and 142. The pattern writing data processing unit 110, the deflection control circuit 112, the control computer 120, the K/B 122, the monitor 124, the memory 126, the I/F circuit 128, the magnetic disk drives 140 and 142 are connected to each other by a bus (not shown). The DACs 114 and 116 are connected to the deflection control circuit 112. In the control computer 120, a job (JOB) file interpreter 10, an identification (ID) pattern writing data generating unit 12, a pattern writing data synthesizing unit 14, a verifying unit 16, and a coordinate/size correcting unit 18 are arranged. In this case, in FIG. 1, processes of functions of the JOB file interpreter 10, the ID pattern writing data generating unit 12, the pattern writing data synthesizing unit 14, the verifying unit 16, and the coordinate/size correcting unit 18 in the control computer 120 may be executed by software. The functions of the JOB file interpreter 10, the ID pattern writing data generating unit 12, the pattern writing data synthesizing unit 14, the verifying unit 16, and the coordinate/size correcting unit 18 may be configured by hardware including an electric circuit. The functions may be executed by a combination of the hardware including the electric circuit and the software. The function may be executed by a combination between the hardware and firmware. When the functions are executed by the software or the combination including the software, information input to the control computer 120 or each information obtained during an arithmetic process and after the process is stored in the memory 126 in each case. In FIG. 1, other parts except for constituent parts necessary for explaining the first embodiment will not be repeated here. For the lithography apparatus 100, in general, another necessary configuration may be included as a matter of course. When electron beam pattern writing is performed, a layout of a semiconductor integrated circuit is designed, and layout data (design data) in which a pattern layout is defined is generated. The layout data is converted by an external converting device to generate pattern writing data (chip pattern writing data) which can be input to the lithography apparatus 100. The chip pattern writing data to write a predetermined pattern on a target object is stored in a magnetic disk drive 140 serving as an example of the data storage unit. When a pattern writing process is to be performed, instruction contents of the process are created as a JOB file. The JOB file is stored in the magnetic disk drive 142 serving as an example of the data storage unit through the I/F circuit 128. An operator may receive file contents from the K/B 122 serving as an input unit to perform a pattern writing process to store the created file in the magnetic disk drive 142. In the JOB file, identification information to identify a target object (mask) in which a pattern is to be written is defined as character information (ID character information). The contents of the JOB file can be displayed on the monitor 124, and the operator can perform inputting, deletion, correction, or the like of the information from the K/B 122 serving as an input unit. In the JOB file, information (size and position) of a chip frame in which chip pattern writing data is written is also preferably defined. FIG. 2 is a flow chart showing main steps in a lithography method according to the first embodiment. In FIG. 2, the lithography method according to the first embodiment executes one series of steps including the JOB file interpreting step (S102), the ID pattern writing data generating step (S104), the pattern writing data synthesizing step (S106), the verifying step (S108), the coordinate/size correcting step (S110), the pattern writing data processing step (S112), and the pattern writing step (S114). In S (step) 102, as the JOB file interpreting step, the JOB file interpreter 10 reads a JOB file from the magnetic disk drive 142. The JOB file interpreter 10 interprets the contents of the JOB file and confirms the presence/absence of ID character information. FIG. 3 is a diagram showing an example of the JOB file in the first embodiment. In FIG. 3, a layout name expressed by “LAYOUTNAME” is defined in a JOB file 20. In FIG. 3, the layout name is input as, for example, “AAAA”. Furthermore, as ID character information 0 displayed as “ID0”, information which identifies the target object 101 itself is defined. In FIG. 3, as the information which identifies the target object 101 itself, for example, a serial number is used. For example, “123456” is displayed. This may be automatically generated by the lithography apparatus 100 or may be input by an operator through the K/B 122. Furthermore, as ID character information 1 displayed as “ID1”, a time of day at which pattern writing data is input to the lithography apparatus 100 is defined. In FIG. 3, as the input time of day, for example, “INPUTDATE” is displayed. The input time of day is preferably automatically input from a timer function mounted on the control unit 160 in the lithography apparatus 100. As a matter of course, the operator may input the input time of day through the K/B 122. In addition, as ID character information 2 displayed as “ID2”, a serial number of the lithography apparatus 100 to which the data is input, a type of a mask substrate, a serial number of a PEB device used as a process performed after the pattern writing, and a serial number of a developing device are defined. In FIG. 3, the serial number (identification information) of the lithography apparatus 100 is displayed as, for example, “WRITER_123”. The type of the mask substrate is displayed as, for example, “EUVPLATE”. The serial number of the PEB device is displayed as, for example, “PEB_33”. The serial number of the developing device is displayed as, for example, “DZV_11”. Furthermore, as ID character information 3 displayed as “ID3”, a layout name (name of pattern writing data) defined by the “AAAA” is automatically input. As ID character information 4 displayed by “ID4”, a pattern writing time of day at which an actual pattern writing process is started is defined. In FIG. 3, the pattern writing time of day is displayed as, for example, “WRITEDATE”. The pattern writing time of day is preferably automatically input from a timer function mounted on the control unit 160 in the lithography apparatus 100. As a matter of course, the operator may input the input time of day through the K/B 122. As ID character information 5 displayed by “IDS”, an operator name of the lithography apparatus 100 which writes a target mask is defined. In FIG. 3, the operator name is displayed as, for example, “OPERATER”. The operator may input the operator name through the K/B 122 for example. As a matter of course, when the operator name is known in advance, the operator name may be defined in advance. Furthermore, a mask size displayed as “MASKSIZE” is defined. In FIG. 3, the mask size is input, as, for example, “6”. Furthermore, a dose of a beam displayed as “DOSE” is defined, the beam being irradiated in pattern writing. As the dose, for example, “10” is input. As ID character information displayed as “ID_DIMENSION”, standards of an ID figure, used when the ID character information is defined as a figure to be written into a pattern, is defined. In FIG. 3, the standard ID figure is displayed as, for example, “QR”. As ID character information displayed as “ID_SIZE”, a size of an ID figure is defined. In FIG. 3, the size of the ID figure is displayed as, for example, “25”. As ID character information displayed as “ID_X”, an X coordinate of a reference position of the ID figure is defined. In FIG. 3, the X coordinate of the reference position of the ID figure is displayed as, for example, “−70000”. As ID character information displayed by “ID_Y”, a Y coordinate of reference position of the ID figure is defined. In FIG. 3, the Y coordinate of a reference position of the ID figure is displayed as, for example, “−70000”. As chip frame information displayed as “CHIP1_SIZE”, a size (chip frame size) of an originally written chip pattern is defined. In FIG. 3, the chip frame size is displayed as, for example, “10000”. As chip frame information displayed as “CHIP1_X”, an X coordinate of a reference position (reference position of the chip frame) of the originally written pattern is defined. In FIG. 3, the X coordinate of the reference position of the chip pattern is displayed as, for example, “−50000”. As chip frame information displayed as “CHIP1_Y”, a Y coordinate of a reference position of a written chip pattern. In FIG. 3, the Y coordinate of the reference position of the chip pattern is displayed as, for example, “−50000”. In addition, in the JOB file 20, the presence/absence of correction of a CD dimension displayed as “CD_CORRECTION” is defined. In S104, as the ID pattern writing data generating step, the ID pattern writing data generating unit 12 receives ID character information in the JOB file 20 which defines a shape of an ID figure showing identification information of a mask and generates a pattern writing data (ID pattern writing data) of the ID figure on the basis of the ID character information. The ID pattern writing data generating unit 12 generates the ID pattern writing data of the ID figure in the same format as that of the chip pattern writing data. FIG. 4 is a diagram showing an example of an ID figure in the first embodiment. FIG. 4 shows an example in which an ID figure 30 is generated by a “QR code” (registered trademark). However, the standard of the ID figure is not limited to the “QR code” (registered trademark). FIG. 5 is a diagram showing another example of an ID figure in the first embodiment. FIG. 5 shows an example in which an ID figure 32 is generated by a “bar-code”. As another standard, an existing standard such as “PDF417”, “DataMatrix”, “Maxi”, or “Code” may be used. The ID figure may be a one-dimensional figure or a two-dimensional figure. Identification information of the mask may be stored as an ID figure written by the generated ID pattern writing data. In S106, as the pattern writing data synthesizing step, the pattern writing data synthesizing unit 14 reads chip pattern writing data from the magnetic disk drive 140. The generated ID pattern writing data is input to synthesize both the data. In S108, as the verifying step, the verifying unit 16 verifies whether a chip pattern represented by the chip pattern writing data overlaps an ID figure represented by the ID pattern writing data. FIGS. 6A and 6B are conceptual diagrams for explaining verification contents in the first embodiment. FIG. 6A shows a state in which an ID figure 42 overlaps a chip frame 40 of the target object 101. In this case, the verifying unit 16 determines NG as a verification result. The operation shifts to S110. On the other hand, FIG. 6B shows a state in which an ID figure 44 does not overlap the chip frame 40 of the target object 101. In this case, the verifying unit 16 determines OK as a verification result. The operation shifts to S112. This overlapping verification may be performed on the basis of the synthesized pattern writing data. As another method, the verifying unit 16 may verify whether the chip pattern overlaps the ID figure on the basis of the chip frame size, a reference position of the chip frame, a reference position of the ID figure, and a size of the ID figure, defined in the JOB file 20. In S110, as the coordinate/size correcting step, the coordinate/size correcting unit 18 corrects the reference position of the ID figure defined in the JOB file 20 or the size of the ID figure defined in the JOB file 20 when the ID figure 42 overlaps the chip frame 40. After the data of the JOB file 20 is corrected, the operation returns to S104. The steps in S104 to S108 are repeated to perform automatic correction to prevent the ID figure 44 from overlapping the chip frame 40 as shown in FIG. 6B. In S112, as the pattern writing data processing step, the pattern writing data processing unit 110 converts the pattern writing data into data of a format in the lithography apparatus 100 on the basis of the synthesized pattern writing data after a plurality of converting steps are performed. In S114, as the writing step, by using the data processed on the basis of the synthesized pattern writing data, the pattern writing unit 150 writes a chip pattern and an ID figure on the target object 101. More specifically, the following operations are performed. An electron beam 200 emitted from the electron gun assembly 201 serving as an example of an irradiating unit illuminates an entire area of the first aperture plate 203 having a square, for example, rectangular hole by the illumination lens 202. In this case, the electron beam 200 is shaped into a square, for example, rectangular shape. The electron beam 200 of a first aperture image passing through the first aperture plate 203 is projected on the second aperture plate 206 by the projection lens 204. A position of the first aperture image on the second aperture plate 206 is deflectively controlled by the deflector 205 to make it possible to change a beam shape and a beam size. The deflector 205 is controlled by the deflection control circuit 112 through the DAC 114. As a result, the electron beam 200 is shaped. The electron beam 200 of the second aperture image passing through the second aperture plate 206 is focused by the objective lens 207 and deflected by the deflector 208. The deflector 208 is controlled by the deflection control circuit 112 through the DAC 116. The deflection control circuit 112 is controlled by a control signal output according to the data processed by the pattern writing data processing unit 110. As a result, the electron beam 200 is irradiated on a desired position of the target object 101 on the X-Y stage 105 which continuously moves. As described above, according to the first embodiment, the data is converted into ID pattern writing data to identify the target object 101 on the basis of character information in the lithography apparatus 100. After the conversion, the ID figure can be directly written. In this manner, an input operation to the lithography apparatus 100 can be performed by character information, not the graphic data. Therefore, even though pattern writing data is not created in advance, the character information may be input to the lithography apparatus 100. Therefore, it is possible to eliminate the necessity to create the graphic data by an external apparatus or the like in advance. Furthermore, since the character information may be used, an operator of the apparatus can build information obtained immediately before the pattern writing as ID character information. Furthermore, the information obtained immediately before the pattern writing can be automatically input as ID information from a function mounted on the lithography apparatus on the basis of the character information. The “units” or “steps” described in the above explanation can be configured by programs which can be operated by a computer. Alternatively, the “units” or “steps” may be executed by not only the program serving as software but also a combination between hardware and the software, or may be executed by a combination between the hardware and firmware. When the “units” or “steps” are configured by the program, the program is recorded on a readable record carrier body such as a magnetic disk drive, a magnetic tape device, an FD, a CD, a DVD, an MO, a RAM or the like. For example, the program is stored in the memory 126. Alternatively, at least one of these recording media may be connected to the control computer 120, the pattern writing data processing unit 110, or the like. Alternatively, the record carrier body may be mounted in the control computer 120 or the pattern writing data processing unit 110. The embodiment is described with reference to the concrete examples. However, the invention is not limited to these concrete examples. Parts such as apparatus configurations and control methods which are not directly necessary for the explanation of the invention are not be repeated here. However, the necessary apparatus configurations and the necessary control methods can be arbitrarily selected and used. For example, a control unit configuration which controls the lithography apparatus 100 is not repeated in the description. However, a necessary control unit configuration can be arbitrarily selected and used, as a matter of course. All lithography methods and apparatuses each of which includes the elements according to the invention and which can be arbitrarily designed by a person skilled in the art are included in the spirit and scope of the invention. Additional advantages and modification will readily occur to those skilled in the art. Therefore, the invention in its broader aspects is not limited to the specific details and representative embodiments shown and described herein. Accordingly, various modifications may be made without departing from the spirit or scope of the general inventive concept as defined by the appended claims and their equivalents.
045338329
summary
BACKGROUND OF THE INVENTION The invention relates generally to radiation attenuation modules and systems and more particularly to a plurality of preformed modules which are assembled into the radiation attenuation system. The modules are designed to be temporarily assembled by stacking in any desired location and alignment and can conform with irregular shapes. In nuclear power plants and in dealing with radiation wastes in general, it is desirable to be able to put a portable or temporary shielding system in place with a minimum of exposure to the workers in placing and removing the attenuation system. The system should have maximum radiation attenuation as well as ease in utilization. Each worker in a radiation emitting environment typically is attired in protective clothing; however, shielding is desired when the workers have to be in a radiation area for any length of time. Further the amount of exposure to each worker should be as small as possible. In a radiation area this has typically been accomplished by controlling the time of exposure and the proximity of each worker to the radiation source. Shielding influences the amount of exposure in a time period by altering the radiation environment. The shielding decreases the amount of radiation to which each worker is exposed in a time period. Attempts to reduce the radiation exposure, such as around a reactor head during refueling operations, around boiling water generator pipes, or in waste removal, have been made. Such attempts have included placing lead shielding in the form of blankets, sheets or solid lead bricks around the radiation source or providing a frame with balloon or bag type constructions which are then filled with water. Some attempts have also been made to provide large hollow shells which are then filled with a radiation attenuation fluid. These non-integrated systems have several disadvantages including exposure between the lead members or bags. These prior art units are cumbersome to work with, generally are not free standing and are not easily adaptable to the irregular work spaces which often exist in the radiation environment. One system which has been utilized, has been formed from a plurality of solid lead pieces and precision lead bricks. The bricks can be stacked; however, they can easily be nicked or dented, the raw uncovered lead can be contaminated, they are not deformable to fit irregular shapes and they generally are too heavy to easily be placed. Raw lead also is not compatible with the nuclear power generating environment, since it will chemically react with and contaminate stainless steel. SUMMARY OF THE INVENTION The above and other disadvantages of prior art radiation attenuation systems and techniques are overcome in accordance with the present invention by providing a self-supporting modular attenuation system which easily can be assembled in any desired configuration between the radiation source and the work area. The system is formed from a plurality of radiation attenuation modules which have a shape to conform with adjacent modules when assembled by stacking onto one another in the desired alignment. The modules are formed from an outer skin and an internal radiation attenuation medium. The medium can be lead particles or compressed lead wool. The skin is substantially dimensionally stable, but allows some flexibility in conforming the modules against irregular surfaces. The modules can include a binding medium when the lead particles are utilized to prevent the particles from becoming free if the skin is ruptured. The system can include framing to assist in assembling the modules around a radiation emitting object or source.
054066018
description
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT Referring to the drawings, it is seen in FIG. 1 that the invention is generally indicated by the numeral 10. Transport and storage cask 10 is generally comprised of cask body 12, and basket 14. Cask body 12 is formed from stainless steel in the preferred embodiment. As seen in FIG. 1, cask body 12 is closed at one end and provided with suitable shield and seal means at the opposite end. The interior diameter of the upper end of cask body 12 is slightly larger than the remainder of the interior of cask body 12 to receive shield plug 16. This helps to prevent radiation leakage through the upper end. Shear ring segments 18 are positioned on top of shield plug 16 and are received in a notch 20 provided around the inner circumference of cask body 12. Shear ring segments 18 prevent operating and accident loads from being transferred to inner lid 22 which is positioned immediately above shield plug 16. Inner lid 22 is provided with a groove around its lower end necessary to fit around shear ring segments 18. Inner lid 22 may be seal welded to the inner circumference of cask body 12 as indicated at numeral 24. Outer lid 26 is fastened around its circumference to cask body 12 by bolts or studs 28. A replaceable metal O-ring 30 may be placed between outer lid 26 and the end of cask body 12 to provide an outer seal. Lifting bales 32 are provided as necessary on the exterior of cask body 12. Basket 14, best seen in FIG. 2, is formed from multiple layers of rowed carbon steel plates 34. Plates 34 have complementary shapes and partial hex grooves machined therein such that complete channels 36 for the fuel cells are formed when plates 34 are mated for insertion into cask body 12. Channels 36 are illustrated as being hexagonal but may be of any suitable shape depending on the material to be transported or stored. Plates 34 have a narrowed diameter 38 at each end and at intervals spaced apart along their length. Bands 40 are attached to each other by bolts 41 around plates 34 at each narrowed circumference point 38 to hold plates 34 in their assembled positions. The flat mating surfaces of plates 34 are machined to provide a close fit to prevent radiation leakage between plates 34. However, plates 34 may also each be provided with complementary grooves along their length to form a channel 42 between each plate sized to receive a locating key 44 that extends the full length of the plates. Each locating key 44 serves the dual purpose of holding the plates in alignment during assembly and blocking radiation from leaking between the mating surfaces at the plate junctions. Means for centering basket 14 in cask body 12 to maintain a steady state gap between the interior of cask body 12 and basket 14 are provided on cask body 12 and basket 14 in the form of centering keys 46. In the preferred embodiment, female key ways are provided at the lower end of basket that are designed to receive male keys on the inside lower end of cask body 12 while male keys are provided at the upper end of basket 14 and female key ways are provided at the upper end of cask body 12. The centering keys at the lower end of cask body 12 and basket 14 are preferably sized such that they engage basket 14 during installation in cask body 12 before the centering keys at the upper end engage. This provides for automatic location of the upper centering keys. In use, spent nuclear fuel is loaded into fuel cell channels 36 and shield plug 16, inner lid 22, and outer lid 26 are installed. Basket 14 and cask body 12 both undergo thermal expansion due to thermal radiation from the spent fuel that results in the gap between them remaining constant. During a fire transient, cask body 12 will absorb heat from the fire and undergo thermal expansion. However, due to the gap between cask body 12 and basket 14, little or none of the absorbed heat will be transferred across the gap and the gap will enlarge due to thermal expansion of cask body 12. Although the elevated temperature of cask body 12 from the fire precludes radiation of waste heat from the spent fuel, it has been determined that the peak fuel temperature will not occur until over twelve hours after the onset of the fire transient. The present invention thus provides a storage cask for spent nuclear fuel that is capable of withstanding normal operating and accident loads during transportation and normally expected fire transients. Because many varying and differing embodiments may be made within the scope of the inventive concept herein taught and because many modifications may be made in the embodiment herein detailed in accordance with the descriptive requirement of the law, it is to be understood that the details herein are to be interpreted as illustrative and not in a limiting sense.
041750047
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 1 illustrates a fuel assembly 10, oriented with its longitudinal axis in the vertical plane, including a lower end fitting assembly 11, an upper end fitting assembly 12, a plurality of elongated fuel elements 13, hollow guide tubes 14 and spacer grid assemblies 15. The fuel elements 13 and guide tubes 14 are laterally spaced and supported in a square array parallel to each other at intervals along their length by the spacer grid assemblies 15. Threaded sleeves 16, 17 welded to each end of the guide tubes 14 respectively secure the guide tubes to the lower and upper end fitting assemblies 11, 12 by lock welded nuts 21, 22 in a manner such as is described in U.S. Pat. No. 3,828,868 by F. S. Jabsen and assigned to The Babcock & Wilcox Company. Each one of the spacer grid assemblies 15, as is best shown in FIG. 3, is composed of a multiplicity of grid plates 23 which are slotted and fitted together in an "egg-crate" fashion to form the cells 24 through which the fuel elements 13 (shown in FIG. 1) and guide tubes 14 extend. The spacer grid plates 23 are generally of the type described in U.S. Pat. No. 3,665,586 by F. S. Jabsen and assigned to The Babcock & Wilcox Company and, as is shown in FIG. 2, have indentations 26 laterally extending into those cells 24 that contain fuel elements 13 for engagement and support of the fuel elements. The lengthwise edges of the grid plates 23 are provided with arcuate saddles 25 in the wall portions of the plates that form those cells 24 through which the guide tubes 14 extend. The guide tubes 14 generally have larger diameters than the fuel elements 13. The arcuate saddles 25 are generally shaped to conform to the cylindrical shape of the guide tubes. As is best shown in FIG. 2, the guide tubes 14 are formed with protuberancies 30 that radially extend the diameter of the guide tubes, and which are circumferentially spaced about the perimeter of the guide tube 14. The protuberancies 30, moreover, are formed at longitudinally aligned and spaced intervals along the guide tube length. In the guide tube 14 shown in FIG. 2, longitudinally separated groups of protuberancies are spaced apart so as to span the width of a spacer grid plate 23. Some longitudinal clearance is generally maintained between the protuberancies 30 and the grid plate 23. As is shown in FIGS. 2 and 3, each spacer grid assembly 15 (shown in FIG. 1) is restricted from longitudinal movement by the protuberancies 30 which are longitudinally aligned with the saddles 25 of the grid plates. Thus, the protuberancies 30 bear against and restrain the plates at the saddles 25 if the grid plates longitudinally shift position. The guide tube 14 shown in FIGS. 2 and 3 is formed with groups of four equidistantly circumferential or perimetrical spaced protuberancies located at intervals along the length of the guide tube. An alternative method of forming protuberancies is shown in FIGS. 4 and 5 wherein a generally cylindrical guide tube 40 is provided with a plurality of protuberancies 41 that radially extend the diameter of the guide tube 40, and are circumferentially spaced about the guide tube. The protuberancies 41 are longitudinally aligned and spaced apart along the guide tube length. The protuberancies 41, as is shown in FIG. 4, are located between the saddles 25 that are formed in the grid plate to be restrained by the protuberancies. The protuberancies 41 are spaced at ninety degree intervals about the circumference of the cylindrical guide tube 40 as is shown in FIG. 5. The guide tube protuberancies may take various shapes. FIG. 6, for example, shows the general arrangement of FIG. 2. However, cuts have been made through the protuberancy 43 edge, adjacent to the spacer grid plate 23 edges or saddles, of the guide tube 44 in order to form a lip 42 for more positively engaging the grid plate saddles 25. FIGS. 7 and 8 illustrate, in detail, the formation of the protuberancies 30 and 41 of guide tubes 14 and 40, respectively. The protuberancies, as shown, are an integral part of the guide tube walls. A guide tube is inserted through the spacer grid assemblies by orienting the protuberancies so that each projects into a corner of the cell 23, as is illustratively shown in FIGS. 4 and 5. When the guide tube has been inserted to the desired length, it is rotated approximately forty-five degrees, to bring the guide tube protuberancies into longitudinal alignment with the spacer grid plate saddles, as is best shown in FIGS. 2 and 3. As shown in FIGS. 2 and 3, the cross-section of the guide tube 14 at each protuberancy 30 is greater than the distance between the saddles 25 of parallel grid plates forming the cell 24 through which the guide tube extends. In this manner, a fuel assembly can be assembled without the need for rigidly attaching the guide tube to grid plate by welding or brazing; and, the fuel assembly can be disassembled without the need for cutting. Moreover, no additional components such as sleeves, clips or tabs, which introduce additional parasitic absorbing materials are required. As explained hereinbefore, a suitable hoist and grapple mechanism is generally utilized to transfer a fuel assembly to and from the reactor core. The handling device usually engages the upper end fitting assembly for lifting and movement of the fuel assembly. During handling of the fuel assembly, the assembly load, which bears on the lower end fitting assembly, is transferred to the guide tubes. Should a failure of all the guide tubes occur, the fuel elements will begin to slip through the spacer grid assemblies 15. The upper end of each fuel element is plugged with an upper end cap 44 (FIG. 1). The upper end cap 44, moreover, has a diametrical portion exceeding the width of the cells 24. Thus, the fuel elements 13 slip until the end cap 44 engages the uppermost spacer grid assembly. The upper spacer grid, in turn, will longitudinally slip until it is restricted by the guide tube protuberancies, thereby precluding separation of the fuel assembly into its component parts. It will be evident to those skilled in the art that changes may be made, e.g., the use of a straight edge in lieu of a saddle for engaging the protuberancies and grid plates, or the use of different multiples of protuberancies, or protuberancies having different shapes, or non-cylindrical guide tubes, without departing from the spirit of the invention covered in the claims.
abstract
The present invention provides for monitoring the health of a system. In one embodiment, a method includes storing benchmark data in a memory, storing a second plurality of system data indicative of system conditions during system operations, determining from the second plurality of system data that the system was operating at a steady state capacity level during at least one sample window of the system operations, associating the steady state capacity level of the at least one sample window with the benchmark data, retrieving first health data for parameters associated with a first health condition from the first plurality of system data, retrieving second health data for parameters associated with the first health condition from the second plurality of system data that were obtained during the at least one sample window, comparing the health data and determining if the second health data is indicative of a health condition.
042228220
abstract
This invention provides a method of operating a nuclear reactor having a negative reactivity moderator temperature coefficient with the object of maintaining a uniform and symmetric Xenon distribution above and below substantially the center of the core over a substantial axial length of the core during normal reactor operation including load follow. In one embodiment variations in the Xenon distribution are controlled by maintaining a substantially symmetric axial power distribution. The axial offset, which is employed as an indication of the axial power distribution, is maintained substantially equal to a target value, which is modified periodically to account for core burnup. A neutron absorbing element within the core coolant, or moderator, is employed to assist control of reactivity changes associated with changes in power, with the full-length control rods mainly employed to adjust variations in the axial power distribution while the part-length rodsremain completely withdrawn from the fuel region of the core. Rapid changes in reactivity are implemented, to accommodate corresponding changes in load, by a controlled reduction of the core coolant temperature. Thus, active core coolant temperature control is employed to control the reactivity of the core during load follow operation and effectively increase the spinning reserve capability of a power plant without altering the axial power distribution.
claims
1. An ionizing radiation shielding panel comprising:a core layer comprising a radiation attenuating material,a first layer on a first side of the core layer, comprising a permeable reinforcement structure; anda second layer on a second side of the core layer, opposite to the first side, comprising a permeable reinforcement structure,wherein the first layer, second layer and core layer are permeated with a binder. 2. The ionizing radiation shielding panel according to claim 1 wherein the permeable reinforcement structure of the first or second layers is a fabric and comprises glass fibre, or metal filaments, or carbon fibre, or poly-paraphenylene terephthalamide. 3. The ionizing radiation shielding panel according to claim 1 wherein the permeable reinforcement structure of the first layer or second layer, or both the first layer and the second layer comprises a woven fibre cloth, randomly orientated chopped fibre strands, or continuous filaments arranged in a mat, or an array of filaments. 4. The ionizing radiation shielding panel according to claim 1 wherein the first layer or second layer, or both the first layer and the second layer, comprises two or more sheets of the permeable reinforcement structure. 5. The ionizing radiation shielding panel according to claim 1 wherein the first layer or the second layer, or both the first layer and the second layer, comprises a binder spreader layer. 6. The ionizing radiation shielding panel according to claim 5 wherein the binder spreader layer is positioned between the permeable reinforcement structure and the core layer, and wherein the first layer or the second layer, or both the first layer and the second layer, further comprises a second permeable reinforcement structure positioned between the binder spreader layer and the core layer. 7. The ionizing radiation shielding panel according to claim 1 wherein the radiation attenuating material comprises greater than 65% of the binder permeated core layer by volume. 8. The ionizing radiation shielding panel according to claim 1 wherein the radiation attenuating material comprises an element having an atomic mass greater than 47 unified atomic mass units. 9. The ionizing radiation shielding panel according to claim 1 wherein the radiation attenuating material is barite. 10. The ionizing radiation shielding panel according to claim 1, wherein the radiation attenuating material is a particulate, and a diameter of a largest particle of the radiation attenuating material is not more than 10% of a thickness of core layer. 11. The ionizing radiation shielding panel according to claim 1 further comprising a mechanical load distribution structure. 12. The ionizing radiation shielding panel according to claim 11, wherein the mechanical load distribution structure comprises a metal sheet. 13. The ionizing radiation shielding panel according to claim 11, wherein the mechanical load distribution structure is embedded in the binder. 14. The ionizing radiation shielding panel according to claim 11, wherein the mechanical load distribution structure forms an external layer of the ionizing radiation shielding panel and is adhered to the binder. 15. An enclosure comprising a plurality of ionizing radiation shielding panels according to claim 1. 16. The enclosure according to claim 15, wherein the plurality of ionizing radiation shielding panels comprise one or more features that allow a labyrinth to be formed at a junction of at least two of the plurality of ionizing radiation shielding panels. 17. The ionizing radiation shielding panel according to claim 1, wherein at least one of the first layer and the second layer comprises a binder spreader layer, wherein the binder spreader layer is positioned between the permeable reinforcement structure of the first layer and the core layer and the permeable reinforcement structure of the second layer is positioned between the binder spreader layer and the core layer, and wherein the binder comprises a resin and permeates the binder spreader layer. 18. The ionizing radiation shielding panel according to claim 1, wherein at least one of the first layer and the second layer comprise a binder spreader layer positioned between a first mat of fibre strand and a second mat of fibre strand and the binder spreader layer comprises a resin that permeates the binder spreader layer. 19. An ionizing radiation shielding panel comprising:a core layer comprising a radiation attenuating material,a first layer on a first side of the core layer, comprising a permeable reinforcement structure; anda second layer on a second side of the core layer, opposite to the first side, comprising a permeable reinforcement structure,wherein the first layer, second layer and core layer are permeated with a binder,wherein at least one of the first layer and the second layer comprises a binder spreader layer and a second permeable reinforcement structure,wherein the binder spreader layer is positioned between the permeable reinforcement structure of the first layer and the core layer and the permeable reinforcement structure of the second layer is positioned between the binder spreader layer and the core layer, andwherein the binder comprises a resin and permeates the binder spreader layer. 20. The ionizing radiation shielding panel according to claim 19 wherein the permeable reinforcement structure of the first or second layers is a fabric and comprises glass fibre, or metal filaments, or carbon fibre, or poly-paraphenylene terephthalamide. 21. The ionizing radiation shielding panel according to claim 19 wherein the permeable reinforcement structure of the first layer or second layer, or both the first layer and the second layer comprises a woven fibre cloth, randomly orientated chopped fibre strands, or continuous filaments arranged in a mat, or an array of filaments. 22. The ionizing radiation shielding panel according to claim 19 wherein the first layer or second layer, or both the first layer and the second layer, comprises two or more sheets of the permeable reinforcement structure. 23. The ionizing radiation shielding panel according to claim 19 wherein the first layer or the second layer, or both the first layer and the second layer, comprises a binder spreader layer. 24. The ionizing radiation shielding panel according to claim 23 wherein the binder spreader layer is positioned between the permeable reinforcement structure and the core layer, and wherein the first layer or the second layer, or both the first layer and the second layer, further comprises a second permeable reinforcement structure positioned between the binder spreader layer and the core layer. 25. An ionizing radiation shielding panel comprising:a core layer comprising a radiation attenuating material,a first layer on a first side of the core layer, comprising a permeable reinforcement structure; anda second layer on a second side of the core layer, opposite to the first side, comprising a permeable reinforcement structure,wherein the first layer, second layer and core layer are permeated with a binder, andwherein at least one of the first layer and the second layer comprise a binder spreader layer positioned between a first mat of fibre strand and a second mat of fibre strand and the binder spreader layer comprises a resin that permeates the binder spreader layer. 26. The ionizing radiation shielding panel according to claim 25 wherein the permeable reinforcement structure of the first or second layers is a fabric and comprises glass fibre, or metal filaments, or carbon fibre, or poly-paraphenylene terephthalamide. 27. The ionizing radiation shielding panel according to claim 25 wherein the permeable reinforcement structure of the first layer or second layer, or both the first layer and the second layer comprises a woven fibre cloth, randomly orientated chopped fibre strands, or continuous filaments arranged in a mat, or an array of filaments. 28. The ionizing radiation shielding panel according to claim 25 wherein the first layer or second layer, or both the first layer and the second layer, comprises two or more sheets of the permeable reinforcement structure. 29. The ionizing radiation shielding panel according to claim 25 wherein the first layer or the second layer, or both the first layer and the second layer, comprises a binder spreader layer. 30. The ionizing radiation shielding panel according to claim 29 wherein the binder spreader layer is positioned between the permeable reinforcement structure and the core layer, and wherein the first layer or the second layer, or both the first layer and the second layer, further comprises a second permeable reinforcement structure positioned between the binder spreader layer and the core layer.
description
The invention relates to fabricating zirconium alloy flat products, used in particular for fabricating parts that are themselves used in fuel assemblies for light water reactors of nuclear power stations. The parts used in making up fuel assemblies for light water reactors for nuclear power stations, in particular boiling water reactors, and that need to present low capacity to absorb neutrons, are made of a zirconium alloy that may also contain, amongst other ingredients, significant quantities of elements such as Nb, Sn, Fe, Cr, and Ni. The alloy classes known as “Zircaloy 2” and “Zircaloy 4” are the classes that are used for the most part. Zircaloy 2 contains the following elements (where concentrations are expressed here and throughout the description below as percentages by weight): Sn: 1.2% to 1.7%; Fe: 0.07% to 0.20%; Cr: 0.05% to 0.15%; Ni: 0.03%-0.08%; O: 900 parts per million (ppm) to 1600 ppm. Zircaloy 4 contains the same elements with the exception of nickel, and its Fe content may lie in the range 0.18% to 0.24%. Other Zircaloy 2 type alloy classes can be used having higher contents of Fe and/or Cr and/or Ni, as can other alloys containing 0.5% to 2% Sn, 0.5% to 2% Nb; and 0.1% to 0.5% Fe, or 0.5% to 2% Sn, 0.1% to 1% Fe, and 0.1% to 1.2% Cr, or 1.5% to 3.5% Nb and 0.5% to 2% Sn. Such alloys can also contain other added elements, in addition to the usual impurities. A particularly important part of the reactor made using such alloys is the box in which the fuel-containing tubes are installed. This box must have excellent ability to withstand corrosion, and also great dimensional stability under irradiation. However the alloys in most widespread use for making the sheets from which such boxes are built present properties of growing under irradiation that prevent the burnup fraction of the reactor being as high as would be desirable. This irradiation growth is directly associated with the usually highly anisotropic texture of the flat product from which such boxes are made. Other important parts made from such flat products are the grids of pressurized or boiling water reactors, and the central tubes defining the water circulation paths. The flat products (sheet or strip) from which such parts are made must also possess mechanical properties ensuring that they have good capacity for being shaped. Proposals for improving methods of fabricating sheets for boxes are described in documents EP-A-0 835 330 and EP-A-0 795 618. EP-A-0 835 330 describes the preparation of a sheet from a zirconium alloy having strictly controlled contents of certain volatile impurities, namely 0.5 ppm to 10 ppm of Cl, 5 ppm to 20 ppm of at least one element selected from Mg, Ca, Na, and K, 100 ppm to 270 ppm of C, 50 ppm to 120 ppm of Si, and 1 ppm to 30 ppm of P. After operations for obtaining the starting sheet, including in particular β quenching when the sheet is at its final or almost final thickness, annealing heat treatment is performed after β quenching at a temperature in the range 600° C. to 800° C. in a static oven or in the range 700° C. to 800° C. in a continuous oven. After this step, the operations of bending the sheet to fabricate the box are performed. In particular because of the specified content of volatile impurities and the conditions of the β quenching, an acicular (needle-shaped) structure is obtained of the so-called “basketweave” type (i.e. presenting a basket-like pattern), with care being taken during the subsequent heat treatment not to eliminate that structure. This produces a sheet presenting good ductility and little propensity to crack during forming operations, but without degrading its properties of withstanding corrosion. EP-A-0 795 618 describes zirconium alloy sheet that experiences little irradiation growth, containing no more than 5% Sn and/or no more than 5% Nb and at least 90% Zr, with crystal orientation of <0001> in the long direction FL lying in the range 0.2 to 0.35, and presenting a difference ΔFL in the FL values between the middle in the width direction and the end in the long direction of the sheet that is less than or equal to 0.025. Those sheets are obtained after β quenching, during which temperature differences between the faces of the sheet during the heating stage of the β quenching operation are minimized as much as possible. Such sheets, therefore, have particular isotropic crystal orientations producing effects of reduced irradiation growth. Nevertheless, it turns out that those methods do not enable flat products to be produced that possess excellent properties of deformability, from the bending and stamping points of view. Such properties are essential, however, to make it easier to obtain boxes under good conditions. The objective of the invention is to propose a method of fabricating zirconium alloy flat products that present both good mechanical properties and low irradiation growth such that the flat products can be used effectively in particular, but not exclusively, in fabricating boxes for boiling water nuclear reactors. To this end, the invention provides a method of fabricating a zirconium alloy flat product, the method being characterized by: preparing or casting a zirconium alloy ingot containing at least 95% by weight of zirconium, and including the usual impurities and alloying elements; shaping the ingot in order to obtain a flat product; subjecting the flat product to a β0 quenching operation under conditions that are determined to obtain within the flat product an acicular structure at the end of said β quenching; subjecting the flat product, after the β quenching, to a rolling operation performed in a single rolling sequence without intermediate annealing, said rolling being performed at a temperature lying in the range ambient to 200° C., with a reduction ratio lying in the range 2% to 20%; and subjecting the rolled flat product to an annealing treatment in the a range or in the α+β range, performed in the temperature range 500° C. to 800° C. for 2 minutes (min) to 10 hours (h). The proportions by weight of the main elements of the alloy may be: Sn=1.2%-1.7%; Fe=0.07%-0.20%; Cr=0.05%-0.15%; Ni=0.03%-0.08%; O=900 ppm-1600 ppm in an exemplary embodiment. The proportions by weight of the main elements of the alloy may be: Sn=1.2%-1.7%; Fe=0.18%-0.24%; Cr=0.05%-0.15%; O=900 ppm-1600 ppm in another exemplary embodiment. The proportions by weight of the main elements of the alloy may be: Sn=0.5%-2%; Nb=0.5%-2%; Fe=0.1%-0.5% in another exemplary embodiment. The proportions by weight of the main elements of the ally may be: Sn=0.5%-2%; Fe=0.1%-1%; Cr=0.1%-1.2% in another exemplary embodiment. The proportions by weight of the main elements of the alloy may be: Nb=1.5%-3.5%; Sn=0.5%-2% in another exemplary embodiment. The rolling after β quenching may be performed with a reduction ratio of 5% to 16%, and within a ratio of 5% to 10%. The β quenching may be cooled at a rate of 1° C. per second (° C./s). The invention also provides a zirconium alloy flat product characterized in that it is obtained by the above method. The invention also provides a fuel assembly element for a light water reactor of a nuclear power station, characterized in that it is obtained by shaping a flat product of the above type. It may constitute a box for a boiling water nuclear reactor. It may constitute a grid for a boiling water reactor. It may constitute a grid for a pressurized water reactor. It may consist in a central tube defining water circulation paths. As will be understood, the invention provides a method of fabricating a zirconium alloy flat product adapted to obtaining an intermediate structure that is partially recrystallized, that is duplex, equiaxed, and acicular including a step of rolling cold (or at moderately high temperature), that occurs between β quenching and annealing performed in the α or the α+β domain. In the method of EP-A-0 835 330, the β quenched raw structure is generally a coarse structure having large ex-β grains lying in the range 50 micrometers (μm) to 500 μm. They are little oriented, their texture is very marked, with very high peaks in pole figures, properties that present good isotropy, and privileged crystal orientations. It appears that it is that microstructure of large ex-β grains with very marked texture that is at the origin of the difficulties in shaping the sheets. α annealing or α+β annealing has no influence on that microstructure. The inventors have imagined that an arrangement of crystals that is more random and less marked could lead to comparable isotropy for mechanical properties, thereby conserving properties that are good in terms of irradiation growth, while also being advantageous concerning mechanical properties. A structure has been obtained by rolling cold or at moderately high temperature prior to α or α+β annealing, where the rolling breaks the acicular structure and the grains that are present after the β quenching, and thus enables the texture to be softened, making it less marked, with peaks that are not so high, with properties presenting isotropy that might be a little less, but with orientations that are more random. The following α or α+β annealing generates recrystallization which leads to a texture in which isotropy is only slightly degraded, but with a microstructure presenting a mixture of equiaxed and needle grains. The microstructure is fine, which is favorable for the deformability of the material. It also turns out that the roughness of the flat product is halved, thereby improving the thermohydraulic performance of finished products, and also their stamping properties. In the invention, the cold rolling occurs at a temperature in the range ambient to 200° C. It is performed with a reduction ratio of 2% to 20% (such as in the range 5% to 15%, or in the range 5% to 10%). This reduction ratio can be obtained in one or more rolling passes, but necessarily in a single rolling sequence, i.e. without any intermediate annealing between the various passes. One or more intermediate anneals would impede or prevent recrystallization during the α or α+β annealing. The anneal performed after cold rolling in accordance with the invention is performed at a temperature in the range 500° C. to 800° C., i.e. either in the α domain or in the α+β domain of the alloy. The lowest temperatures of this range are particularly suitable for alloys having a content of Nb that is high (greater than about 0.3%). The duration of the annealing depends on the amount of deformation to which the product has been subjected during rolling and on the type of annealing installation (which may be continuous or static). To implement the invention, the method begins by preparing a zirconium alloy flat product using conventional steps of melting an ingot, generally forging the ingot, hot rolling, where appropriate in a plurality of passes optionally separated by heat treatments, generally one or more cold rolling and annealing operations, and finally β quenching of the resulting material; and then cold rolling and annealing are performed in accordance with the invention. The composition of the alloy may correspond, in its main elements, to all kinds of zirconium alloy classes conventionally used for making fuel assembly elements for nuclear reactors, in particular boxes for boiling water reactors. Examples of such classes are defined in the introduction to the present description. In general, the invention is applicable to zirconium alloys containing at least 95% zirconium. With less than 95%, there is a high risk of not obtaining the looked-for structures and properties. For all of these alloy classes, the result of the β quenching is to obtain an acicular structure. Depending in particular on the levels of impurities that are present, the structure may present a basketweave pattern that is marked to a greater or lesser extent. However such a pattern is not particularly sought after in the method of the invention, since in any event the following cold rolling modifies the structure considerably by breaking up the acicular structure. FIG. 1 shows the microstructure of a Zircaloy 4 sample having the following composition: Sn=1.44%; Fe=0.21%; Cr=0.11%; O=0.12%; Si=40 ppm,with the balance being Zr and the usual impurities, obtained from an ingot having a diameter of 660 mm and after being subjected to conventional shaping treatments (forging to a thickness of 100 mm, hot rolling to a thickness of 5 mm, annealing and a plurality of cold rolling and annealing cycles giving it a thickness of 2.50 mm, and β quenching performed by infrared heating up to a maximum temperature of 1110° C., maintaining in β phase for 93 s, and cooling at a rate of 2° C./s to 5° C./s down to ambient temperature. It can be seen that this microstructure is typical of a state following β quenching, with a mixture of parallel platelets and of basketweave. In the example shown, there can also be seen numerous twins, but that can come from the process of preparing the samples or from the coiling that followed the β quenching. FIG. 2 illustrates the sample of the same β quenched metal after being subjected to cold rolling in accordance with the invention performed at ambient temperature with a reduction ratio of 12% in one pass. The cold rolling serves to break the needles of the initial structure and to increase the number of twins. FIG. 3 illustrates a sample of the same β quenched metal, cold rolled at 12% and annealed at 700° C. for 1 h (α anneal). Partial recrystallization has taken place increasing the number of equiaxed grains (with this increase itself being greater when the reduction ratio is higher), and twins have disappeared. Table 1 shows the Kearns factors FR, FT, and FL as measured after analyzing the textures of various samples in the state following β quenching, following cold rolling and following α annealing: TABLE 1ColdrollingMetallurgicalreductionstateratio (%)FRFTFLAfter β00.3190.3350.346quenchingAfter cold50.3670.3170.316rolling80.4150.3150.27120.4750.2930.232160.4710.3220.207After cold50.4440.2970.259rolling and80.4240.3140.262α annealing120.4780.3320.19160.4990.3170.184 In this example, it can be seen that the isotropy of the sample, which was almost perfect after β quenching, was degraded only relatively little by the following cold rolling and α annealing. The pole figures of the samples show a few marked poles, including two in the normal-transverse plane at about 30° to the normal direction, and that do not disappear regardless of the reduction ratio of the cold rolling and the annealing conditions. In contrast, there is a significant reduction in the poles on the C axis at 90° to the normal direction as soon as relatively low reduction ratios of 2% are reached, and these poles disappear completely once the reduction ratio is 5%. FIG. 4 shows such pole figures as obtained on samples in the state following β quenching (FIG. 4a) and in the cold rolled state using a reduction ratio of 16% followed by annealing (FIG. 4b). The structure of the samples in accordance with the invention presents orientations that are more random than in the prior art. At a reduction ratio of more than 20%, isotropy is too degraded. To ensure that isotropy is satisfactory under all circumstances, it is recommended not to exceed a reduction ratio of 16%. From the point of view of mechanical properties, comparisons have been made between: a sample A in accordance with the prior art in that: its composition was Sn=1.33%, Fe=0.16%; Cr=0.10%; Ni=0.065%; O=0.12%, the balance being Zr and the usual impurities; and after β quenching it was subjected to α annealing at 750° C. for 3 min; and a sample B prepared by the method of the invention is that: its composition was the same as the composition of sample A; and it was subjected to β quenching, cold rolling at ambient temperature with a reduction ratio of 10%, followed by α annealing at 750° C. for 3 min. Mechanical characterization tests were performed at ambient temperature, and the results are summarized in Table 2. TABLE 2Sample ASample B(reference)(invention)TractionLong520.7518.0strengthdirection(MPaCross522.3528.3directionConventionalLong394.0408.70.2% elasticdirectionlimit (MPa)Cross411.3445.0directionBreakingLong24.024.3elongationdirection(%)Cross22.123.0directionRoughness RaLong0.450.21(μm)directionCross0.460.19direction From these results, it can be seen that when using the invention, traction strength in the cross direction increases as does breaking elongation, whereas opposite variation is usually observed for those two magnitudes. Furthermore, traction strength in the long direction is not affected in genuinely significant manner by the treatment of the invention. The invention also makes it possible to reduce the roughness of the sample by half. It should also be observed that the capacity for bending on a radius of three times the thickness (3e) is not deteriorated by the treatment of the invention. Other tests consisted in preparing samples having the composition Sn=1.46%; Fe=0.21%; Cr=0.10%; O=0.11%, the balance being zirconium and the usual impurities, and in subjecting them to the following treatment: cold rolling in the form of a sheet having a thickness of 5.6 mm; vacuum annealing at 700° C. for 2 h; cold rolling with a reduction ratio of 50%; β quenching by vacuum heating in a salt bath at 1030° C. followed either by relatively slow cooling in argon at a speed of about 4° C./min performed in a static oven, or by fast quenching in water, i.e. at a speed greater than 100° C./s; cold rolling in a single sequence without intermediate annealing and with a reduction ratio of up to 30% depending on the tests; and vacuum annealing for 2 h at 600° C. As a result of these tests, the following phenomena are provided. A reduction ratio of more than 20% is liable to lead to surface cracks appearing, if the cooling of the β quenching was slow. For both techniques taken into consideration for cooling during β quenching, the resulting structures were more heterogeneous with higher cold rolling reduction ratio. The samples that were cooled quickly present recrystallized grains greater than those of the samples that were cooled more slowly. Concerning the Kearns factors measured on the various samples (raw quenched or after annealing), the results are summarized in Table 3 which also gives the ratio of radius over thickness (R/e) measured during bending tests: TABLE 3ColdrollingMetallurgicalreductionstateratio (%)FRFTFLR/eAfter β0 (raw0.4290.2870.2842.55-2.8 quenching byquenched)vacuum 6.20.4980.2870.2152.45-2.75heating and10.40.5480.2570.1952.85-3.15cooling in15.40.4930.3030.2043.55-4.1 argon20.80.4450.3820.1743.6-4.2vacuum29.30.6040.2600.136  3-3.5After β0 (raw0.3520.3240.3242.17-2.36quenching byquenched)heating in a 5.90.3710.3360.2942.04-2.24bath of salt 8.90.4250.3520.2221.91-2.02and cooling13.70.3380.1930.1930.92-1.06in water20.50.4740.3730.1531.10-1.2027.10.4370.4150.1480.78-0.91 It can be seen that for both types of quenching, the 5 factor FL varies in similar manner, i.e. it represents isotropy that degrades progressively with increasing cold rolling reduction ratio. Above 20% it is considered to be no longer satisfactory, and in order to ensure good isotropy under all circumstances, it is preferable not to exceed 16% or better 10%. Simultaneously, the factors FR and FT vary in random manner. It can also be seen that the resistance of the samples to nodular corrosion at 500° C. was not influenced by the cold rolling reduction ratio. In contrast, it was influenced significantly by the method of cooling performed during β quenching. The samples that were subjected to a high cooling speed (water quenching) presented a weight gain of about 50 milligrams per square decimeter (mg/dm2), compared with about 175 mg/dm2 for samples that were cooled slowly (in argon). From this point of view, fast cooling is advantageous. Bending tests were also performed on the samples. A small ratio of R (radius of curvature at which cracks appear) over e (thickness of the sample) is an index of good bending ability. From this point of view, the samples that were subjected to fast cooling during β quenching were better, and their bending ability increased with increasing cold rolling ratio. The samples that were subjected to slow cooling during β quenching were less satisfactory and an increase in the cold rolling reduction ratio tended to degrade their bending ability. This contradictory influence of reduction ratio on bending ability for the two methods of cooling might be due to the structural differences obtained by those two methods. Slow cooling produces small equiaxed grains in a matrix of coarse needles, whereas fast cooling produces large equiaxed grains in a matrix of fine needles, which is more favorable to the sheet deforming easily. The positive effects of fast cooling compared with slow cooling at 4° C./min in the example begin to be perceptible for cooling rates of 1° C./s which are easy to achieve industrially, in particular by argon cooling formed by forced convection while the moving sheet is leaving a heated zone. Furthermore, tests were performed showing that, in the invention, cold rolling after β quenching should be performed in a single sequence, i.e. without any intermediate annealing. A sheet of composition identical to that of samples A and B described above was rolled hot and then cold to a thickness of 2.56 mm and was subjected to β quenching by heating to 1100° C. in 93 s and cooled at a speed of 3.6° C./s in argon. Thereafter, a reference sample was subjected to first cold roll with a reduction ratio of 8%, an intermediate anneal for 1 h at 700° C., second cold roll at a reduction ratio of 4%, and a final anneal at 700° C. for 1 h. A sample of the same sheet was subjected in accordance with the invention to a single cold rolling operation with a reduction ratio of 12% so as to lead in a single sequence to a product of thickness substantially identical to that of the reference product. That product was subsequently likewise annealed at 700° C. for 1 h. After final anneal, it was observed that the reference product presented planeness that was not as good as the product prepared in accordance with the invention. From this point of view, the rolling pass with a low reduction ratio (less than 5%) was harmful. In general, in the context of the invention, in order to obtain good planeness, it can be preferable for the first cold rolling pass to be performed with a reduction ratio of at least 5%. FIG. 5a is a micrograph taken in polarized light of the reference sample after final annealing. Its structure is still acicular with few zones that have been deformed sufficiently to recrystallize, and there remain large areas of parallel platelets. FIG. 5b shows the sample of the invention after final annealing, the micrograph being taken under the same conditions. Recrystallized zones are to be found and no areas of parallel platelets. This treatment in accordance with the invention having no intermediate annealing has thus been shown to be better at breaking the acicular structure obtained after the β quenching. The Kearns factors of the samples after final annealing are set out in Table 4. TABLE 4FRFTFLReference0.4580.3410.201Invention0.4500.3480.202 From this point of view, no significant differences are to be observed between the two samples, and these results agree well with those presented above. FIG. 6 shows the 002 and 100 calculated pole figures after final annealing for the reference sample (FIG. 6a) and for the sample in accordance with the invention (FIG. 6b). The textures of these samples are intermediate between a quenched state and a conventional recrystallized state, with one or two poles that are very fine and very intense in the normal-transverse plane at about 30° to the normal direction. There are also poles close to the transverse direction and in the longitudinal-transverse plane. The reference sample presents a high degree of asymmetry, and textures that are much more intense than the sample of the invention. These characteristics are unfavorable for isotropy and for stampability of the material. To obtain products that are satisfactory from the point of view of their mechanical properties, it is therefore necessary to avoid proceeding with one or more intermediate anneals between two cold rolling passes after the β quenching. However it is possible to perform cold rolling in a plurality of passes without intermediate anneals, providing the total reduction ratio remains less than or equal to 20%. One possible explanation for the unfavorable effect of the intermediate anneals is as follows. If a quenched material with an acicular structure is deformed by a few percent, then not all of the needles constituting the grains are deformed, but only those which are in the more favorable orientations relative to the applied deformation. If the material is annealed, these deformed grains will be restored or recrystallized, and will therefore become more deformable. During subsequent deformation they run the risk of deforming preferentially and of preventing the other needles from breaking, thereby impeding the refining of the structure that is sought in the invention. In contrast, if after the first cold roll an additional cold roll is performed without intermediate annealing, that can break the needles that were not broken in the first roll, particularly since the needles deformed in the first roll have been hardened and have therefore become less deformable. The looked-for refining of the structure can therefore be obtained, for given reduction ratio, either by a single cold roll serving on its own to break a relatively large number of needles, or else by a succession of cold rolls without intermediate anneals serving to break needles early to a greater or lesser extent depending on their orientations. Overall, the mechanical properties of flat products (sheets or strips) obtained by the method of the invention make them more deformable and stampable than prior art flat products. They are thus better adapted specifically to fabrication by various forming methods to produce parts for use in making up fuel assemblies for power station nuclear reactors, since otherwise their isotropy is degraded little or not at all compared with flat products of the prior art. A preferred application of the invention is making boxes for boiling water reactors. Grids and central tubes can also advantageously be obtained using flat products prepared by the method of the invention.
041558081
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention This invention relates to fittings for nuclear reactor fuel elements and, more particularly, to orifice rod assemblies that expose the ends of the fuel rods for inspection, and the like. 2. Description of the Prior Art Nuclear reactors generally have a core that generates heat as a result of the fission processes which occur in a critical concentration of uranium within that core. Usually, in power reactor systems, this critical concentration of uranium is assembled by loading pellets or uranium dioxide into hollow tubes, or fuel rods. These loaded fuel rods are then grouped into relatively rigid sub-assemblies, or fuel elements, which are placed together within the reactor pressure vessel in order to form the reactor core. In addition to the array of fuel rods, these fuel elements also have in many cases a number of other rods, of which control rods and "burnable poison" rods are typical. Usually, these control and "burnable poison" rods are distributed throughout respective fuel rod bundles in order to control the level of fission process activity and hence, the power output from the reactor core as well as to increase the useful life of the reactor core. As a general matter, the control rods each are received within respective guide tubes, the group of rods for a particular fuel element being joined together at one end by means of a casting that is referred to as a "spider." This configuration gangs together all of the control rods in one fuel element to enable these rods to move as a single group in a direction that is parallel to the longitudinal axes of the fuel rods. The range of this ganged control rod movement, moreover, extends during reactor operation from fully inserted into the fuel element to fully withdrawn from the fuel element. In contrast to these control rods, the "burnable poison" rods do not move relative to the fuel rods while the fuel element is in place within the reactor core. In a manner that is similar, however, to the control rods the "burnable poison" rods in a particular fuel element are joined together at one end by means of a cast metal "spider," or orifice rod assembly. As the fissionable material within the fuel elements that comprise the reactor core is consumed, to obtain maximum core life it frequently is advisable from time-to-time to shift the relative locations of the individual fuel elements within the core. In these circumstances, it often is preferable to relocate fuel elements that accommodate control rods at a new position within the core in which the fuel element should not house control rods, but "burnable poison" rods instead or, perhaps, no rods at all. Similarly, fuel elements without control or "burnable poison" rods now might be ideally relocated in places in which one of these two rod types should be housed within the element. Naturally, fuel elements with "burnable poison" rods also might be subject to repositioning at a station in which control rods, or no rods of either type would be more suitable. Because these three types of fuel elements require different fittings, relocation of partially used fuel elements is conducted on a less than optimum repositioning schedule to avoid the need to modify the now radioactive fuel elements to accomodate the particular configuration of control rod, "burnable poison" rod (or neither of these two rods) that characterizes the new fuel element section. On the other hand, if optimum repositioning is desired, necessary modifications to the fuel elements must be carried out in a cumbersome and expensive manner with remote handling equipment. Further in this respect, the empty control rod guide tubes in those fuel elements that do not have either control rods or "burnable poison" rods present special thermal problems. Typically, to remove heat from the reactor core, the void spaces within the core are filled with flowing, pressurized water. In this situation the empty guide tubes in these fuel elements tend to channel relatively cold water from the inlet to the reactor core through to the core coolant outlet. This colder water mixes with the hot water that is discharging from the core and thereby produces an undesirable decrease in the average temperature of the coolant that flows from the core. Under the conditions of pressure, heat, radiation and high velocity water flow, the structural integrity of the individual fuel rods as well as the fuel elements into which they are grouped also is of fundamental importance. Accordingly, to retain the fuel rods within their relative positions in the respective fuel elements, sturdy cast metal "end fittings" are used to engage both of the ends of each of these fuel rods and the control rod guide tubes as well as at least one end of the "burnable poison" rods in those fuel elements which have this type rod. The lower end of the entire group of fuel elements that constitute the reactor core usually is supported on a grid-like structure, or lower grid plate, that sustains the weight of the fuel elements against the force of gravity. This lower grid plate also distributes the pressurized coolant that flows into the reactor core in a manner that insures, insofar as it is possible, that there is a generally uniform temperature distribution within the core and that "hot spots" in the reactor core are largely eliminated. Because the coolant flows upwardly during reactor operation at a substantial pressure and flow velocity, there is a tendency for the hydraulic forces to lift the fuel elements from the lower grid plate. To counter this effect the reactor also is provided with a grill-like upper grid plate. In this instance portions of the upper end fitting bear directly against metal pads that protrude downwardly from this upper grid plate, thereby engaging the fuel elements and retaining them in proper respective position against these hydraulic forces and the spring-loaded upper end fitting. As a matter of sound engineering practice, moreover, it is customary to inspect each fuel rod at generally regular intervals during the operational lifetime of the reactor core. After reactor operation has commenced, the fuel rods become radioactive and hence these routine inspections necessarily must be conducted with remote handling equipment in suitably shielded conditions. To carry out these inspections, it has been the practice to shut down the reactor and withdraw from the core the fuel elements that contain the fuel rods which are to be examined. These fuel elements are subsequently dismantled and the fuel rods are inspected individually in a "sipping" can in which a radiation detector checks the radioactivity of a test fluid that flows from the can in order to identify a notably high level of radioactivity which is indicative of a ruptured, defective rod. This rather tedious procedure of remote disassembly, inspection and reassmbly is required for a number of reasons, not the least of which is the presence of the massive cast metal "spider" for the control rods or the "burnable poison" rods, depending on the nature of the particular fuel element These monolithic castings block direct observation and alignment between the individual fuel rod ends and a collimated radiation detector, thereby preventing the detector from identifying specific defective fuel rods. Further in this respect, the cast control and "burnable poison" rod "spiders" are quite expensive. These "spider" fittings also require very careful inspection to guard against flaws and those other defects that are frequently encountered in complicated cast shapes which must operate in hostile environments. In these circumstances there is a need for a less expensive but equally durable control and "burnable poison" rod "spider" that will not obstruct fuel rod inspection, but will expose the individual rods for examination without imposing a need to dismantle the entire fuel assembly. There is, of course, a further need for a more flexible control and "burnable poison" rod assembly that will permit optimum fuel element relocation with the reactor core without requiring specific modifications or producing undesirable thermal effects. SUMMARY These and other difficulties that result from the use of monolithic cast control rod and "burnable poison" rod spiders which have characterized the prior art are overcome, to a large extent, through the practice of the invention. More specifically, in accordance with a salient feature of the invention an open lattice of interlocking plates is provided to support an array of control rod guide tubes or "burnable poison" rods. This lattice forms an open cellular structure that not only exposes the fuel rod ends to simplify routine fuel rod integrity inspections but also is a less expensive structure that matches the strength of the prior art monolithic cast "spiders." This cellular grid construction, moreover, avoids the more difficult quality assurance problems that usually are involved in the manufacture of cast metal products and especially those products that have complicated shapes. Perhaps most important however, is the flexibility that the instant invention affords in partially used fuel element relocation within a reactor core. The illustrative grid structure provides interchangeability between control rod, "burnable poison" rod and open guide tube types of fuel element without imposing a need for structural modification to the fuel element. With respect to the thermal problems, moreover, that have characterized the open control rod guide tube type of fuel element, plugs to close these guide tube flow channels are incorporated into the grid structure of the orifice rod assembly. In this manner, the bypassed flow through these guide tubes that has tended, in the prior art, to degrade the temperature of the coolant flowing from the reactor core is stopped. Accordingly, the invention not only provides greater flexibility, economy and efficiency in extending the commercially useful life of nuclear reactor cores in an inexpensive and reliable manner, but also overcomes a thermal inefficiency that has been troublesome in the past. The various features of novelty which characterize the invention are pointed out with particularity in the claims annexed to and forming a part of this specification. For better understanding of the invention, its operating advantages and specific objects attained by its use, reference should be had to the accompanying drawing and descriptive matter in which there is illustrated and described a preferred embodiment of the invention .
claims
1. A method for packaging radioactive wastes, wherein the following successive steps are carried out:a/ radioactive wastes are treated for which the composition of the dry extract after calcination at 950° C., called starting composition hereafter, comprises at least 90% of compounds selected from CaO, Fe2O3, SiO2, Al2O3 and B2O3, and the composition of said wastes is supplemented so as to attain a target composition of said supplemented wastes after calcination, andb/ said supplemented radioactive wastes are melted andc/ said melt is cast in a container, so as to obtain after cooling, a monolith product comprising a synthetic either glassy or vitro-crystalline rock, having said target composition,wherein said starting composition and said target composition meet the following definitions, in a ternary (CaO, SiO2 and X2O3) system, wherein X2O3 is a trivalent oxide or a mixture of trivalent oxides selected from Al2O3, Fe2O3 et B2O3:for said starting composition:PC and PX are less than 90%, andPS is less than 75%, andfor said target composition:PC is from 35 to 60%, andPS is from 10 to 45%,with, in both cases:PC+PS+PX=100%, andPX=PA+PH+PB, withPC=[MC/(MC+MS+MA+0.28MH+2MB)]×100%, andPS=[MS/(MC+MS+MA+0.28MH+2MB)]×100%, andPA=[MA/(MC+MS+MA+0.28MH+2MB)]×100%, andPH=[0.28MH/(MC+MS+MA+0.28MH+2MB)]×100%, andPB=[2MB/(MC+MS+MA+0.28MH+2MB)]×100%, andPi and Mi, with i=C, S, A, H or B, are the mass percentages (Pi) and the masses (Mi) of CaO (i=C), SiO2 (i=S), Al2O3 (i=A), Fe2O3 (i=H) et B2O3 (i=B) respectively. 2. The method according to claim 1, wherein:in step b/, the radioactive wastes are heated in a crucible and are melted at a temperature of 1,250 to 1,650° C., andin step c/, said melt is cast into a container, with a capacity of at least 200 L, so as to form said monolith and said thereby melt packaged is cooled, without any annealing, down to room temperature, within a period of less than 15 days. 3. The method according to claim 2, wherein in step c/, the cooling step is carried out in two steps, namely:c.1/ the cooling rate of said container filled with said melt is limited in the cooling phase between 1,250 and 1,000° C., to a cooling rate comprised between 50° C./h and 250° C./h, andc.2/ the cooling of said container is then completed from 1,000° C. down to room temperature, without any limitation of the cooling rate, by placing said container in open air or quenching it in cold water until its temperature is lowered down to room temperature. 4. The method according to claim 1, wherein said radioactive wastes comprise of limestone soil, concrete rubble, sludges from nuclear power plants, concentrates from evaporators of nuclear power plants, sand, and/or ashes of incinerated radioactive waste. 5. The method according to claim 1, wherein said initial radioactive wastes have an starting composition meeting the following definition in the ternary CaO/SiO2/X2O3 system, wherein X2O3 and Pi have the meanings given in claim 1, with:Pc and PX are less than 75% and Ps is less than 60%. 6. The method according to claim 1, wherein said target composition corresponds to the following mass percentages:PC comprised between 40 and 50%, andPS comprised between 20 and 40%. 7. The method according to claim 1, wherein in step a/, the following steps are carried out, wherein:a.1/ a limestone soil and/or concrete rubble are treated for which said starting composition meets the following definition in a ternary CaO/SiO2/X2O3 system, wherein X2O3 and Pi have the meanings given in claim 1:PC is comprised between 30 and 80%, andPx is less than 20%, anda.2/5 to 50% of X2O3 selected from Al2O3, Fe2O3 and B2O3 are added. 8. The method according to claim 7, wherein in step a.2/, a B2O3 addition of less than 10% of the mass of radioactive wastes to be treated is carried out and/or PB is less than 15% in said target composition of the obtained monolith. 9. The method according to claim 8, wherein in step a.2/:the B2O3 addition is less than 5% of the mass of radioactive wastes to be treated in step a.1/ and/or PB is less than 7% in said target composition of the obtained monolith, andthe addition of Al2O3 and Fe2O3 is greater than 10% of the mass of radioactive wastes to be treated in step a.1/, and/or PX is greater than 15% in said target composition of the obtained monolith. 10. The method according to claim 1, wherein the mixing of radioactive wastes of different compositions is achieved in order to obtain said target compositions, without adding non-radioactive trivalent oxide(s) selected from Al2O3, Fe2O3 and B2O3. 11. The method according to claim 5, wherein the method is proceeded with the mixing of:1/ said limestone soil and/or said concrete rubble of said following starting compositions:PC comprised between 50 and 80% and PX less than 20%, and2/ a sludge of radioactive wastes, preferably a sludge from a nuclear power station, of said following starting composition:PX comprised between 10 and 70% and PC less than 50%. 12. The method according to claim 7, wherein in step a/, the following steps are carried out wherein:a.1/ limestone soil and/or concrete rubble are treated, for which said starting composition meets the following definition in the ternary CaO/SiO3/X2O3 system:PC is comprised between 50 and 80%, andPS is comprised between 20 and 50%, andPX is less than or equal to 20%, X2O3 being a trivalent oxide or a mixture of trivalent oxides selected from Al2O3 and Fe2O3, and PB=0, anda.2/ the additive containing X2O3 is added in order to attain said following monolith target composition in the CaO/SiO3/X2O3 ternary system:PC is comprised between 35 and 55%, andPS is comprised between 15 and 40%, andPX is comprised between 10 and 45%. 13. The method according to claim 1, wherein the large particles with a size of more than 1 cm, are extracted from the limestone soils so that the composition of the fine portion approaches the target composition, so that it attains said target composition. 14. The method according to claim 1, wherein:in step b/, said additional radioactive wastes are melted by Joule effect heating, by means of graphite electrodes immersed in the material of radioactive wastes to be treated, andin step c/, a biphasic product is obtained, comprising a synthetic rock matrix having said target composition wherein in X2O3 is selected from Al2O3 and B2O3, said matrix incorporating cast iron inclusions. 15. The method according claim 2, wherein:before introducing said radioactive wastes into said crucible, milling of said radioactive wastes is carried out in order to obtain a grain size of less than 5 cm of at least one portion of the particles which it contains, andthe fumes released during the melting of the radioactive wastes are cooled to less than 200° C. and the gaseous radio-elements such as cesium, which they contain, are trapped in a particle filter.
041464290
abstract
A dispersement apparatus for an enlarged mass of fissionable material which causes the liquid fissionable material to move by gravity into a first passage means, the first passage means being connected to a plurality of second passages with the fissionable material separating into the second passages, each second passage being connected to a plurality of third passages which results in the liquid fissionable material being further separated. Each of the passages will contain adjacent the junction thereof with the previous passage a quantity of low melting point material, such as lead or tin. The heat of reaction of the fissionable material will readily melt this meltable material prior to entering the passage. The free end of the third passage may extend within a sand base with explosive means being located therewith to further and ultimately very finely disperse the fissionable material.
description
The present application claims priority from Japanese Patent application serial no. 2009-298093, filed on Dec. 28, 2009, the content of which is hereby incorporated by reference into this application. 1. Technical Field The present invention relates to a core of a light water reactor and a fuel assembly and more particularly to a core of a light water reactor and a fuel assembly preferably applied to a boiling water reactor. 2. Background Art When actinide nuclide having many isotopes burns in a core in a state that it is enriched in a nuclear fuel material in fuel assemblies loaded in a core of a light water reactor, the actinide nuclide transfers successively among the isotopes by nuclear reaction such as neutron capture and nuclear fission. In the actinide nuclide, since odd-numbered nucleus that has a large nuclear fission cross section with respect to a resonance and thermal neutrons, and even-numbered nucleus that undergoes fission only for fast neutrons are present, in general, isotopic composition in the actinide nuclides included in the fuel assembly largely change as the actinide nuclides burn. It is known that this isotopic composition change depends on the neutron energy spectrum at the position at which the fuel assembly is loaded in the core. Current light water reactor uses slightly enriched uranium as nuclear fuel. However, since the natural uranium resource is finite, it is necessary to successively replace fuel assemblies used in the light water reactor with recycle fuel assemblies including a nuclear fuel material which is formed by enriching depleted uranium, which is a residual after uranium enrichment, natural uranium, thorium, or degraded uranium with the transuranic nuclide (hereinafter referred to as TRU) extracted from the spent fuel assemblies of the light water reactor. Further, depleted uranium, natural uranium, thorium, degraded uranium, and TRU are referred to as a nuclear fuel material. The fuel assembly having the nuclear fuel material is loaded in the core of the light water reactor. It is desirable that U-233 newly generated by absorbing neutrons by the TRU and thorium are recycled as a useful resource over a very long period during which a commercial reactor is predicted to be necessary and during the period, the quantities of TRU and U-233 always increase or be maintained almost constant. In the light water reactor occupying most of the current commercial reactors, the technology of realizing a breeder reactor for increasing or maintaining almost constant the quantity of fissionable Pu while the nuclear fuel material burns, is described in Japanese Patent 3428150 (U.S. Pat. No. 5,812,621) and R. TAKEDA et al., Proc. of International Conference on Evaluation of Emerging Nuclear Fuel Cycle Systems. GLOBAL '95 Versailles, France, September, 1995, P. 938. In the light water reactor realizing the breeder reactor described in Japanese Patent 3428150 and R. TAKEDA et al., Proc. of International Conference on Evaluation of Emerging Nuclear Fuel Cycle Systems. GLOBAL '95 Versailles, France, September, 1995, P. 938, a plurality of fuel assemblies, each of which has a hexagonal transverse cross section, are disposed in the core, each fuel assembly being formed by closely arranging a plurality of fuel rods in a triangular grid. In the core of this light water reactor, the amount of water around the fuel rods is lessened due to the close arrangement of the fuel rods, and thereby the proportion of resonant energy neutrons and fast energy neutrons are increased. In addition, the height of a mixed oxide fuel section of the TRU is reduced and blanket zones loaded with depleted uranium are disposed above and below the mixed oxide burning part so as to maintain a negative void coefficient, which is a safety criterion. The core is formed in two stacked stages by applying the concept of a parfait-type core described in G. A. Ducat et al., “Evaluation of the Parfait Blanket Concept for Fast Breeder Reactors”, MITNE-157, January, 1974, thereby a breeding ratio of 1 or more is ensure, keeping the economy. To recycle TRU, the reprocessing of spent fuel is indispensable. Due to a fear that consumer TRU is diverted to weapons of mass destruction, there has been an increasing demand for nuclear non-proliferation and thereby restrictions on TRU recycling have been severe. Further, it is certain that an electric power generating system superior to a fission reactor is put into practical use on some day in the future. At that time, the value of TRU is lowered from a very useful fuel equivalent to enriched uranium to a cumbersome long-life waste material. Therefore, in order to spread a light water reactor using uranium as nuclear fuel widely in the world, to prepare the disposal method of TRU remaining in the spent nuclear fuel, that is, a TRU burner reactor for fissioning the TRU to a fission product is a most important object in the nuclear power development. Japanese Patent Laid-Open No. 2008-215818 and R. TAKEDA et al., Proc. of International Conference on Advanced Nuclear Fuel Cycles and Systems. GLOBAL '07 Boise, USA, September, 2007, P. 1725, propose a light water breeder reactor for keeping the isotopic composition of the TRU almost constant and recycling the TRU and the TRU burner reactor for permitting the TRU to fission in order to realize multiple-recycling for repeatedly executing the recycling for reusing the TRU obtained by reprocessing the spent nuclear fuel as new nuclear fuel. The light water breeder reactor has a core for recycling nuclear fuel in a state that the TRU quantity is kept constant or is increased and loading the fuel assemblies increasing the burn-up and nuclear proliferation resistance. The TRU burner reactor is a nuclear reactor for successively gathering the TRU while decreasing the TRU recovered by reprocessing the nuclear fuel by nuclear fission and permitting all the TRU to fission excluding the last one core in order to prevent the TRU from becoming a long-life radioactive waste material, when the light water reactor reaches an ending time of the mission. The light water reactor described in R. TAKEDA et al., Proc. of International Conference on Evaluation of Emerging Nuclear Fuel Cycle Systems. GLOBAL '95 Versailles, France, September, 1995, P. 938 and R. TAKEDA et al., Proc. of International Conference on Advanced Nuclear Fuel Cycles and Systems. GLOBAL '07 Boise, USA, September, 2007, P. 1725 for recycling the TRUs recovered from the spent nuclear fuel, to meet the design criteria for abnormal transient and accidents, keeps the TRU quantity constant with a sufficient safety margin, effectively uses the TRUs as seeded fuel, and burns all depleted uranium, thereby realizes long-term stable energy supply. Furthermore, such a recycle reactor can be realized as permits all the TRUs to fission and preventing the TRUs from becoming a long-life waste material when the nuclear fission reactor ends the mission and thus the TRUs become unnecessary. Patent Literature 1: Japanese Patent 3428150 Patent Literature 2: Japanese Patent Laid-open No. 2008-215818 Non Patent Literature 1: R. TAKEDA et al., Proc. of International Conference on Evaluation of Emerging Nuclear Fuel Cycle Systems. GLOBAL '95 Versailles, France, September, 1995, P. 938 Non patent Literature 2: G. A. Ducat et al., “EVALUATION OF THE PARFAIT BLANKET CONCEPT FOR FAST BREEDER REACTORS”, MITNE-157, January, 1974 Non patent Literature 3: R. TAKEDA et al., Proc. of International Conference on Advanced Nuclear Fuel Cycles and Systems. GLOBAL '07 Boise, USA, September, 2007, P. 1725 Non patent Literature 4: W. S. Yang et al., A Metal Fuel Core Concept for 1000 MWt Advanced Burner Reactor GLOBAL '07 Boise, USA, September, 2007, P. 52 Further, in the spread of the light water reactor, an apprehension of a TRU newly produced by the light water reactor to become a long-life radioactive waste material and a fear of the TRU to be diverted to weapons of mass destruction are large faults. The movement is becoming stronger of intending to remove the faults for the spread of the light water reactor finally, by establishing a technology of reducing the number of spent fuel assemblies to a small number as far as possible by permitting the TRU from the light water reactor to fission anyway and repeating the recycling in a state of the isotopic composition of a high nuclear non-proliferation resistance, before the TRU covers a role as seeded fuel for permitting the depleted uranium for long-term stably energy supply to fission. And, if the technology can be executed only by changing the fuel assemblies in the light water reactor in operation at present, it is more desirable. In recent years, there is a movement of making the concept for the safety of the nuclear reactor severe. For example, a core of a higher safety potential having a safety margin capable of sufficiently responding to an accident (anticipated transient without scram (ATWS)) beyond the limits of the design criteria of a composite event such that all the control rods cannot be inserted when core flow rate is suddenly reduced from some cause is required. Therefore, the inventors supposed a state that the overall core is filled with steam (a state that the overall core becomes 100% void) which is an event considered to be severest and examined a further improvement of the margin for intrinsic safety of the light water reactor. Even though the overall core becomes 100% void, positive reactivity is applied to the core. The application of the positive reactivity must be avoided and the margin for the intrinsic safety of the light water reactor must be improved more. An object of the present invention is to provide a core of a light water reactor and a fuel assembly capable of more improving the safety margin without impairing the economical efficiency of fuel of the light water reactor. A feature of the present invention for attaining the above object is a core in which a nuclear fuel material zone having nuclear fuel material including transuranic nuclides is formed in the core and a neutron absorbing member is disposed above the nuclear fuel material zone having a height within the range from 20 cm to 250 cm. In the core in which the nuclear fuel material zone having a height within the range from 20 cm to 250 cm is formed, even though the overall core becomes a state of 100% void from some cause during the operation of the light water reactor, the neutron absorbing member disposed above the nuclear fuel material zone absorbs the neutrons leaking from the nuclear fuel material zone because quantity of neutrons leaking out from the nuclear fuel material zone is large. Therefore, even though the overall core becomes the state of 100% void, positive reactivity is not applied to the nuclear fuel material zone because the leaked neutrons which are returned to the nuclear fuel material zone by reflecting on a component member existing outside the nuclear fuel material zone is extremely reduced in quantity. Consequently, the margin for the intrinsic safety of the light water reactor can be improved, thus the safety margin can be improved more without impairing the economical efficiency of fuel of the light water reactor. The zone where the nuclear fuel material is arranged in the core is a nuclear fuel material zone. The height of the nuclear fuel material zone is the same as an active fuel length of a fuel assembly. It can also attain the above object that in each fuel rod included in the fuel assembly loaded in the core, an outside diameter of a plenum formed above the nuclear fuel material zone including the transuranic nuclide of the fuel rod is 3 mm or more and is smaller than an outside diameter of the fuel rod in the nuclear fuel material zone. Length of the plenum is within a range from 400 mm to 2500 mm. The outside diameter of the portion of the plenum of the fuel rod at the length within the range from 400 mm to 2500 mm is 3 mm or more and is smaller than the outside diameter of the fuel rod in the nuclear fuel material zone, so that even when a composite event beyond limits of the design criteria (a first accident beyond the design basis accident which will be described later) such that core flow rate is suddenly reduced from some cause during the operation of the BWR and all control rods cannot be inserted into the core occurs, the leaked neutrons which are returned to the nuclear fuel material zone by reflecting on a component member for demarcating the plenum is reduced in quantity. Therefore, even when the first accident beyond the design basis accident occurs, the void coefficient becomes negative due to the intrinsic safety of the BWR, so that by the operation of a high pressure core injection system, reactor power is spontaneously reduced to the power that the fuel rods are coolable, and the safety margin of the core is increased. Furthermore, the volume of the plenum is increased, so that the soundness of the fuel rod is increased. Therefore, the safety margin can be improved more without impairing the economical efficiency of fuel of the light water reactor. It can also attain the above object that in the nuclear fuel material zone in the core, an upper blanket zone, an upper fissile zone where nuclear fuel material including transuranic nuclides exists, an internal blanket zone, a lower fissile zone where the nuclear fuel material including the transuranic nuclides exists, and a lower blanket zone are formed in this order from above in the axial direction of the core and a rate of fissionable plutonium occupying in all the transuranic nuclides in the lower fissile zone is made larger than a rate of the fissionable plutonium occupying in all the transuranic nuclides in the upper fissile zone. The thermal margin in the lower fissile zone is decreased and the thermal margin in the upper fissile zone is increased because a rate of fissionable plutonium occupying in all the nuclear fuel materials in the lower fissile zone is larger than a rate of fissionable plutonium occupying in all the nuclear fuel materials in the upper fissile zone. Since void fraction in the upper fissile zone is higher than void fraction in the lower fissile zone, the increase degree of the thermal margin in the upper fissile zone becomes larger than the decrease degree of the thermal margin in the lower fissile zone, thus in the overall core, the thermal margin is increased. Thus, the safety margin of the light water reactor can be improved more without impairing the economical efficiency of fuel of the light water reactor by that the rate of fissionable plutonium occupying in all the nuclear fuel materials in the lower fissile zone is larger than the rate of fissionable plutonium occupying in all the nuclear fuel materials in the upper fissile zone, because the thermal margin is increased and the safety margin is increased as mentioned above. It is another characteristic that a plurality of fuel assemblies including transuranic nuclides which are different in the recycle frequency are loaded in the core, and among the fuel assemblies, a plurality of fuel assemblies including the transuranic nuclides having the smallest recycle frequency are disposed in a central region of the core, and between the central region and an outermost layer region of the core, the fuel assemblies including the transuranic nuclides having larger recycle frequencies are disposed on the side of the outermost layer region of the core. By forming the core disposing the fuel assemblies as mentioned above based on the recycle frequency of the transuranic nuclides included in the fuel assemblies, the number of spent fuel assemblies can be decreased. Namely, by disposing the fuel assemblies including the transuranic nuclides having larger recycle frequencies among the plurality of fuel assemblies loaded in the core on the side of the outermost layer zone of the core, even though the overall core becomes the state of 100% void, the shift of the power distribution in the radial direction toward the central region of the core can be moderated and the number of spent fuel assemblies can be decreased. The nuclear fuel reprocessing is performed for the spent nuclear fuel included in the spent fuel assemblies taken out from the nuclear reactor. The transuranic nuclides included in the spent nuclear fuel are retrieved by the nuclear fuel reprocessing for the spent nuclear fuel and using the retrieved transuranic nuclides, a fresh fuel assembly is manufactured. The fresh fuel assembly is loaded in the core of the nuclear reactor, during a predetermined operation cycle number, is used in the nuclear reactor, and then is taken out from the nuclear reactor as a spent fuel assembly. The nuclear fuel reprocessing is executed for the spent nuclear fuel included in this spent fuel assembly taken out and the transuranic nuclides are retrieved. As mentioned above, the transuranic nuclides are recycled and used. The recycle frequency of the transuranic nuclide is frequency that the transuranic nuclide is retrieved from the spent nuclear fuel by the nuclear fuel reprocessing, is included in a fresh fuel assembly, and is used in the nuclear reactor. (A1) In the core of the light water reactor loading a plurality of fuel assemblies having the nuclear fuel material including a plurality of isotopes of the transuranic nuclide, having the nuclear fuel material zone, the height of which is within the range from 20 cm to 250 cm, including a nuclear fuel material, and the height of the nuclear fuel material zone is within the range from 20 cm to 250 cm, and disposing the neutron absorbing member above the nuclear fuel material zone, more preferable constitutions will be explained below. (A2) Preferably, in A1 aforementioned, it is desirable that the fuel assembly has a lower fuel support member for supporting each lower end portion of a plurality of fuel rods internally forming the nuclear fuel material zone and an upper fuel support member for supporting each upper end portion of the plurality of fuel rods, wherein the plenum is formed above the nuclear fuel material zone in each of the fuel rods, and the neutron absorbing members are disposed below the upper fuel support member. (A3) Preferably, in A2 aforementioned, it is desirable that the neutron absorbing members are disposed between the mutual plenums of the neighboring fuel rods. (A4) Preferably, in any one of A1 to A3 aforementioned, it is desirable that a length of the neutron absorbing members in an axial direction of the core is within a range from 20 mm to 700 mm and the distance between an upper end of the nuclear fuel material zone and a lower end of the neutron absorbing members is within a range from 230 mm to 500 mm. (A5) Preferably, in any one of A1 to A4 aforementioned, it is desirable that a total of cross sectional areas of all the neutron absorbing members is within a range from 10 to 50% of the cross sectional area of a fuel assembly lattice. (A6) Preferably, in A1 aforementioned, it is desirable that another neutron absorbing member is disposed below the nuclear fuel material zone. (A7) Preferably, in A2 or A3 aforementioned, it is desirable that a neutron absorbing material filling zone is formed under the nuclear fuel material zone in the fuel rods. (A8) Preferably, in A7 aforementioned, it is desirable that a length of the neutron absorbing material filling zone in the axial direction of the core (or the fuel assembly) is within a range from 10 mm to 150 mm. (A9) Preferably, in A7 or A8 aforementioned, it is desirable that an outside diameter of a portion facing to the neutron absorbing material filling zone of the fuel rod is larger than an outside diameter of a portion of the nuclear fuel material zone of the fuel rod and an interval between mutual outside surfaces of the portions facing to the neutron absorbing material filling zone of the neighboring fuel rods is within a range of 1.3 mm or more. (A10) Preferably, in A2 or A3 aforementioned, it is desirable that an outside diameter of a portions of the plenum of the fuel rod is smaller than an outside diameter of the portion of the nuclear fuel material zone of the fuel rod and is within a range of 3 mm or more and a length of the plenum in the axial direction of the core (or the fuel assembly) is within a range from 400 mm to 2500 mm. (A11) Preferably, in A2 or A3 aforementioned, it is desirable that the plenums include a first region and a second region disposed above the first region, and an outside diameter of a portion of the first region of the fuel rod is smaller than an outside diameter of a portion of the nuclear fuel material zone of the fuel rod, and an outside diameter of a portion of the second region of the fuel rod is smaller than the outside diameter of the portion of the nuclear fuel material zone of the fuel rod and is larger than the outside diameter of the portion of the first zone, and the neutron absorbing member is disposed between a lower end of the second region and the upper end of the nuclear fuel material zone. (A12) Preferably, in A2 or A3 aforementioned, it is desirable that the plenums include the first zone and the second zone disposed above the first zone, and the outside diameter of the portions of the fuel rods in the first zone is larger than the outside diameter of the portions of the fuel rods in the second zone and is smaller than the outside diameter of the portions of the fuel rods in the nuclear fuel material zone, and the neutron absorbing members are arranged above the upper end of the first zone. (A13) Preferably, in any one of A1 to A12 aforementioned, it is desirable that the neutron absorbing member include either of boron and hafnium. (A14) Preferably, in any one of A7 to A9 aforementioned, it is desirable that the neutron absorbing material filling zone includes either of boron and hafnium. (A15) Preferably, in A1 aforementioned, it is desirable that the nuclear fuel material zone includes an upper blanket zone, an upper fissile zone, an internal blanket zone, and a lower fissile zone, and the upper blanket zone, upper fissile zone, internal blanket zone, and lower fissile zone are disposed in the axial direction of the core in this order, and the upper fissile zone and lower fissile zone include a plurality of isotopes, and, in a state that fuel assemblies of a burnup of 0 are included, a rate of fissionable plutonium occupying in all the transuranic nuclides in the lower fissile zone is larger than a rate of fissionable plutonium occupying in all the transuranic nuclides in the upper fissile zone. (A16) Preferably, in A15 aforementioned, it is desirable that in the state that fuel assemblies of a burnup of 0 are included, a total of a height of the lower fissile zone and a height of the upper fissile zone is within a range from 350 mm to 600 mm and the height of the upper fissile zone is within a range from 1.1 times to 2.1 times of the height of the lower fissile zone. (A17) Preferably, in A15 or A16 aforementioned, it is desirable that in the state that the fuel assemblies of a burnup of 0 are included, an average of an enrichment of fissionable plutonium of all the transuranic nuclides in the lower fissile zone and an enrichment of fissionable plutonium of all the transuranic nuclides in the upper fissile zone is within a range from 16% to 20% and the enrichment of fissionable plutonium of all the transuranic nuclides in the lower fissile zone is within a range from 1.05 times to 1.6 times of the enrichment of fissionable plutonium of all the transuranic nuclides in the upper fissile zone. (A18) Preferably, in any one of A15 to A17 aforementioned, it is desirable that the lower blanket zone is disposed below the lower fissile zone in the nuclear fuel material zone. (A19) Preferably, in any one of A1 to A18 aforementioned, it is desirable that a rate of plutonium-239 occupying in all the transuranic nuclides included in the nuclear fuel material zone is either within a range from 40% to 60% or within a range from 5% or more to less than 40%. (A20) Preferably, in any one of A1 to A19 aforementioned, it is desirable that a rate of a cross sectional area of fuel pellet occupying in a cross sectional area of a unit fuel rod lattice is within a range from 30% to 55%. Preferably, it is desirable that the fuel assemblies are provided with a plurality of fuel rods, a lower fuel support member for supporting a lower end portion of each of the fuel rods, an upper fuel support member for supporting an upper end portion of each of the fuel rods, and a plurality of neutron absorbing members, wherein the plurality of fuel rods internally have a nuclear fuel material zone, in which a nuclear fuel material including a plurality of isotopes of transuranic nuclides exists, at a height within the range from 20 cm to 250 cm and a plenum formed above the nuclear fuel material zone, and the neutron absorbing members are disposed above the nuclear fuel material zone, and each of the aforementioned elements of (A2) to (A20) for the core of the light water reactor of (A1) are added to the fuel assembly. In the fuel assemblies, the “state that the fuel assemblies of a burnup of 0 are included” of (A15) to (A17) is changed to the “state of a burnup of 0”. (B1) In the core of the light water reactor in which a plurality of fuel assemblies having a nuclear fuel material are loaded, and in a nuclear fuel material zone including the nuclear fuel material, an upper blanket zone, an upper fissile zone, an internal blanket zone, and a lower fissile zone are arranged in the axial direction of the core in this order, and a plurality of isotopes of transuranic nuclides are included in the upper fissile zone and lower fissile zone, and, in a state that fuel assemblies of a burnup of 0 are included, a rate of fissionable plutonium occupying in all the transuranic nuclides in the lower fissile zone is made larger than a rate of fissionable plutonium occupying in all the transuranic nuclides in the upper fissile zone, more preferable constitutions will be explained below. (B2) Preferably, in B1 aforementioned, it is desirable that, in the state that the fuel assemblies of a burnup of 0 are included, a total of a height of the lower fissile zone and a height of the upper fissile zone is within a range from 350 mm to 600 mm and the height of the upper fissile zone is within a range from 1.1 times to 2.1 times of the height of the lower fissile zone. (B3) Preferably, in B1 or B2 aforementioned, it is desirable that, in a state that fuel assemblies of a burnup of 0 are included, an average of an enrichment of fissionable plutonium of all the transuranic nuclides in the lower fissile zone and an enrichment of fissionable plutonium of all the transuranic nuclides in the upper fissile zone is within a range from 16% to 20% and the enrichment of fissionable plutonium of all the transuranic nuclides in the lower fissile zone is within a range from 1.05 times to 1.6 times of enrichment of fissionable plutonium of all the transuranic nuclides in the upper fissile zone. (B4) Preferably, in any one of B1 to B3 aforementioned, it is desirable that the lower blanket zone is disposed under the lower fissile zone in the nuclear fuel material zone. (B5) Preferably, in any one of B1 to B4 aforementioned, it is desirable that a rate of plutonium-239 occupying in all the transuranic nuclides included in the nuclear fuel material zone is within either of a range from 40% to 60% and a range from 5% or more to less than 40%. (B6) Preferably, in any one of B1 to B5 aforementioned, it is desirable that a rate of a cross sectional area of fuel pellet occupying in a cross sectional area of an unit fuel rod lattice is within a range from 30% to 55%. Preferably, it is desirable that the fuel assembly is provided with a plurality of fuel rods, a lower fuel support member for supporting each lower end portion of the plurality of fuel rods, an upper fuel support member for supporting each upper end portion of the plurality of fuel rods, and neutron absorbing members, wherein the plurality of fuel rods internally form a nuclear fuel material zone in which a nuclear fuel material including a plurality of isotopes of a transuranic nuclide exists, and the nuclear fuel material zone includes the upper blanket zone, upper fissile zone, internal blanket zone and lower fissile zone, and the upper blanket zone, upper fissile zone, internal blanket zone, and lower fissile zone are arranged in the axial direction of the core in this order, and the upper fissile zone and lower fissile zone include the plurality of isotopes, and in the state of a burnup of 0, a rate of fissionable plutonium occupying in all the transuranic nuclides in the lower fissile zone or a ratio of fissionable plutonium to a total of all nuclear fuel material in the lower fissile zone is larger than a rate of fissionable plutonium occupying in all the transuranic nuclides in the upper fissile zone or larger than a ratio of fissionable plutonium to a total of all nuclear fuel material in the upper fissile zone, and each of the elements of (B2) to (B6) for the core of the light water reactor of (B1) are added to the fuel assembly. In the fuel assemblies, the “state that the fuel assemblies of a burnup of 0 are included” of (B2) and (B3) is changed to the “state of a burnup of 0”. According to the present invention, the safety margin can be improved more without impairing the economical efficiency of fuel of the light water reactor. The inventors made various studies in order to realize a light water reactor capable of further increasing safety margin without impairing the economical efficiency of fuel of the light water reactor. As a result, the inventors found that in a core having structure of any one of (1) neutron absorbing members are disposed above nuclear fuel material zone having nuclear fuel material existing in the core and including transuranic nuclides and the nuclear fuel material zone having a height within a range from 20 cm to 250 cm, (2) an outside diameter of a plenum which is formed above nuclear fuel material zone and has a length within a range from 400 mm to 2500 mm is 3 mm or more and smaller than an outside diameter of a fuel rod in the nuclear fuel material zone, and (3) a rate of fissionable plutonium (hereinafter referred to as fissionable Pu) occupying in all the nuclear fuel materials in a lower fissile zone is made larger than a rate of fissionable plutonium occupying in all the nuclear fuel materials in an upper fissile zone, the safety margin can be increased more without impairing the economical efficiency of fuel of the light water reactor. Furthermore, the inventors also studied multiple-recycling of nuclear fuel material including transuranic nuclides. As a result, the inventors newly found that (4) the number of spent fuel assemblies can be reduced by that among a plurality of fuel assemblies different in recycle frequency of the transuranic nuclides, a plurality of fuel assemblies including the transuranic nuclides having the smallest recycle frequency are disposed at a central part of the core, and between the central part and the outermost layer zone of the core, the fuel assemblies including the transuranic nuclides having larger recycle frequencies are disposed on the side of the outermost layer zone of the core. The nuclear fuel material includes fissionable materials (U-235. Pu-239, etc.) and fertile materials (Th-232, U-238, etc.). The safety margin is handled by classifying the safety level into the following three stages. The level 1 is a design basis accident, and the level 2 is a first accident beyond the design basis accident, and the level 3 is a second accident beyond the design basis accident. The design basis accident is an object event of safety examination (an abnormal transient and an accident). For the design basis accident, the intrinsic safety of the nuclear reactor and ordinary safety system operate, thus with respect to “abnormal transient”, it is required to design the reactor so as to be able to control the reduction of the MCPR (minimum critical power ratio) so long as the fuel rod is not burn out. The fuel rod is reusable. With respect to “accident”, it is required to design the reactor so as to maintain a highest temperature of 1200° C. or lower of a cladding of the fuel rod, and a shape of the fuel rod, and be able to continue the cooling of the fuel rod. The first accident beyond the design basis accident is currently not an object event of safety examination, though in the light water reactor, it is an event to be taken into account at the time of design. In the first accident beyond the design basis accident, an accident considered to be severest is a composite event that coolant supply pumps (recirculation pumps or internal pumps) for supplying coolant to the core are all stopped and at that time, an accident that all the control rods are not operated occurs simultaneously. For the composite event, it is required to design the reactor so that a high pressure core injection pump of the emergency core cooling system (the capacity is about 5% of the total capacity of the coolant supply pumps) operates, and the fuel rod is automatically lowered down to the coolable power at a negative reactivity coefficient due to the intrinsic safety of the BWR and at the flow rate of the high pressure core injection pump. The second accident beyond the design basis accident is an event on assumption that the overall core becomes a state of 100% void regardless of the accident scenario. For this second accident beyond the design basis accident, it is required to design so as to prevent insertion of positive reactivity. The core of (1) aforementioned is equivalent to the core of the light water reactor realizing the safety margin of the level 3 (the second accident beyond the design basis accident). The core of (2) aforementioned is equivalent to the core of the light water reactor realizing the safety margin of the level 2 (the first accident beyond the design basis accident). The core structure of (1) to (4) aforementioned will be explained below in detail. The core structures of (1) to (4) aforementioned are respectively applied to the light water reactor for filling and recycling the transuranic nuclides recovered from the spent nuclear fuel by the nuclear fuel recycling in the fuel rods of fresh fuel assemblies. In the light water reactor, the core of the light water reactor with the performance as a breeder reactor improved will be explained below. For example, a boiling water breeder reactor having a residual ratio of fissionable Pu of 1 or more was realized firstly by Japanese Patent 3428150. To realize a breeder reactor in the light water reactor, the neutron energy in the core must be kept high. However, the mass of hydrogen atoms forming water used as coolant in the light water reactor is generally small compared with the mass of Na used as coolant in a fast breeder reactor, so that in a light water breeder reactor, the rate of coolant per unit volume of the nuclear fuel material must be made smaller because the neutron energy lost by one collision is large. When the recycling is performed with a nuclear fuel material that a rate of Pu-239 occupying in all the TRUs is within a range of larger than 60%, faults may be caused that (a) the cooling capacity for the nuclear fuel material in the core is insufficient, (b) the burnup of the fuel assembly is reduced and the economical efficiency of fuel is impaired, and (c) the fuel rod gap composing the fuel assembly becomes too narrow and the manufacture of fuel assemblies becomes difficult. When the recycling is performed with a nuclear fuel material that the rate of Pu-239 occupying in all the TRUs is lower than 40%, faults may be caused that (d) a rate of odd-numbered nuclides having a large nuclear fission cross section becomes lower than a rate of even-numbered nuclides having a small nuclear fission cross section and it is difficult to realize a residual ratio of 1 or more of fissionable Pu and (e) the core becomes large in order to maintain the critical state, thus the void coefficient which is an index of safety gets worse. Therefore, in the light water breeder reactor, it is necessary to keep the rate of Pu-239 occupying in all the TRUs within a range from 40% to 60%. Further, when the recycling is performed with a nuclear fuel material in which a rate of Pu-240 occupying in all the TRUs is within a range of smaller than 35%, the aforementioned faults of (a), (b), and (c) are caused. When the recycling is performed with a nuclear fuel material that the rate of Pu-240 occupying in all the TRUs is larger than 45%, the faults of (d) and (e) are caused. Therefore, in the light water breeder reactor, it is necessary to keep the rate of Pu-240 occupying in all the TRUs within a range from 35% to 45%. Next, the core of the light water reactor (TRU burner reactor) for using TRUs examined to be disposed as a long-life radioactive waste material when they become unnecessary as a nuclear fuel material and finally realizing fission of all the TRUs except the TRUs for one core will be explained. The inventors considered, when the TRUs become unnecessary, to permit the TRUs (are permitted) to fission to reduce in quantity, gather the TRUs scattered in many cores according to the reduction quantity, and finally, maintain the TRUs only in one core. At this time, to prevent the TRUs from becoming a long-life radioactive waste material, when the nuclear fuel material is recycled in a state that the rate of Pu-239 occupying in all the TRUs is 40% or more, the speed for reducing the TRUs is slow and it takes a very long period of time to gather the TRUs in one core. Further, when the recycling is performed using a nuclear fuel material that a rate of Pu-239 occupying in all the TRUs is lower than 5%, the core becomes large and the void coefficient gets worse. Therefore, in the TRU burner reactor, the rate of Pu-239 occupying in all the TRUs must be set within the range from 5% or more to less than 40%. Further, when the nuclear fuel material is recycled in a state that a rate of Pu-240 occupying in all the TRUs is 35% or lower in order to prevent the TRUs from becoming a long-life waste material, the speed for reducing the TRUs is slow and it takes a very long period of time to gather the TRUs in one core. Further, when the recycling is performed using a nuclear fuel material that a rate of Pu-240 occupying in all the TRUs is 45% or more, the core becomes large and the void coefficient gets worse. Therefore, in the TRU burner reactor, the rate of Pu-240 occupying in all the TRUs must be set within the range from 35% to 45%. Here, an overview of a parfait core which is a kind of the core of the light water reactor will be explained. The parfait core has fuel assemblies, which are fresh fuel assemblies (the burnup is 0) to be loaded, including a lower blanket zone, a lower fissile zone, an internal blanket zone, an upper fissile zone and an upper blanket zone disposed in this order from an lower end to an upper end. Therefore, also in the parfait core, a lower blanket zone, a lower fissile zone, an internal blanket zone, an upper fissile zone and an upper blanket zone are formed from the lower end of the nuclear fuel material zone toward the upper end of the nuclear fuel material zone. The lower fissile zone and upper fissile zone include TRU oxide fuel (or mixed oxide fuel of a TRU oxide and an Uranium oxide) including a fissionable material. The lower blanket zone, internal blanket zone, and upper blanket zone have uranium oxide fuel including a small quantity of content of the fissionable material and a large quantity of content of the fertile material such as U-238. Each fuel rod included in the fuel assemblies loaded in the core of the light water reactor internally forms a plenum. The plenum stores a volatile fission product (FP gas) generated by fission of a fissionable material included in the nuclear fuel material filled in the fuel rod and suppresses the increase of inner pressure of the fuel rod. The aforementioned core structure of (1) will be explained below. Cooling water (coolant) for cooling the fuel assemblies loaded in the core of the BWR flows in the core from underneath as subcooling water at about 5° C. to 10° C. and becomes a two-phase flow including saturated water, steam, and water while cooling the fuel assemblies. This cooling water is a two-phase flow having a void volume rate of about 60% to 90% at the core exit. Therefore, the distribution of hydrogen atoms for greatly contributing to moderation of neutrons in the axial direction of the core decreases from the lower end of the core toward the upper end of the core. Such a BWR has a characteristic that even when the power of the core is increased and the core flow rate is lowered from some cause, and the temperature of the fuel rods rises, and there is fear that the fuel soundness may be impaired, the void fraction at the core outlet is increased, and the neutron quantity leaking upward from the core is increased, and negative reactivity is inserted to the core, and the power of the nuclear reactor is automatically reduced, thus the soundness of the fuel rods is maintained. The inventors studied a further improvement measure of the safety margin in the BWR having the aforementioned characteristic. In this study, the second accident beyond the design basis accident aforementioned is taken into account. The overview of this study will be explained below. The inventors, when studying the improvement measure of the safety margin, used a core of a BWR having a nuclear fuel material zone including TRUs obtained by the nuclear fuel reprocessing at a height within the range from 20 cm to 250 cm as the core of the light water reactor which is a study object. In the BWR forming the nuclear fuel material zone including the TRUs at a height within the range from 20 cm to 250 cm, even during the operation of the BWR, there are a large quantity of neutrons leaking upward and downward from the nuclear fuel material zone. If the height of the nuclear fuel material zone is lowered to less than 20 cm, even in the core with the fuel rods disposed closely, the loading quantity of the nuclear fuel material is reduced, and when continuing the rated power operation, the fuel assemblies must be exchanged frequently. Therefore, the operation rate of the nuclear power generation plant is lowered and the economical efficiency of fuel is impaired. When the height of the nuclear fuel material zone is increased higher than 250 cm, the neutrons leaking from the nuclear fuel material zone is reduced in quantity and even if the neutron absorbing member is disposed above the nuclear fuel material zone, when the overall core enters the state of 100% void, positive reactivity is inserted to the nuclear fuel material zone. Therefore, the height of the nuclear fuel material zone is set within the range from 20 cm to 250 cm. When the overall core becomes the state of 100% void from some cause, the self control function which is an intrinsic safety function of the BWR is impaired. The self control function is a function that automatically reduces the reactor power when the core flow rate is suddenly lowered from some cause, by that the void fraction in the nuclear fuel material zone is increased suddenly, and the void fraction of the two-phase flow in the reflector zone formed above the nuclear fuel material zone is increased, and the neutron leaking rate from the nuclear fuel material zone is increased, and the effective neutron multiplication factor in the nuclear fuel material zone is decreased. A part of the neutrons leaked upward from the nuclear fuel material zone is reflected from the component member (in the current fuel rods, a part of a cladding made of zirconium alloy) forming the plenum of each fuel rod and is returned to the nuclear fuel material zone. Even though the overall core becomes the state of 100% void, the quantity of neutrons leaking upward from the nuclear fuel material zone is increased because the rate of the two-phase flow existing between the plenums of the neighboring fuel rods to the component member is lowered. Therefore, the quantity of neutrons reflected from the component member for forming the plenums and returned to the nuclear fuel material zone is increased. However, since the increase quantity of the neutron leak quantity from the nuclear fuel material zone is small compared with the increase quantity of the infinite neutron multiplication factor when the void fraction in the nuclear fuel material zone becomes the 100% void state from the value at the time of the rated power operation, positive reactivity is inserted to the core, concretely, to the nuclear fuel material zone. As a result of various studies, the inventors confirmed newly that even when the overall core becomes the state of 100% void, positive reactivity is not inserted to the core because the neutrons leaking upward from the nuclear fuel material zone are absorbed by the neutron absorbing member (for example, B4C or Hf) disposed above the nuclear fuel material zone. Due to the aforementioned arrangement of the neutron absorbing member, the insertion of positive reactivity can be prevented, so that the margin for the intrinsic safety of the BWR is improved, and as a result, the safety margin of the BWR is improved. Therefore, the inventors found a new knowledge that by applying the core structure of (1) to the core of the light water reactor, the safety margin can be improved for a multiple accident while breeding ratio of the TRU is kept. The study results aforementioned will be explained below in detail. The results of the studies executed by the inventors for an object of the core of the light water breeder reactor will be explained below. The light water breeder reactor as a study object is the core of a BWR that for example, the electric power is 1350 MW, and 720 fuel assemblies having 271 fuel rods per each fuel assembly are loaded in the core, and the breeding ratio is 1.01. Each fuel assembly has a nuclear fuel material including TRUs obtained by the nuclear fuel reprocessing and the rate of Pu-239 occupying in all the TRUs included at the time of a burnup of 0 is a value within the range from 40% to 60%. The inventors disposed the neutron absorbing members (including B4C and Hf, for example) between the fuel rods at the position of each plenum in the fuel assemblies in the axial direction of the fuel assemblies based on the knowledge concerning the core structure of (1). In each fuel rod, the plenum is positioned above the upper end of the active fuel length (the nuclear fuel material zone). Therefore, the neutron absorbing members are disposed between the plenums downward from the lower end of the upper fuel support member (for example, the upper tie-plate) of each fuel assembly for holding the upper end portion of each fuel rod and upward from the nuclear fuel material zone. When B4C is used, for example, neutron absorbing members composed so as to fill B4C in a sealed container are disposed between the plenums. When Hf is used, Hf, which is a metal, is formed in a plate or bar shape for example, and is disposed between the plenums as a neutron absorbing member. During the operation of the BWR, in each fuel assembly, a vapor-liquid two-phase flow flows between the fuel rods at the plenum position. Even when the BWR is stopped, cooling water exists in the core. The two-phase flow or cooling water existing between the fuel rods upward from the nuclear fuel material zone functions as a reflector of neutrons. Therefore, it may be said that the neutron absorbing members are disposed in the reflector above the nuclear fuel material zone. The zone where the two-phase flow or cooling water exists upward from the nuclear fuel material zone is referred to as a reflector zone. The inventors studied the arrangement of the neutron absorbing members between the fuel rods at the plenum position. FIG. 1 shows changes of the inserted reactivity to the core and void coefficient in the state that the overall core becomes 100% void due to the distance between the upper end of the nuclear fuel material zone and the lower end of the neutron absorbing members disposed between the plenums (the distance between the nuclear fuel material zone and the neutron absorbing members). A characteristic A indicates a relation between a distance between the nuclear fuel material zone and the neutron absorbing members and the void coefficient and a characteristic B indicates a relation between the distance and the inserted reactivity. The distance between the nuclear fuel material zone and the neutron absorbing members is a distance in the axial direction of the core. The characteristics A and B are characteristics obtained from an object of the core of the light water breeder reactor in which the fuel assemblies including the neutron absorbing members with a length of 500 mm disposed in the neighborhood of each fuel rod as shown in FIG. 18 are loaded. If the lower end of each neutron absorbing member approaches excessively the upper end of the nuclear fuel material zone the effect that the neutrons are reflected on the nuclear fuel material zone is lowered due to the influence of the neutron absorbing member during the operation of the BWR. As a result, the effective neutron multiplication factor in the nuclear fuel material zone is lowered, and to compensate for the lowering, the height of the nuclear fuel material zone must be increased, and even though the overall core becomes the state of 100% void, the inserted reactivity to the core is increased. If the distance between the nuclear fuel material zone and the neutron absorbing members becomes shorter than 230 mm, even when the overall core becomes the state of 100% void, positive reactivity is inserted to the core. Therefore, when the overall core becomes the state of 100% void, the distance between the nuclear fuel material zone and the neutron absorbing members must be set to 230 mm or more in order to avoid the application of positive reactivity to the core. Further, according to the characteristic B, if the distance between the nuclear fuel material zone and the neutron absorbing members becomes shorter, the volume of the two-phase flow zone (the reflector zone) between the nuclear fuel material zone and the neutron absorbing members becomes smaller, and the change of the effective neutron multiplication factor due to the change of the void coefficient of the core becomes smaller, and thus the void coefficient gets worse. If the distance between the nuclear fuel material zone and the neutron absorbing members becomes extremely longer, the influence of the reflector zone on the nuclear fuel material zone becomes smaller. Therefore, probability that the neutrons leaking in the reflector zone return again to the nuclear fuel material zone is increased and the void coefficient gets worse. When the distance between the nuclear fuel material zone and the neutron absorbing members exceeds 500 mm, the void coefficient becomes −1×10−4% Δk/k/% void or less and there are possibilities that a fault (for example, an event that restrictive conditions of the MCPR cannot be satisfied) may be caused from viewpoint of a transient characteristic of the core. From the aforementioned, it is preferable that the distance between the nuclear fuel material zone and the neutron absorbing members in the axial direction of the core is within the range from 230 mm to 500 mm. Further, even during the rated operation of the BWR, the neutron absorbing members disposed in the reflector zone absorb neutrons leaking upward from the nuclear fuel material zone. If the neutron absorbing members approach excessively the upper end of the nuclear fuel material zone, the quantity of neutrons returned from the reflector zone to the nuclear fuel material zone is reduced by the neutron absorbing function of the neutron absorbing members during the rated operation of the nuclear reactor. Therefore, the reactor power at the upper end portion of the nuclear fuel material zone is reduced. When the distance between the nuclear fuel material zone and the neutron absorbing members is 230 mm or longer, such a problem will not arise. Next, the inventors studied the length of the neutron absorbing members in the axial direction of the fuel assemblies. A relation between the length of the neutron absorbing members and the inserted reactivity and a relation between the length and pressure loss between the upper end of the nuclear fuel material zone and the upper end of the neutron absorbing members are shown in FIG. 2. A characteristic C indicates change of the inserted reactivity due to the length of the neutron absorbing members. A characteristic D indicates change of the pressure loss between the upper end of the nuclear fuel material zone and the upper end of the neutron absorbing members due to the length of the neutron absorbing members. The characteristics C and D are characteristics when the distance between the upper end of the nuclear fuel material zone and the upper end of the neutron absorbing members is 300 mm. When the length of the neutron absorbing members becomes less than 20 mm, positive reactivity is inserted to the core (refer to the characteristic C shown in FIG. 2) in a state that even though the overall core becomes the state of 100% void. Thus, the length of the neutron absorbing members is set to 20 mm or more. When the length of the neutron absorbing members exceeds 700 mm, the increase quantity of the pressure loss between the nuclear fuel material zone and the neutron absorbing members becomes 20% or more of the pressure loss of the overall core. The influence of such an increase in the pressure loss between the nuclear fuel material zone and the neutron absorbing members on the characteristic of the core cannot be ignored. Therefore, the length of the neutron absorbing members is set to 700 mm or less. Thus, it is preferable that the length of the neutron absorbing members is within the range from 20 mm to 700 mm. The neutron absorbing members may be disposed under the nuclear fuel material zone. When the overall core becomes the state of 100% void in the state that the neutron absorbing members are disposed under the nuclear fuel material zone, the neutrons leaking downward from the nuclear fuel material zone can be absorbed by the neutron absorbing members. Therefore, even though the overall core becomes the state of 100% void, the quantity of neutrons which leak downward from the nuclear fuel material zone and are returned to the nuclear fuel material zone is reduced extremely by disposing the neutron absorbing members under the nuclear fuel material zone. Also in this case, even though the overall core becomes the state of 100% void, positive reactivity is not inserted to the core. To dispose the neutron absorbing members under the nuclear fuel material zone, the neutron absorbing members may be disposed at the lower end of each fuel rod included in the fuel assemblies. Concretely, a neutron absorbing material (for example, B4C or Hf) is filled (for example, refer to FIGS. 17 and 27) at the lower end in the cladding of each fuel rod. A plurality of fuel pellets including TRUs are filled in the cladding above the neutron absorbing member filling zone. An outside diameter of the lower end portion of the fuel rod where the neutron absorbing material filling zone exists is the same as the outside diameter of the fuel rod above the neutron absorbing material filling zone. The length of the neutron absorbing material filling zone is within a range from 10 mm to 150 mm. To minimize the quantity of neutrons which leak downward from the nuclear fuel material zone and are returned to the nuclear fuel material zone smallest, it is preferable to permit the upper end of the neutron absorbing material filling zone to make contact with the lower end of the nuclear fuel material zone. However, even if the upper end of the neutron absorbing material filling zone is separated away from the lower end of the nuclear fuel material zone by 5 mm at its maximum, even when the overall core becomes the state of 100% void, the insertion of positive reactivity to the core can be avoided. The upper end of the neutron absorbing material filling zone disposed under the nuclear fuel material zone is separated downward away from the lower end of the nuclear fuel material zone, thus the bad influence on the power in the lower end portion of the nuclear fuel material zone by the absorption of neutrons in the neutron absorbing material filling zone can be reduced. The neutron absorbing material filling zone is formed separately from the control rod inserted into the core from underneath. In the BWR, the control rods are inserted between the fuel assemblies loaded in the core from the underneath of the core. Therefore, a neutron absorbing material is disposed under the nuclear fuel material zone except the control rod. The arrangement of the neutron absorbing material under the nuclear fuel material zone can suppress remarkably the return of neutrons leaking under the nuclear fuel material zone to the nuclear fuel material zone. Next, the study results by the inventors of an object of the core of the TRU burner reactor described in R. TAKEDA et al., Proc. of International Conference on Advanced Nuclear Fuel Cycles and Systems. GLOBAL '07 Boise, USA, September, 2007, P. 1725 will be explained. The core of the TRU burner reactor as an object of study is the core of a BWR that for example, is loaded with 720 fuel assemblies having 397 fuel rods per each fuel assembly, having an electric power of 1350 MW. When repeating the recycling of the TRUs in order to reduce the TRUs in quantity, namely, when repeating, every operation recycle, the loading of the fuel assemblies having a nuclear fuel material including TRUs obtained by the nuclear fuel reprocessing wherein the rate of Pu-239 occupying in all the TRUs included at the point of time when the burnup is 0 is within the range from 5% to below 40% to the core, the reactivity rate by the fast neutron flux is high compared with a core of a breeding ratio of 1, so that there is a case that an diameter of the neutron absorbing member disposed above the nuclear fuel material zone must be large compared with the core in the neighborhood of the breeding ratio of 1. In this case, naturally, the outside diameter of the plenum portion of each fuel rod is narrower than the outside diameter of the lower portion of the fuel rod than the plenum. However, even in the core of the TRU burner reactor, preferably, it is desirable that the distance between the nuclear fuel material zone and the neutron absorbing members is within the range from 230 mm to 500 mm and the length of the neutron absorbing members is within the range from 20 mm to 700 mm. Even in the core of the TRU burner reactor, similarly to the light water breeder reactor, it is possible to dispose the neutron absorbing members (B4C or Hf) under the nuclear fuel material zone. Even in the TRU burner reactor, the control rods are inserted into the core from underneath. Similarly to the light water breeder reactor, when the neutron absorbing members are disposed at the lower end of the fuel rods under the nuclear fuel material zone, to obtain an essential effect by the neutron absorbing members, there is a case that it is desirable to make the outside diameter of the lower part of the fuel rod in which the neutron absorbing material filling zone is formed lager than the outside diameter of the fuel rods above the neutron absorbing material filling zone. However, the width of the gap formed between the fuel rods is controlled not to be 1.3 mm or less at the lower end of the fuel rods in which the neutron absorbing material filling zone is formed, in consideration of the pressure loss of the fuel assemblies. Similarly to the light water breeder reactor, it is preferable that the length of the neutron absorbing material filling zone is within the range from 10 mm to 150 mm. The upper end of the neutron absorbing material filling zone and the lower end of the nuclear fuel material zone can be separated from each other by 5 mm at its maximum. The inventors studies various characteristics of the core of the light water reactor when the overall core becomes the state of 100% void from some cause. Firstly, in the nuclear fuel material zone, a power distribution in the axial direction and a void fraction distribution in the axial direction will be explained by referring to FIG. 3. In FIG. 3, a characteristic E indicates an average power distribution in the axial direction of the core during the rated power operation of the nuclear reactor. A characteristic F indicates an average power distribution in the axial direction of the core when the overall core becomes the state of 100% void from some cause during the rated power operation which is one of the severest composite events as a beyond design basis accident. A characteristic G indicates an average void fraction distribution in the axial direction of the core in correspondence with the power distribution of the characteristic E. Each characteristic shown in FIG. 3 is obtained from an object of the TRU burner reactor, though even in the light water breeder reactor, characteristics showing the similar tendency to each characteristic shown in FIG. 3 can be obtained. According to FIG. 3, it is found that when the overall core becomes the state of 100% void, the power distribution in the axial direction of the core is shifted on the lower end side of the nuclear fuel material zone and the lower reflector zone existing under the nuclear fuel material zone plays a role of a dump tank of surplus neutrons generated at the time of the accident. The lower reflector zone is a zone existing under the nuclear fuel material zone in which there exists the gap formed between the fuel rods under the lower end of the nuclear fuel material zone and cooling water under the fuel holding portion of the lower tie-plate in the lower tie-plate. When all the control rods are withdrawn from the nuclear fuel material zone, that is, when the upper end of the neutron absorbing material filling zone of the control rods is disposed under the nuclear fuel material zone and in the neighborhood of the lower end of the nuclear fuel material zone, in the nuclear fuel material zone having no lower blanket zone, the thermal neutron flux distribution in the axial direction of the core when the overall core becomes the state of 100% void from some cause, is indicated by a characteristic H in FIG. 4. A characteristic J shown in FIG. 4 indicates a thermal neutron flux distribution in the axial direction of the core when in the fuel rods, the neutron absorbing material is disposed in the portion under the nuclear fuel material zone, and the outside diameter of the fuel rods in the portion with the neutron absorbing material filled is the same as the outside diameter of the fuel rods above the portion with the neutron absorbing material filled. A characteristic K shown in FIG. 4 indicates a thermal neutron flux distribution in the axial direction of the core when in the fuel rods, the neutron absorbing material is disposed in the portion under the nuclear fuel material zone, and the outside diameter of the fuel rods in the portion with the neutron absorbing material filled is larger than the outside diameter of the fuel rods above the portion with the neutron absorbing material filled. Both characteristics J and K indicate the thermal neutron flux distribution in the axial direction of the core in a state that the control rods are withdrawn when the characteristic H is obtained and in the state that the overall core becomes the state of 100% void from some cause in the nuclear fuel material zone. The thermal neutron flux distribution of the characteristics J and K is remarkably lowered from that of the characteristic H, so that the neutron absorbing material is disposed under the nuclear fuel material zone, thus even when the overall core becomes the state of 100% void from some cause, the lower reflector zone existing under the nuclear fuel material zone plays a role of the dump tank of neutrons. Therefore, an occurrence of excess reactivity can be prevented. A relation between a rate of the cross sectional area of the neutron absorbing material filling zone under the nuclear fuel material zone to the cross sectional area of the fuel assembly lattice and the inserted reactivity when the overall core becomes the state of 100% void is shown in FIG. 5. If the rate becomes 35% or more, even when the overall core becomes the state of 100% void, positive reactivity is not inserted to the core. Therefore, in the core of the light water reactor that is loaded with fuel assemblies having a nuclear fuel material including the TRUs obtained by the nuclear fuel reprocessing, wherein the rate of Pu-239 occupying in all the TRUs included at the time of a burnup of 0 is within the range from 5% or more to less than 40%, the safety margin can be improved. The neutron absorbing members are disposed above the nuclear fuel material zone having a nuclear fuel material existing in the core and including a transuranic nuclide and having a height within the range from 20 cm to 250 cm, thus the safety margin can be increased more without impairing the economical efficiency of fuel of the light water reactor. The multiple-recycling of the TRUs can be continued. Preferably, it is desirable that the distance between the nuclear fuel material zone and the neutron absorbing members in the axial direction of the core is within a range from 230 mm to 500 mm and the length of the neutron absorbing members is within a range from 20 mm to 700 mm. The neutron absorbing members are disposed above the nuclear fuel material zone, and furthermore, the neutron absorbing material filling zone is disposed under the nuclear fuel material zone, thus when the overall core becomes the state of 100% void, the reactivity inserted to the core can be made more negative. Also in the light water breeder reactor and TRU burner reactor, when the rate of the cross sectional area of the fuel pellets (filled in the fuel rods) occupying in the cross section area of the unit fuel rod lattice in the channel box exceeds 55%, the gap between the fuel rods becomes less than 1 mm, so that the assembling of the fuel assemblies is very difficult. Thus, the rate of the cross sectional area of the fuel pellets occupying in the cross section area of the unit fuel rod lattice must be set to 55% or less. When the area rate becomes less than 30%, the fuel rods become extremely narrow and the quantity of the nuclear fuel material in the cross section becomes smaller. Therefore, the length of the fuel rods must be increased, and the void coefficient becomes positive. Consequently, the area rate must be set to 30% or more. Furthermore, the inventors studied how much the neutron absorbing members should be disposed per each fuel assembly lattice above the nuclear fuel material zone. Each of the neutron absorbing members is disposed between the nuclear fuel material zone and the upper fuel support member (for example, the upper tie-plate) in the neighborhood of the plenum portion formed in the fuel rod. When the overall core becomes the state of 100% void from some cause, a total of the cross sectional areas of all the neutron absorbing members disposed above the nuclear fuel material zone must be set to 10% or more of the cross sectional area of the fuel assembly lattice in order to prevent positive reactivity from being inserted to the core. The fuel assembly lattice is a region including a surrounding zone enclosed by the width ½ of the gap (the water gap in the BWR) formed between the neighboring fuel assemblies, and the cross section of one fuel assembly among them. The cross sectional area of the fuel assembly lattice is a total value of the cross sectional area of the surrounding zone and the cross sectional area of the fuel assemblies. The total of the cross sectional areas of all the neutron absorbing members must be controlled to 50% or less of the cross sectional area of the fuel assembly lattice because a two-phase flow at a predetermined flow rate must flow between the respective plenum portions of the neighboring fuel rods and the neutron absorbing members arranged between the plenum portions during the operation of the light water reactor. Based on the study results aforementioned, the total of the cross sectional areas of all the neutron absorbing members is preferably set within the range from 10 to 50% of the cross sectional area of the fuel assembly lattice. Even under the nuclear fuel material zone, the total of the cross sectional areas of all the neutron absorbing material filling zones formed in the fuel assemblies is preferably set within the range from 10 to 50% of the cross sectional area of the fuel assembly lattice except the cross sectional area of the control rods. The core structure of (2) can be added to the core structure of (1). Namely, the neutron absorbing members are disposed above the nuclear fuel material zone existing in the core, having a nuclear fuel material including a transuranic nuclide and having a height within the range from 20 cm to 250 cm, and the outside diameter of the plenums formed above the nuclear fuel material zone and having a height within the range from 400 mm to 2500 mm is set to 3 mm or more and is smaller than the outside diameter of the fuel rods in the nuclear fuel material zone. By doing this, even though the overall core becomes the state of 100% void, the insertion of positive reactivity to the nuclear fuel material zone can be avoided. The soundness of the fuel rods is increased. The quantity of the neutron absorbing members (for example, the thickness of the neutron absorbing members) disposed between the plenums of the neighboring fuel rods can be increased because the outside diameter of the plenums is 3 mm or more and is smaller than the outside diameter of the fuel rods in the nuclear fuel material zone. By doing this, even though the overall core becomes the state of 100% void, the reactivity inserted to the nuclear fuel material zone can be made more negative. Even in a pressurized water nuclear reactor (PWR) that cluster control rods are inserted into a plurality of guide tubes installed in each fuel assembly loaded in the core from above the core and a fast breeder reactor (FBR) that the control rods are inserted into the core from above, it is possible to form a nuclear fuel material zone including TRUs in the core and dispose the neutron absorbing members above and below the nuclear fuel material zone. The aforementioned core structure of (2) will be explained below. Each of the fuel rods included in the fuel assemblies loaded in the core of the light water reactor, for example, the core of the BWR internally stores a plurality of fuel pellets including a TRU. Even when a discharge rate of a volatile fission product from the fuel pellets is larger than that of uranium oxide pellets, to continue the TRU recycling while ensuring the soundness of the fuel rods and sufficiently keeping the safety potential of the BWR, it is necessary to increase plenum volume formed in the fuel rods and keep the void coefficient within a predetermined range. Further, in a commercial reactor put into practical use, realization of high burnup of the fuel assemblies in which the generation quantity of the volatile fission product is increased is required from the viewpoint of the economical efficiency of fuel, so that the volume of the plenums in the fuel rods must be increased. When the volume of the plenums installed on the upper part of the fuel rods is made larger, the self control function which is an intrinsic safety function of the BWR is impeded. In the state that the plenum volume is increased, when a first accident beyond the design basis accident is caused from some cause, the self control function is impeded. Even when a first accident beyond the design basis accident is caused from some cause, that is, a composite accident that an accident that the coolant supply pumps (the recirculation pumps or internal pumps) for supplying coolant to the core are all stopped and at that time, all the control rods are not operated is simultaneously caused occurs, the safety margin of the core of the BWR must be improved. The inventors studied an improvement measure of the safety margin capable of improving the safety margin of the core of the light water reactor without using the core structure of (1) when the first accident beyond the design basis accident is caused. The core of the light water reactor used as an object of study is the core of a BWR having a nuclear fuel material zone including TRUs obtained by the nuclear fuel reprocessing at a height within the range from 20 cm to 250 cm. When the first accident beyond the design basis accident is caused, the high pressure core injection system of the emergency core cooling system is operated. The inventors, as a result of examination, found a new knowledge that even when the plenum volume in the fuel rods is increased, the outside diameter of the plenum portion of the fuel rods is made smaller than the outside diameter in the nuclear fuel material filling zone under the plenum portion of the fuel rods, thus the reactivity to be inserted to the core when the first accident beyond the design basis accident is caused is reduced. Based on this new knowledge, the inventors reached the conclusion that the outside diameter of the plenum at a length within the range from 400 mm to 2500 mm formed above the nuclear fuel material zone may be set to 3 mm or more and may be made smaller than the outside diameter of the fuel rods in the nuclear fuel material zone. As mentioned above, by use of the core structure of (2), even when first accident beyond the design basis accident is caused, the quantity of leaking neutrons which are reflected on the component members for forming the plenums and are returned to the nuclear fuel material filling zone is reduced, and the soundness of the fuel rods is increased by increase of the plenum volume. Accordingly, the safety margin can be increased more without impairing the economical efficiency of fuel of the light water reactor. The aforementioned study results will be explained in detail. The inventors studied an object of the core of the light water reactor having the nuclear fuel material zone including the TRUs obtained by nuclear fuel reprocessing. The multiplication factor of fissionable Pu of the core is 1.01. FIG. 6 shows the results obtained by the study and shows the change of the inserted reactivity to the plenum length. This inserted reactivity is inserted reactivity when the overall core becomes the void state. A scattering cross section area of hydrogen is comparatively large in the energy zone of 500 keV or less, though it is suddenly reduced as the energy zone approaches 1 MeV, so that fast neutrons of 1 MeV or more pierce from the nuclear fuel material zone into the reflector zone by deep penetration. On the other hand, the neutrons lose a large quantity of energy by one collision with hydrogen atoms because the mass of hydrogen atoms composing the two-phase flow passing through the fuel assemblies is almost the same as that of neutrons. Therefore, when the upper reflector existing above the nuclear fuel material zone is composed of only the two-phase flow including water and the steam, the probability in which fast neutrons leaking once from the nuclear fuel material zone to the upper reflector are returned again to the nuclear fuel material zone is low. However, when component member (for example, the cladding made of a zircaloy) for forming each of the plenums in the fuel rods exists in the upper reflector zone, the mass of zirconium atoms of the cladding made of the zircaloy is larger than the neutron mass and the energy of neutrons lost by one collision with zirconium atoms is very small. Therefore, neutrons returning again to the nuclear fuel material zone appear during repetitive collisions of neutrons with zirconium atoms. In FIG. 6, a characteristic L indicates change of the inserted reactivity to the plenum length when the outside diameter of the plenum portion formed in the fuel rod is the same as the outside diameter of the portion in the nuclear fuel material filling zone under the plenum portion of the fuel rod. A characteristic M indicates change of the inserted reactivity to the plenum length when the outside diameter of the plenum portion formed in the fuel rod is smaller than the outside diameter of the portion in the nuclear fuel material filling zone under the plenum portion of the fuel rod. Concretely, the cross sectional area of the plenum portion is half the cross sectional area of the portion of the fuel rod in the nuclear fuel material filling zone. If a composite event (a first accident beyond the design basis accident) occurs that the coolant supply pumps for supplying coolant to the core are all stopped from some cause and furthermore, all the control rods are not operated, the reactor power is increased, the temperature of the fuel pellets in the fuel rod rises, and the discharge rate of the volatile fission product from the fuel pellets is increased. In addition, the inner pressure of the cladding of the fuel rod rises, and the gap between the cladding and the fuel pellets is widened, and thus, the heat transfer rate from the fuel pellets to the cladding is lowered, and the temperature of the fuel pellets rises furthermore. The occurrence of the composite event causes such a positive feedback state. However, the plenum length is increased and the plenum capacity is increased, thus the occurrence of such a positive feedback state can be prevented and the soundness of the fuel rods can be improved. As shown by the characteristic M in FIG. 6, if the outside diameter of the plenum portion formed in the fuel rod is smaller than the outside diameter of the portion of the fuel rod in the nuclear fuel material filling zone under the plenum portion, when the overall core becomes the state of 100% void, the reactivity inserted to the nuclear fuel material zone becomes 1 dollar or less. Therefore, when the outside diameter of the portion of the plenum in the fuel rod is smaller than the outside diameter of the portion of the fuel rod in the nuclear fuel material filling zone, even though the composite event of the first accident beyond the design basis accident occurs, the fuel rod is automatically reduced in power down to the coolable power at the flow rate of the cooling water supplied to the core by the operation of the high pressure core injection system and the safety of the BWR is ensured. Thus, when the outside diameter of the portion of the plenum is smaller than the outside diameter of the portion in the nuclear fuel material filling zone, the safety margin of the core of the BWR can be improved. In the fuel rod, when the outside diameter of the plenum portion is the same as the outside diameter of the portion in the nuclear fuel material filling zone, the plenum length is set to about 200 mm and when the outside diameter of the plenum portion is made smaller than the outside diameter of the portion in the nuclear fuel material filling zone under the plenum portion, the plenum length is set to about 200 mm to 300 mm, thus even when the overall core becomes the state of 100% void, the insertion of positive reactivity can be avoided. The aforementioned core structure of (3) will be explained below. Multiple-recycling of TRUs obtained by the nuclear fuel reprocessing is proposed (refer to Japanese Patent Laid-Open No. 2008-215818 and R. TAKEDA et al., Proc. of International Conference on Advanced Nuclear Fuel Cycles and Systems. GLOBAL '07 Boise, USA, September, 2007, P. 1725). To realize the multiple-recycling of TRUs, nuclear fuel materials recovered from spent nuclear fuel generated from various light water reactors (BWR and PWR) must be used. Even in the light water reactor, the BWR and PWR are different from each other in the neutron energy spectrum when the fissionable material included in the nuclear fuel material existing in the core is burned. Further, the generated spent fuel assemblies include various ones such as spent fuel assemblies immediately after taken out from the core and spent fuel assemblies stored in the fuel storage pool over a long period of time. In the spent nuclear fuel included in the spent fuel assemblies stored in the fuel storage pool, the nuclear decay of the isotopes is different and the composition of included TRUs is different according to a difference in the storage period of the spent fuel assemblies. A plurality of fresh fuel assemblies manufactured using a nuclear fuel material including TRUs recovered from such various spent nuclear fuel by the nuclear fuel reprocessing must be loaded in the core of one light water reactor. The variations of the power of each fuel assembly which is manufactured depending on the difference in the TRU composition in the nuclear fuel material including recovered TRUs and is loaded in the core are increased and there is concern that the thermal margin of the core may be reduced. Therefore, it is desired to increase the thermal margin of the core of the light water reactor. The inventors made various studies in order to realize the core of a light water reactor for increasing the thermal margin. As a result of study, the inventors found that the rate of fissionable Pu occupying in all the nuclear fuel materials in the lower fissile zone formed in the nuclear fuel material zone is made larger than the rate of fissionable Pu occupying in all the nuclear fuel materials in the upper fissile zone formed in the nuclear fuel material zone, thus the thermal margin of the core of the light water reactor can be increased without impairing the economical efficiency of fuel of the light water reactor. Using the core structure of (3), a linear heat generating rate of the fuel rod, a central temperature of the fuel rod, and the thermal margin of the MCPR and the like can be increased. Furthermore, the multiple-recycling of TRUs can be realized. The core structure of (3) can be realized by the parfait core in which the lower blanket zone, lower fissile zone, internal blanket zone, upper fissile zone, and upper blanket zone are formed successively from the lower end of the nuclear fuel material zone toward the upper end of the nuclear fuel material zone. To increase the thermal margin like this, it is desirable to increase the height of the nuclear fuel material zone, namely, the total length of the fuel rod in the axial length. In the core of the BWR, from the lower end of the nuclear fuel material zone toward the upper end of the nuclear fuel material zone, the density of the cooling water which is a neutron moderator is lowered. Therefore, the enrichment of fissionable Pu in all the nuclear fuel materials in the upper fissile zone is lowered, and the height of the upper fissile zone is increased, and the enrichment of fissionable Pu in all the nuclear fuel materials in the lower fissile zone where the density of coolant is higher than that in the upper fissile zone, thus the utilization factor of neutrons is improved. The breeding ratio and void coefficient of the core do not get worse. The increase in the enrichment of fissionable Pu in all the nuclear fuel materials in the lower fissile zone causes a reduction in the height of the lower fissile zone. However, the increase range of the height of the upper fissile zone is larger than the decrease range of the height of the lower fissile zone, so that as a result, the height of the nuclear fuel material zone is increased. In the core of the light water reactor with the thermal margin increased wherein the rate of fissionable Pu occupying in all the nuclear fuel materials in the lower fissile zone formed in the nuclear fuel material zone is made larger than the rate of fissionable Pu occupying in all the nuclear fuel materials in the upper fissile zone formed in the nuclear fuel material zone, even if a first accident beyond the design basis accident occurs, the reactor power can be lowered automatically down to the power capable of cooling the fuel assemblies in the core by the capacity of coolant which can be supplied from the high pressure core injection system of the emergency core cooling system. Furthermore, in such a light water reactor core, even when the overall core becomes the state of 100% void from some cause, no positive reactivity is inserted. The core of the light water reactor having the constitution of (3) can improve more the safety margin without impairing the economical efficiency of fuel of the light water reactor because the thermal margin is increased. The inventors, as a concrete structure for realizing the core structure of (3), thought out a core structure of (I) and a core structure of (II) which will be described below. In the core structure of (I), the total of the height of the lower fissile zone in the nuclear fuel material zone and the height of the upper fissile zone is within a range from 350 mm to 600 mm and the height of the upper fissile zone is within a range from 1.1 to 2.1 times the height of the lower fissile zone. In the core structure of (II), an average of the enrichment of fissionable Pu in all the nuclear fuel materials in the lower fissile zone and the enrichment of fissionable Pu in all the nuclear fuel materials in the upper fissile zone is within a range from 14% to 22% and the enrichment of fissionable Pu in all the nuclear fuel materials in the lower fissile zone is within a range from 1.05 to 1.6 times of the enrichment of fissionable Pu in all the nuclear fuel materials in the upper fissile zone. In either of the core structure of (I) and (II), the thermal margin can be increased more without impairing the economical efficiency of fuel of the light water reactor. The study results aforementioned will be explained in detail below. The aforementioned study was executed for the core of the light water breeder reactor, for example, an object of the core of the BWR that the electric power is 1350 MW, and 720 fuel assemblies having 271 fuel rods per each fuel assembly are loaded in the core, and the breeding ratio is 1.01. In the light water breeder reactor, it is important that the negative void coefficient which is one of the important indexes of the breeding ratio, thermal margin and safety is satisfied in the well-balanced state under the effective neutron multiplication factor 1 which is a critical restrictive condition. As a result of the study of the inventors executed for the core of the BWR as a study object, it is found that the enrichment of fissionable Pu in all the nuclear fuel materials in the upper fissile zone is lowered, and the enrichment of fissionable Pu in all the nuclear fuel materials in the lower fissile zone is increased, thus as mentioned above, the thermal margin of the core can be increased without deteriorating the breeding ratio and void coefficient. Generally, when the enrichment of fissionable Pu is increased, the neutron spectrum in the fissile zone in which a fissionable material exists is shifted on the high energy side, and the number of neutrons generated when the TRUs undergo fission is increased, and fast fission of the fertile material such as U-238 is increased. Therefore, the number of neutrons leaking in the blanket zone from the fissile zone is increased and it contributes to an increase in the breeding ratio. However, since the height of the fissile zone necessary to keep the core critical is reduced, the total length of the fuel rod is shortened, and the thermal margin is reduced. On the other hand, the absolute value of the negative void coefficient of the core is increased and the safety margin is increased. However, when the enrichment of fissionable Pu in all the nuclear fuel materials in the upper fissile zone is lowered and the enrichment of fissionable Pu in all the nuclear fuel materials in the lower fissile zone is increased, as mentioned previously, the height of the nuclear fuel material zone can be increased. Therefore, the thermal margin of the core is increased. The inventors studied the core that the rate of fissionable Pu occupying in all the nuclear fuel materials in the lower fissile zone is made larger than the rate of fissionable Pu occupying in all the nuclear fuel materials in the upper fissile zone formed in the nuclear fuel material zone. FIG. 7 shows one of the study results. The inventors studied the changes of the respective heights of the upper fissile zone, lower fissile zone, and nuclear fuel material zone in a fresh fuel assembly loaded in the equilibrium core of the light water breeder reactor when the ratio of the enrichment of fissionable Pu in the upper fissile zone to the enrichment of fissionable Pu in the lower fissile zone (hereinafter simply referred to as the ratio of the enrichment of fissionable Pu) is changed. FIG. 7 shows a relation between the ratio of the enrichment of fissionable Pu and the height of each zone. A characteristic P indicates change of the height of the upper fissile zone due to the ratio of the enrichment of fissionable Pu. A characteristic Q indicates change of the height of the lower fissile zone due to the ratio of the enrichment of fissionable Pu. A characteristic R indicates the change of the height of the nuclear fuel material zone due to the ratio of the enrichment of fissionable Pu. In consideration of the criticality of the core and the flatness of the power distribution in the axial direction of the core, when the enrichment of fissionable Pu in all or to a total of the TRUs or the nuclear fuel material in the upper fissile zone is 17% and the enrichment of fissionable Pu in all or to a total of the TRUs or the nuclear fuel material in the lower fissile zone is 19%, the height of the upper fissile zone becomes about 1.1 times of the height of the lower fissile zone. When the enrichment of fissionable Pu to a total of all the nuclear fuel material in the upper fissile zone is 14% and the enrichment of fissionable Pu to a total of all the nuclear fuel material in the lower fissile zone is 22%, the height of the upper fissile zone becomes about 2.1 times of the height of the lower fissile zone. Each characteristic shown in FIG. 7 indicates evaluation results when an average of the enrichment of fissionable Pu to a total of all the nuclear fuel material in the upper fissile zone and the enrichment of fissionable Pu to a total of all the nuclear fuel material in the lower fissile zone is 18%. Even if the average of the enrichment of the upper fissile zone and lower fissile zone is changed between 16% and 20%, the height of the upper fissile zone and the height of the lower fissile zone to the ratio of the enrichment of fissionable Pu are changed similarly to the above case when the average of enrichment is 18%. The inventors studied, in a fresh fuel assembly loaded in the equilibrium core of the light water breeder reactor, the change of the void coefficient in each state that the ratio of the enrichment of fissional Pu is changed, and the change of the reactivity inserted to the core when the overall core becomes the state of 100% void, in each state that the ratio of the enrichment of fissional Pu is changed. FIG. 8 obtained from the study results shows a relation between the ratio of the enrichment of fissional Pu and the void coefficient, and a relation between the ratio of the enrichment of fissional Pu and the inserted reactivity when the overall core becomes the state of 100% void. A characteristic S indicates the change of the void coefficient due to the ratio of the enrichment of fissional Pu. A characteristic T indicates the change of the inserted reactivity due to the ratio of the enrichment of fissional Pu. Since the BWR has a density distribution of hydrogen atoms for bearing the neutron moderation function in the axial direction of the core, it is desirable that the enrichment of fissional Pu in the lower fissile zone having a large hydrogen atom density is made higher than the enrichment in the upper fissile zone having a small hydrogen atom density. If the enrichment of fissional Pu is made excessively higher than 22%, the increase effect of the enrichment of fissional Pu becomes weak due to the self shielding effect of various TRUs in the resonance energy zone. Thus, the quantity of fissional Pu necessary to keep the core critical is increased unnecessarily and the economical efficiency of fuel of the BWR is impaired. Additionally, if the enrichment of fissional Pu is made excessively lower than 14%, the neutron energy spectrum is transferred to the low energy side, and the breeding ratio is lowered, and furthermore, the deterioration of the void coefficient exceeds 10%, and there are possibilities that the economical efficiency of fuel and safety of the BWR may be impaired. However, as indicated by the characteristic T in FIG. 8, if the enrichment of fissionable Pu in all the nuclear fuel materials in the lower fissile zone becomes smaller than 1.05 (about 18.5/17.5) times of the enrichment of fissionable Pu in all the nuclear fuel materials in the upper fissile zone, the inserted reactivity when the overall core is assumed to become the state of 100% void exceeds 1 dollar (about 0.34% ΔK) and the core becomes a prompt critical region. The core must be avoided from entering the prompt critical region. Therefore, in correspondence with the case that a first accident beyond the design basis accident occurs and the high pressure core injection system is operated, the enrichment of fissionable Pu in all the nuclear fuel materials in the lower fissile zone must be set to a value not less than 1.05 times of the enrichment of fissionable Pu in all the nuclear fuel materials in the upper fissile zone. When the enrichment of fissionable Pu in all the nuclear fuel materials in the lower fissile zone exceeds 1.6 (22/14) times of the enrichment of fissionable Pu in all the nuclear fuel materials in the upper fissile zone, the absolute value of the negative void coefficient is reduced, and depending on the kind of an abnormal transient or an accident, there are possibilities that a case that it is difficult to meet the safety basis may occur. Thus, the enrichment of fissionable Pu in all the nuclear fuel materials in the lower fissile zone is set to a value not more than 1.6 times of the enrichment of fissionable Pu in all the nuclear fuel materials in the upper fissile zone. According to the characteristic T shown in FIG. 8, the enrichment of fissionable Pu in all the nuclear fuel materials in the lower fissile zone is set to a value not less than 1.25 (20/16) times of the enrichment of fissionable Pu in all the nuclear fuel materials in the upper fissile zone, thus even if the overall core becomes the state of 100% void from some cause, the insertion of positive reactivity to the core can be avoided. Therefore, the enrichment of fissionable Pu in all the nuclear fuel materials in the lower fissile zone is within the range from 1.05 to 1.6 of the enrichment of fissionable Pu in all the nuclear fuel materials in the upper fissile zone, thus the thermal margin of the core can be increased. As a result, the safety margin of the core can be improved without impairing the economical efficiency of fuel of the core. Preferably, it is desirable that the enrichment of fissionable Pu in all the nuclear fuel materials in the lower fissile zone is within the range from 1.25 to 1.6 of the enrichment of fissionable Pu in all the nuclear fuel materials in the upper fissile zone. The aforementioned indicates the study results for the equilibrium core, though the same may be said with an initial core and a transition core toward the equilibrium core. The aforementioned core structure of (4) will be explained below. It is considered that the spent nuclear fuel included in the spent fuel assemblies generated in a large quantity from the light water reactor is responded by either of a method for executing the nuclear fuel reprocessing and recycling TRUs and a method for directly executing ground disposal of the spent fuel assemblies. However, a site of the ground disposal of the spent fuel assemblies is not determined easily, and thus a way of intermediate storage of the spent fuel assemblies may be considered. On the other hand, there is concern that the apprehension for a TRU newly generated by the operation of the light water reactor to become a long-life radioactive waste material may prevent additional establishment of a light water reactor. Therefore, as a present countermeasure for the spread of the light water reactor, the inventors studied that the TRU is permitted to fission using the BWR in operation at present and the number of spend fuel assemblies is greatly reduced. As an example that a TRU is recycled by the light water reactor in operation at present, only one recycling of only Pu among TRUs which is referred to as the so-called Pu thermal use is executed in Europe. However, when repeatedly continuing the TRU recycling, the restrictive conditions for safety cannot be met, so that it is necessary to repeat the multiple-recycling of TRUs while the restrictive conditions for safety are met and greatly reduce the number of spent fuel assemblies. The inventors studied a countermeasure capable of reducing the number of spent fuel assemblies. As a result, the inventors found that a plurality of fuel assemblies including transuranic nuclides the recycle frequencies of which are different are loaded, and among these fuel assemblies, a plurality of fuel assemblies including the transuranic nuclide having the smallest recycle frequency are disposed at the central part of the core, and between the central part and the outermost layer zone of the core, the fuel assemblies including the transuranic nuclides having larger recycle frequencies are disposed on the side of the outermost layer zone of the core (the core structure of (4)), thus the number of spend fuel assemblies can be reduced. The core structure of (4), for example, can be realized as described below. Namely, TRUs different in the recycle frequency are included in separate fuel assemblies and these fuel assemblies are loaded in the core of one light water reactor. Each fuel assembly that TRUs having the same recycle frequency are enriched when the burnup is 0 and an in-core fuel dwelling time is different is loaded in the core in the neighboring state. A plurality of fuel assemblies including the transuranic nuclide having the smallest recycle frequency are disposed at the central part of the core, and between the central part and the outermost layer zone of the core, the fuel assemblies including the transuranic nuclides having larger recycle frequencies are disposed on the side of the outermost layer zone of the core. The TRUs different in the recycle frequency must be loaded separately in different fuel assemblies without being mixed. When enriching and multiple-recycling TRUs obtained by reprocessing spent nuclear fuel generated in the light water reactor using slightly enriched uranium and TRUs as nuclear fuel in uranium, the TRU loading quantity of the fresh fuel assembly may be decided for every fuel assembly including TRUs of the same recycle frequency so that an average values of the infinite effective multiplication factors of all the fuel assemblies which include the TRUs of the same recycle frequency and are different in the in-core fuel dwelling times become almost the same value. As the recycle frequency of a TRU is increased, the rate of Pu-239 in the TRU is reduced. Therefore, the core structure of (4) is the same as that when the TRU is multiply recycled, a plurality of fuel assemblies having a nuclear fuel material including the highest rate of Pu-239 in the TRU are disposed at the central part of the core, and between the central part and the outermost layer zone of the core, the fuel assemblies having a nuclear fuel material including a lower rate of Pu-239 in the TRU is disposed on the side of the outermost layer zone of the core. In the recycle light water reactor using a nuclear fuel material including TRUs obtained by the nuclear fuel reprocessing, the improvement of safety, increase of the thermal margin, and reduction of the number of spent fuel assemblies can be aimed at by use of the core structure of (4). The aforementioned study will be explained in detail below. The study was executed for an object of the core of the ABWR in operation at present. The object core of the ABWR is a core, for example, using slightly enriched uranium having an average enrichment of 4.8% where the electric power is 1350 MW and 872 fuel assemblies having 74 fuel rods per each fuel assembly are loaded in the core. The fuel assemblies using slightly enriched uranium as a nuclear fuel material, for example, are loaded in the core of the ABWR. For example, the core of the BWR where fresh fuel assemblies manufactured using the nuclear fuel material obtained by enriching only Pu recovered by reprocessing the spent nuclear fuel in the spent fuel assemblies generated in the ABWR in depleted uranium, natural uranium, or degraded uranium are loaded is generally referred to as a Pu thermal core. Among the Pu thermal cores, a core in which no fuel assemblies including slightly enriched uranium are loaded and all the fuel assemblies loaded have the nuclear fuel material including Pu recovered by the nuclear fuel reprocessing is referred to as Full MOX core. In the core of the light water reactor loading fuel assemblies having a nuclear fuel material including not only Pu but also all the TRUs recovered by the nuclear fuel reprocessing, the core loading only fuel assemblies including TRUs of a recycle frequency of one is called a TRU first generation recycle core. The core loading fuel assemblies including TRUs of a recycle frequency of two obtained by reprocessing the spent nuclear fuel included in the spent fuel assemblies taken out from the TRU first generation recycle core is called a TRU second generation recycle core. The core loading fuel assemblies including TRUs of a recycle frequency of three obtained by reprocessing the spent nuclear fuel included in the spent fuel assemblies taken out from the TRU second generation recycle core is called a TRU third generation recycle core. As the recycle frequency of fuel assemblies including TRUs is increased like this, the generation number of the core is increased. If the TRU recycle frequency is increased like this, the rate of the nuclides of even-numbered nucleus in the TRU is increased and the absolute value of the negative void coefficient is reduced. Therefore, the safety margin of the core is reduced and the TRU multiple-recycling cannot be continued. According to W. S. Yang et al., A Metal Fuel Core Concept for 1000 MWt Advanced Burner Reactor GLOBAL '07 Boise, USA, September, 2007, P. 52, in the FBR, a system capable of prolonging the TRU recycle generation than the aforementioned recycle system is studied. The Advanced Burner Reactor (ABR), in the TRU first generation recycle, uses the depleted uranium including the TRUs obtained by reprocessing the spent fuel of the light water reactor as a nuclear fuel material. In the TRU second generation recycle, it is tried to fill and recycle all the TRUs obtained by reprocessing the spent nuclear fuel included in the spent fuel assemblies taken out from the TRU first generation recycle core and to compensate for the insufficient TRUs reduced due to burn in the TRU first generation recycle core with the TRUs obtained by reprocessing the spent nuclear fuel of the light water reactor. As long as the light water reactor and ABR are operated continuously in parallel like this, the TRUs from the spent nuclear fuel generated from the light water reactor are continuously stored in the core of the light water reactor and fuel recycle equipment. Therefore, for the present, the storage of TRUs in other than the nuclear reactor can be avoided. If the concept of the ABR is tried using the ABWR, the TRU multiple-recycling can be continued longer than the Full MOX multiple-recycle core. However, though the TRU multiple-recycling has its limit to the TRU fourth generation recycle core, the number of spent fuel assemblies generated is reduced to about 1/10 compared with the case of no execution of the TRU recycling. By use of the core structure of (4), when the TRU multiple-recycling is continued up to the TRU eighth generation recycle core, the number of spent fuel assemblies generated is reduced to less than 1% of the number generated when the TRU recycling is not executed. As a result of the study of the inventors for an object of the ordinary Full MOX TRU multiple-recycle core, as a cause of transfer of the void coefficient to the positive side when the TRU recycling is continued, it is found that there are two events such that (I) the rate of even-numbered nucleus in the TRU is increased and (II) as the void coefficient in the core rises, the power distribution in the radial direction is transferred in the direction that it is high in the central portion of the core and low in the peripheral portion. In FIG. 9, a characteristic V indicates power distribution in the radial direction of the core during the rated power operation of the BWR. A characteristic X indicates power distribution in the radial direction of the core when the overall core becomes the state of 100% void. As the recycle generation of the TRU recycle core advances, the rise rate of the infinite neutron multiplication factor of the fuel assemblies when the void fraction of coolant is increased is increased. Using the event, a plurality of fuel assemblies including transuranic nuclides the recycle frequencies of which are different are loaded, and among the fuel assemblies, a plurality of fuel assemblies including the transuranic nuclide having the smallest recycle frequency are disposed at the central part of the core, and between the central part and the outermost layer zone of the core, the fuel assemblies including the transuranic nuclides having larger recycle frequencies are disposed on the side of the outermost layer zone of the core, thus the shift of the power distribution to the central part of the core in the radial direction can be relaxed. By doing this, the TRU multiple-recycling becomes feasible while the safety basis is satisfied and the number of spent fuel assemblies generated can be reduced. The relaxation of the shift of the power distribution to the central part of the core will be explained concretely below. The inventors found that one of main causes of insertion of large positive reactivity to the nuclear fuel material zone when the overall core becomes the state of 100% void is that when the overall core transfers to the state of 100% void from the state of the void distribution in the rated power of the BWR, the power distribution in the radial direction is shifted to the central part of the core of a high neutron importance. When executing the TRU multiple-recycling, the rate of Pu-239 in all the TRUs is reduced successively as the TRU recycle frequency is increased and when the void fraction is increased, the increase quantity of the infinite neutron multiplication factor of the fuel assemblies including TRUs is increased. As a consequence, the fuel assemblies including TRUs having a small recycle frequency is loaded at the central part of the core, and the fuel assemblies including TRUs having a large recycle frequency is loaded in the peripheral part of the core, thus it can be relaxed that the power distribution which is generated when the overall core transfers to the state of 100% void from the state of the void distribution during the rated power operation, shifts to the central part of the core in the radial direction. Therefore, even though the overall core becomes the state of 100% void, a core free of insertion of positive reactivity can be realized. Further, the flattening of the power distribution in the radial direction of the core, between the fuel assemblies including TRUs different in the recycle frequency, is executed by adjusting the rate of the number of fuel assemblies to be loaded. In an example of the core of the light water reactor to which the core structure of (4) is applied, a state of the core when the operation of the reactor is started in one operation cycle is shown in FIG. 10. This core of the light water reactor has a plurality of fuel assemblies A to H, which are fuel assemblies from a plurality of fuel assemblies including TRUs of the recycle frequency of one to a plurality of fuel assemblies including TRUs of the recycle frequency of eight, separately including TRUs of each of the recycle frequencies of one to eight. In FIG. 10, the alphabets A, B, C, D, E, F, G, and H indicate the recycle frequencies of the TRUs. In FIG. 10, the numerals 1, 2, 3, 4, and 5 added after the alphabets indicate the stay period (the number of operation cycles) of each of the concerned fuel assemblies in the core. For example, the fuel assembly B3 is a fuel assembly which includes TRUs of the recycle frequency of two and is experiencing the operation in the third operation cycle after it is loaded in the core. The numeral “5” indicates a fuel assembly in experience in the fifth operation cycle. The fuel assemblies A to C and a part of the fuel assembly D are taken out from the nuclear reactor as a spent fuel assembly after finishing of the operation in the fourth operation cycle after loaded in the core. The remainder of the fuel assembly D and the fuel assemblies F to H stay in the core until finishing of the operation in the fifth operation cycle after loaded in the core. In the equilibrium core, the TRUs recovered after reprocessing the spent nuclear fuel included in the fuel assembly A4 taken out from the core as a spent fuel assembly are all scattered and filled in a plurality of fuel assemblies B1 freshly manufactured. The TRUs recovered after reprocessing the spent nuclear fuel included in the fuel assembly B4 taken out from the core as a spent fuel assembly are all scattered and filled in a plurality of fuel assemblies C1 freshly manufactured. Similarly, the TRUs recovered from the spent nuclear fuel included in the fuel assembly C4 taken out from the core are all scattered and filled in a plurality of fresh fuel assemblies D1 and the TRUs recovered from the spent nuclear fuel included in the fuel assembly E5 taken out from the core are all scattered and filled in a plurality of fresh fuel assemblies F1. The TRUs recovered from the spent nuclear fuel included in the fuel assembly G5 are all scattered and filled in a plurality of fresh fuel assemblies H1 and finally, only the fuel assembly H5 remains as a spent fuel assembly. A to H is determined so as to make the infinite effective multiplication factors of the respective fuel assemblies almost equal and so as to keep the power distribution in the radial direction of the core flat. In the core shown in FIG. 10, the respective numbers of the fuel assemblies A to H at the time of a burnup of 0 are respectively 100 each, 40 each, 24 each, 16 each, 12 each, 8 each, 4 each, and 4 each. A plurality of fuel assemblies including TRUs of the same recycle frequency are disposed so that the fuel assemblies different in the in-core fuel dwelling time are disposed side by side. The fuel assemblies including TRUs having larger recycle frequencies are disposed on the side of the outermost layer zone of the core, thus when the void fraction of the core is increased, the increase of the infinite neutron effective multiplication factor at the central part of the core is made relatively smaller than the increase of the infinite neutron effective multiplication factor in the peripheral part of the core, compared with the core in which only the fuel assemblies including TRUs of the same recycle frequency are loaded. Therefore, the shift of the power distribution in the radial direction to the central part of the core is reduced. As a result, although the nuclear fuel assemblies including separately each TRU of up to the recycle frequency of eight are loaded in the core, keeping the void coefficient at −4×10−4% Δk/% void, the light water reactor can be operated. In this core, it is found that the number of spent fuel assemblies can be reduced to 0.5% or less compared with the case that the TRU is not recycled. The example that each fuel assembly from the fuel assemblies including TRUs of the recycle frequency of one to the fuel assemblies including TRUs of the recycle frequency of eight coexist in one core is explained above, though the following core structure may be used. For example, the core loading only fuel assemblies including TRUs of the recycle frequency of one, the core loading fuel assemblies including TRUs of the recycle frequency of one and fuel assemblies including TRUs of the recycle frequency of two, and the core loading fuel assemblies including TRUs of the recycle frequency of one, fuel assemblies including TRUs of the recycle frequency of two, and fuel assemblies including TRUs of the recycle frequency of three may be considered. Further, the case that all the TRUs recovered from each spent fuel assembly taken out from the light water reactor are recycled is discussed above, though to the case that only Pu among the recovered TRUs is recycled and the case that several nuclides among the TRUs are identified and are recycled together with Pu, the concept when all the TRUs are recycled can be applied straight. By combining several core structures among the core structure of (1), (2), and (3), the safety margin can be improved more. For example, when the core structure of (1) is combined with the core structure of (2), the safety margin is increased more than that of the individual the core structure of (1) and when the combination of the core structures of (1) and (2) is furthermore combined with the core structure of (3), the safety margin is increased more than that of the combination of the core structures of (1) and (2). The aforementioned may be said with the combination of other two core structures including the core structure of (2) and the combination of other two core structures including the core structure of (3). The embodiments of the present invention with the aforementioned concept applied will be explained in detail below with reference to the accompanying drawings. A core of a light water reactor according of embodiment 1 which is a preferable embodiment of the present invention will be explained in detail below by referring to FIGS. 11 to 20 and Table 1. A core 20 of the light water reactor of the present embodiment includes the aforementioned core structures of (1), (2), and (3). TABLE 1NuclideComposition (wt %)Np-2370.5Pu-2382.9Pu-23944.0Pu-24036.0Pu-2415.2Pu-2424.9Am-2413.6Am-242M0.1Am-2431.3Cm-2441.1Cm-2450.3Cm-2460.1 The core 20 of the light water reactor is a core for electric power of 1350 MW, though the power scale is not limited to it. The number of fuel assemblies loaded in the core 20 is changed, thus a core of another power scale to which the present embodiment can be applied can be realized. The overview of the boiling water reactor (BWR) which is a light water reactor for electric power of 1350 MW to which the core 20 of the present embodiment is applied will be explained by referring to FIG. 11. A BWR 1 disposes the core 20, a steam separator 21 and a steam dryer 22 in a reactor pressure vessel 27. The core 20 is surrounded by a core shroud 25 in the reactor pressure vessel 27. A core support plate 17 disposed at a lower end portion of the core 20 is placed inside the core shroud 25 and mounted to the core shroud 25. An upper grid plate 18 disposed at an upper end portion of the core 20 is disposed in the core shroud 25 and mounted to it. A plurality of control rods 42 are arranged in an insertable position into the core 20. The control rods 42 are inserted into the core 20 from underneath. Steam separators 21 are disposed above the core 20 and the steam dryer 22 is disposed above the steam separator 21. A plurality of internal pumps 26 are installed at the bottom of the reactor pressure vessel 27 and the impellers of the internal pumps 26 are disposed in a downcomer 29 formed between the reactor pressure vessel 27 and the core shroud 25. A main steam pipe 23 and a water feed pipe 24 are connected to the reactor pressure vessel 27. The BWR 1, as an emergency core cooling system when coolant fed to the core is lost from some cause, is provided with a low pressure core injection system 31 and a high pressure core injection system 32. In the core 20, as shown in FIG. 12, 720 fuel assemblies 41 are loaded. A plurality of Y-shaped control rods 42 are installed at a rate of one each per three fuel assemblies 41 and 223 control rods 42 are disposed. The respective control rods 42 are connected to the respective control rod drive mechanisms installed at the bottom of the reactor pressure vessel 27. The control rod drive mechanisms are driven by a motor and can finely adjust the movement of the control rods 42 in the axial direction. The control rod drive mechanisms execute each operation of withdrawal of the control rods 42 from the core 20 and insertion of the control rods 42 into the core 20. The control rods 42 of about ⅕ of the 223 control rods 42 are control rods for adjusting the reactor power by inserting into and withdrawing from the core 20 of the BWR 1 in operation and the residual control rods of about ⅘ are in a state withdrawn completely from the core 20 of the BWR 1 in operation, are the control rods 42 to be inserted into the core 20 when stopping the nuclear reactor. The fuel assembly 41 has a nuclear fuel material zone 16 in which the nuclear fuel material is filled and in the nuclear fuel material zone 16, five zones of an upper blanket zone 5, an upper fissile zone 6, an internal blanket zone 7, a lower fissile zone 8, and a lower blanket zone 9 are formed successively from above. Additionally, the fuel assembly 41 has a zone for forming an upper reflector zone 10 above the upper blanket zone 5 in the state that it is loaded in the core 20 and furthermore, has another zone for forming a lower reflector zone 11 under the lower blanket zone 9 in the state that it is loaded in the core 20 (refer to FIG. 16). The core 20 has a nuclear fuel material zone 12 including the nuclear fuel material, an upper reflector zone 10A, and a lower reflector zone 11A. The upper reflector zone 10A is formed above the nuclear fuel material zone 12 and is formed by the upper reflector zone 10 of each of the fuel assemblies 41 loaded in the core 20. The lower reflector zone 11A is formed under the nuclear fuel material zone 12 and is formed by the lower reflector zone 11 of each of the fuel assemblies 41 loaded in the core. The nuclear fuel material zone 12 of the core 20 is formed by the nuclear fuel material zones 16 of all the fuel assemblies 41. The nuclear fuel material zone 12 has five zones of an upper blanket zone 5A formed by the upper blanket zones 5, an upper fissile zone 6A formed by the upper fissile zones 6, an internal blanket zone 7A formed by the internal blanket zones 7, a lower fissile zone 8A formed by the lower fissile zones 8, and a lower blanket zone 9A formed by the lower blanket zones 9. The upper blanket zone 5A, upper fissile zone 6A, internal blanket zone 7A, lower fissile zone 8A, and lower blanket zone 9A are disposed in this order from an upper end of the nuclear fuel material zone 12 toward a lower end of the nuclear fuel material zone 12. The core 2 is a parfait core. The zones 10A, 5A, 6A, 7A, 8A, 9A, and 11A are disposed in the same positions as those of the respective zones 10, 5, 6, 7, 8, 9, and 11 of the fuel assembly 41 in the height direction of the core 20. In the cross section of the zone where the nuclear fuel material of the fuel assembly 41 is loaded, 271 fuel rods 44 with an outside diameter of 10.1 mm are arranged in an equilateral triangle lattice in a channel box 13 which is a hexagonal cylinder as shown in FIG. 13. The shape of the cross section of the fuel assembly 41 is hexagonal and the gap between a plurality of fuel rods 44 included in the fuel assembly 41 is 1.3 mm. A fuel rod row in the outermost layer includes nine fuel rods 44. The control rods 42 having a Y-shaped cross section have three blades extending toward the outside from the tie-rod positioned at the center. Each blade has a plurality of neutron absorbing members 3 filled with B4C which is neutron absorbing material and is arranged at an interval of 120° around the tie-rod. The control rod 2 has a follower made of carbon, which has a smaller slowing down power than light water, in an insertion end that is first inserted into the core 20. The structure of the fuel assembly 41 will be explained below by referring to FIG. 17. The fuel assembly 41 is provided with an upper tie-plate (upper fuel support member) 14, a lower tie-plate (lower fuel support member) 15, a plurality of neutron absorbing members (for example, neutron absorbing rods) 3, a plurality of fuel rods 44, and a channel box 13. The lower end portion of each of the fuel rods 44 is supported by the lower tie-plate 15 and the upper end portion of each of the fuel rods 44 is supported by the upper tie-plate 14. Each of the fuel rods 44 has a sealed cladding made of a zirconium alloy and in the cladding of each of the fuel rods 44, in the axial direction, a plenum 2, the nuclear fuel material zone 16, and the neutron absorbing material filling zone 4 are arranged in this order from the upper end toward underneath. A plurality of fuel pellets including the nuclear fuel material are filled in the nuclear fuel material zone (the active fuel length) 16 positioned above the neutron absorbing material filling zone 4 filled with B4C which is a neutron absorbing material. In the neutron absorbing material filling zone 4, a hafnium rod may be disposed. The rate of the cross sectional area of the fuel pellet occupying a cross sectional area of unit fuel rod lattice in the channel box 13 is 53%. An outside diameter of the fuel rod 44 (an outside diameter of the cladding) at the respective positions of the neutron absorbing material filling zone 4 and the nuclear fuel material zone 16 is equal to 10.1 mm. The outside diameter of the fuel rod 44 (the outside diameter of the cladding) at the position of the plenum 2 is 5.8 mm and is smaller than the outside diameter of the fuel rod 44 at the position of the nuclear fuel material zone 16. A length of the plenum 2 is 1100 mm. The plenum 2 is interconnected to the neutron absorbing material filling zone 4 and the nuclear fuel material zone 16 in the fuel rod 44 In the nuclear fuel material zone 16, each of the fuel rods 44 is held by a fuel spacer (not shown) at several locations in the axial direction. The fuel spacers hold the intervals between the mutual fuel rods 44 at a predetermined width. The portion of the plenum 2 of each of the fuel rods 44 is supported by three fuel spacers 33 at three locations. Each of the neutron absorbing members 3 is held on the upper tie-plate 14 by a support rod (support member) 45 made of a zirconium alloy. In the neutron absorbing member 3, B4C pellets are filled in the sealed tube with an outside diameter of 6 mm. This tube is attached to the support rod 45. The neutron absorbing member 3 may be structured so as to fill the hafnium rods in the tube. Each of the neutron absorbing members 3 is disclosed between the mutual plenums 2 of the neighboring fuel rods 44 and the neutron absorbing members 3 are installed in a ratio of one per one fuel rod 44 (refer to FIG. 18). Each of the neutron absorbing members 3 is disposed between the upper end of the nuclear fuel material zone 12, that is, the upper end of the nuclear fuel material zone 16 and a lower end of the upper tie-plate 14. A length of the neutron absorbing members 3 is 500 mm and a distance between the upper end of the nuclear fuel material zone 16 and the lower end of the neutron absorbing members 3 is 300 mm. In the present embodiment, a rate of a total cross sectional area of all the neutron absorbing members 3 to a cross sectional area of the fuel assembly lattice is 16.8%. A rate of a total cross sectional area of all the neutron absorbing material filling zone 3 to the cross sectional area of the fuel assembly lattice is 49.3%. In the value of 49.3%, a cross sectional area of the control rod 42 is not included. When the BWR 1 is in operation, the cooling water in the downcomer 29 is pressurized by the rotation of the internal pump 26 and is supplied to the core 20. The cooling water supplied into the core 20 is introduced to each of the fuel assemblies 41 and is heated by the heat generated by fission of the fissional material and a part of it becomes steam. A vapor-liquid two-phase flow including cooling water and steam moves up in the upper reflector zone 10 in the fuel assembly 41. The vapor-liquid two-phase flow is introduced to the steam separator 21 from the core and the steam is separated by the steam separator 21. Moisture is removed more from the separated steam by the steam dryer 22. The steam in which the moisture was removed is supplied to a turbine (not shown) through the main steam pipe 23 and rotates the turbine. A generator (not shown) connected to the turbine is rotated and power is generated. Steam discharged from the turbine is condensed by a condenser (not shown) to condensed water. The condensed water, as feed water, is introduced into the reactor pressure vessel 27 through the water feed pipe 24. The cooling water separated by the steam separator 21 is mixed with the aforementioned feed water in the down corner 29 and is pressurized again by the internal pump 26. The arrangement of the fuel assemblies 41 in the equilibrium core will be explained by referring to FIGS. 14 and 15. Fuel assemblies 41E in the operation cycle of which is the fifth cycle and staying in the core for the longest time in the in-core fuel dwelling time, are disposed in a core outermost layer region 46 of the core 20 having low neutron impedance. Fuel assemblies 41A, which have the highest neutron infinite multiplication factor and stay in the core 20 in a first cycle in the in-core fuel dwelling time are loaded in a core outer region 48 internally adjacent to the core outermost layer region 46, flattening the power distribution in radial directions of the core 20. Fuel assemblies 41B, 41C, and 41D in the operation cycles of which are respectively second cycle, third cycle, and fourth cycle in the in-core fuel dwelling time, are dispersed in a core inner region 50. By such an arrangement, the power distribution in the core inner region 50 is intended to flatten. The fuel assemblies 41A, 41B, 41C, 41D, and 41E are respectively the fuel assembly 41 shown in FIG. 13 and FIGS. 19 and 20 which will be described later. The lower tie-plates 15 of these fuel assemblies are supported by a plurality of fuel supports (not shown) installed on the core support plate 17. Coolant paths through which the cooling water is fed to the fuel assemblies supported by the fuel support are formed in the fuel support and an orifice (not shown) attached in the fuel support is disposed at the inlet of each of the coolant paths. In the core 20, three regions of the core outermost layer region 46, the core outer region 48, and the core inner region 50 are formed in the radial direction (refer to FIG. 15). The orifice disposed in the core outermost layer region 46, where the power of the fuel assembly 41 is smallest, has a smallest bore and the bore is increased in the order of the orifice positioned in the core outer region 48 and the orifice positioned in the core inner region 50. The bore of the orifice positioned in the core inner region 50 is largest. The height of each of the zones in the nuclear fuel material zone 16 of the fuel assembly 41 is as shown below as shown in FIG. 16. The height of the upper blanket zone 5 (the upper blanket zone 5A) is 70 mm, and the height of the upper fissile zone 6 (the upper fissile zone 6A) is 283 mm, and the height of the internal blanket zone 7 (the internal blanket zone 7A) is 520 mm, and the height of the lower fissile zone 8 (the lower fissile zone 8A) is 194 mm, and the height of the lower blanket zone 9 (the lower blanket zone 9A) is 280 mm. Furthermore, the upper reflector zone 10 (upper reflector zone 10A) with a length of 1100 mm from the upper end of the nuclear fuel material zone 16 toward above is formed. The upper reflector zone 10 includes cooling water (when the BWR 1 is in operation, a vapor-liquid two-phase flow) existing between the mutual plenums 2 of the fuel rods 41. The lower reflector zone 11 (lower reflector zone 11A) with a length of 70 mm from the lower end of the nuclear fuel material zone 16 toward underneath is formed. The lower reflector zone 11 includes cooling water existing between the mutual neutron absorbing material filling zones 4 of the fuel rods 41. The numerical values of the length of the upper reflector zone 10 and the length of the lower reflector zone 11 indicate the length among the length of the fuel rods arranged in the fuel assemblies in the axial direction. The same may be said with the length of the upper reflector zone 10 and the length of the lower reflector zone 11 in each embodiment described later. The neutron absorbing members 3 and support rods 45 are disclosed in the upper reflector zone 10 (upper reflector zone 10A). When the burnup of the fuel assembly 41 is zero, in all the fuel rods 44 (the fuel rods 44A to 44E shown in FIG. 19) of the fuel assembly 41, depleted uranium is filled in the three blanket zones of the upper blanket zone 5, the internal blanket zone 7 and the lower blanket zone 9, and when the TRU weight is assumed as 100, mixed oxide fuel at an enrichment of 15.7 wt % of fissionable Pu with the depleted uranium mixed at a rate of weight 213 is filled in the upper fissile zone 6, and when the TRU weight is assumed as 100, mixed oxide fuel at an enrichment of 20.2 wt % of fissionable Pu with the depleted uranium mixed at a rate of weight 143 is filled in the lower fissile zone 8. The upper blanket zone 5, internal blanket zone 7, and lower blanket zone 9 include no TRUs. The average enrichment of fissionable Pu in the upper fissile zone 6 and the lower fissile zone 8 is 17.5 wt %. The TRU is a material recovered by the nuclear fuel reprocessing from the nuclear fuel material (spent nuclear fuel) included in the fuel assembly 41 taken out from the reactor pressure vessel 27 as a spent fuel assembly. In each blanket zone, the mixed oxide fuel is not filled. Further, in each blanket zone, instead of depleted uranium, natural uranium or degraded uranium recovered from the spent fuel assemblies may be used. The fuel assembly 41 has a plurality of fuel rods 44A to 44E as fuel rods 44 and these fuel rods are arranged as shown in FIGS. 19 and 20. FIG. 19 shows a cross section of the fuel assembly 41 in the upper fissile zone 6. FIG. 20 shows a cross section of the fuel assembly 41 in the lower fissile zone 8. The mixed oxide fuel filled in the respective upper fissile zones 6 of the fuel rods 44A to 44E has the enrichment of fissionable Pu indicated below in the state of a burnup of 0 (refer to FIG. 19). In the fuel rod 44A, the enrichment of fissionable Pu is 8.4 wt %, and in the fuel rod 44B, the enrichment of fissionable Pu is 11.2 wt %, and in the fuel rod 44C, the enrichment of fissionable Pu is 14.5 wt %, and in the fuel rod 44D, the enrichment of fissionable Pu is 15.9 wt %, and in the fuel rod 44E, the enrichment of fissionable Pu is 17.2 wt %. The mixed oxide fuel filled in the respective lower fissile zones 8 of the fuel rods 44A to 44E has the enrichment of fissionable Pu indicated below in the state of a burnup of 0 (refer to FIG. 20). In the fuel rod 44A, the enrichment of fissionable Pu is 13.1 wt %, and in the fuel rod 44B, the enrichment of fissionable Pu is 15.9 wt %, and in the fuel rod 44C, the enrichment of fissionable Pu is 19.2 wt %, and in the fuel rod 44D, the enrichment of fissionable Pu is 20.7 wt %, and in the fuel rod 44E, the enrichment of fissionable Pu is 21.4 wt %. In each blanket zone of the fuel rods 44A to 44E, there exists no TRU, though each mixed oxide fuel in the upper fissile zone 6 and lower fissile zone 8 of the fuel rods 44A to 44E includes the TRUs of the composition shown in Table 1. In the fuel assembly 41, the rate of fissionable Pu-239 in all the TRUs is 44 wt % in the state of a burnup of 0. Table 1 shows the composition of the TRUs existing in the nuclear fuel material included in the fresh fuel assemblies loaded in the core which is obtained by reprocessing the nuclear fuel material in the spent fuel assemblies. This spent fuel assemblies stayed outside the BWR 1 for two years in the fuel storage pool and fuel reprocessing equipment and for one year in the fuel manufacture equipment, that is, for three years in total after taken out from the core 20. During the operation of the BWR 1, the volatile fission product generated by fission of the fissionable material in each of the fuel rods 44 is stored in the plenum 2. Since the plenum 2 has the length of 1100 mm, it can store the sufficient quantity of the volatile fission product generated by fission of the fissionable material. Therefore, the soundness of the fuel rods 44 can be ensured. According to the present embodiment, even though it is assumed that the overall core becomes the state of 100% void, which is an impossible event as an initiating event in the ABWR, neutrons leaking upward or downward from the nuclear fuel material zone 12 can be absorbed by the neutron absorbing members 3 and neutron absorbing material filling zones 4 because a plurality of neutron absorbing members 3 with a length of 500 mm are disposed at the position 300 mm upward from the upper end of the nuclear fuel material zone 12 and a plurality of neutron absorbing material filling zones 4 are disposed downward from the lower end of the nuclear fuel material zone 12. Therefore, even though the overall core becomes the state of 100% void, the insertion of positive reactivity to the nuclear fuel material zone 12 can be avoided. When such a state occurs, negative reactivity is inserted to the nuclear fuel material zone 12. In addition, the core 20 has the upper fissile zone 6 with an enrichment of fissionable Pu of 15.7 wt % and a height of 283 mm and the lower fissile zone 8 with an enrichment of fissionable Pu of 20.2 wt % and a height of 194 mm. The average enrichment of fissionable Pu in the upper fissile zone 6 and the lower fissile zone 8 is 17.5 wt %. The total of the height of the lower fissile zone 8 and the height of the higher fissile zone 6 is 477 mm, and the height of the higher fissile zone 6 is 1.46 times of the height of the lower fissile zone 8. The enrichment of fissionable Pu in the lower fissile zone 8 is 1.29 times the enrichment of fissionable Pu in the higher fissile zone 6. In such core 20, the breeding ratio is 1 or more and the thermal margin can be increased more. As a result, the core 20 of the present embodiment can reduce a maximum linear heat generating rate by 2% in comparison with that when the enrichment of fissionable Pu in both upper and lower fissile zones are the same and the void coefficient is negative. The BWR 1 having such a core 20 can continue the TRU multiple-recycling. Since the present embodiment has the core structures of (1), (2), and (3), even though the overall core becomes the state of 100% void, the positive reactivity is not inserted to the nuclear fuel material zone 12, and the soundness of the fuel rods 44 is increased, and the thermal margin is increased. Consequently, the safety margin can be improved more without impairing the economical efficiency of fuel of the light water reactor. In the core 20 of the present embodiment, when the same electric power of 1350 MW as that of the ABWR is generated by using the reactor pressure vessel 27 of almost the same size as that of the current ABWR, a discharge burnup of the nuclear fuel material zone 12 including the upper fissile zone 6A, lower fissile zone 8A, and internal blanket zone 7A excluding the upper blanket zone 5A and lower blanket zone 9A becomes 53 GWd/t and the discharge burnup of the nuclear fuel material zone 12 including the upper blanket zone 5A and lower blanket zone 9A becomes 45 GWd/t. In the core 20, the void coefficient becomes −3×10−4Δk/k/% void and the MCPR becomes 1.3. Therefore, in the core 20, a breeding ratio of 1.01 can be realized in the state that the rate of each isotope of the TRU is kept substantially constant as mentioned above. In the present embodiment, a flow path area of the vapor-liquid two-phase flow formed between the mutual plenums 2 of the fuel rods 44 on a section II-II of FIG. 17 becomes narrower the neutron absorbing members 3 are disposed in the upper reflector zone 10 and the pressure loss in the upper reflector zone 10 is increased. Since the pressure loss in the upper reflector zone 10 is smaller than the pressure loss of the core 20, there is no problem particularly. In the upper blanket zone 5 and the upper reflector zone 10, the pressure loss in the upper reflector zone 10 can be reduced by forming a plurality of openings passing through the side wall of the channel box 13. Neutron absorbing members 3A shown in FIG. 21 and neutron absorbing members 3B shown in FIG. 22 may be used in place of the neutron absorbing members 3 shown in FIGS. 17 and 18. A fuel assembly 41F shown in FIG. 21 has a structure that in the fuel assembly 41 shown in FIG. 17, the neutron absorbing members 3 are exchanged with the neutron absorbing members 3A. Other structures of the fuel assembly 41F are the same as that of the fuel assembly 41. The neutron absorbing members 3A are circular bodies and are disposed so as to surround the plenum 2 of each of the fuel rods 44. The neutron absorbing members 3A are structured so as to attach a circular sealed vessel 38 with an outside diameter of 8.8 mm arranged so as to surround the outside surface of the fuel rod 44 to the outside surface of the fuel rod 44 and to fill B4C in the circular zone formed between the outside surface of the fuel rod 44 and the sealed vessel. An upper end and lower end of the sealed vessel are sealed. When applying the neutron absorbing members 3A, the support rods 45 are not necessary. The fuel assembly 41G shown in FIG. 22 has a structure that in the fuel assembly 41 shown in FIG. 17, the neutron absorbing members 3 are exchanged with the neutron absorbing members 3B. Other structures of the fuel assembly 41G are the same as that of the fuel assembly 41. The neutron absorbing members 3B are an Hf plate and are disposed between the arrangement of the fuel rods 44. Both ends of each Hf plate with a thickness of 1.5 mm are attached to a frame member 5 with a hexagonal cross section. The frame members 5 are arranged along the inner surface of the channel box 13 and are attached to the upper tie-plate 14 by a plurality of support rods 45 and are disposed so as to enclose the plenum 2. By use of the neutron absorbing members 3A and 3B, the similar effect to embodiment 1 can be obtained. A core of a light water reactor according to embodiment 2 which is another embodiment of the present invention will be explained in detail below by referring to FIGS. 23 to 27 and Table 2. A core 20A of the light water reactor of the present embodiment has the aforementioned core structures of (1) and (2). TABLE 2NuclideComposition (wt %)Np-2370.1Pu-2384.8Pu-2398.5Pu-24039.1Pu-2414.5Pu-24226.0Am-2414.5Am-242M0.2Am-2434.8Cm-2444.5Cm-2451.4Cm-2461.1Cm-2470.2Cm-2480.3 The core 20A of the light water reactor has a structure that the fuel assembly 41 is exchanged with a fuel assembly 41H in the core 20 of embodiment 1. Other structure of the core 20A is the same as that of the core 20. The portion of the core 20A different from the core 20A will be explained. The core 20A is a parfait core similarly to the core 20. The BWR which is a light water reactor to which the core 20A is applied has a structure that the core 20 is exchanged with the core 20A in the BWR. The BWR has a structure similar to the BWR 1 except the core 20 and is a TRU burner reactor having the core 20A. The fuel assembly 41H (refer to FIGS. 23 and 25) loaded in the core 20A has 397 fuel rods 44F with an outside diameter of 7.2 mm arranged in an equilateral triangle lattice in the channel box 13. The gap between the mutual fuel rods 44F is 2.2 mm and a fuel rod row in the outermost layer includes nine fuel rods 44. The rate of the cross sectional area of the fuel pellets occupying in the cross sectional area of the unit fuel rod lattice in the channel box 13 is 36%. In the core 20A, the fuel assemblies 41A to 41D different in the experienced operation cycle number are disposed as shown in FIG. 24 in the state of the equilibrium core. The fuel assemblies 41D, the operation cycle of which is the fourth cycle, are disposed in the core outermost layer region 46 (refer to FIG. 15). The fuel assemblies 41A, the operation cycle of which is the first cycle, are disposed in the core outer region 48 and the fuel assemblies 41B, 41C, and 41D, the operation cycles of which are respectively the second cycle, third cycle and fourth cycle, are respectively scattered and disposed in the core inner region 50. There exists an intermediate zone, in which a plurality of fuel assemblies 41B are disposed circularly, between the core inner region 50 and the core outer region 48. The power distribution of such a core in the radial direction is flattened more. The fuel assemblies 41A to 41E shown in FIG. 24 are respectively the fuel assembly 41H. The nuclear fuel material zone 16A wherein the nuclear fuel material of the fuel assembly 41H exists (refer to FIG. 26) has a structure that the lower blanket zone 9 is removed from the fuel assembly 41. In the nuclear fuel material zone 16A, as shown in FIG. 26, the height of the upper blanket zone 5 is 20 mm, and the height of the upper fissile zone 6 is 218 mm, and the height of the internal blanket zone 7 is 560 mm, and the height of the lower fissile zone 8 is 224 mm. Additionally, the height of the upper reflector zone 10 formed above the upper blanket zone 5 is 1100 mm and the height of the lower reflector zone 11 formed under the lower fissile zone 8 is 70 mm. The nuclear fuel material zone 12 of the core 20A does not have the lower blanket zone 9A. The nuclear fuel material zone 12 includes the upper blanket zone 5A, upper fissile zone 6A, internal blanket zone 7A, and lower fissile zone 8A having the same heights as the respective heights of the upper blanket zone 5, upper fissile zone 6, internal blanket zone 7, and lower fissile zone 8. The structure of each of the fuel assemblies 41H will be explained below by referring to FIG. 25. Each of the fuel assemblies 41H has the same structure as that of the fuel assembly 44 except that the fuel rods 44 of the fuel assembly 41 are exchanged with the fuel rods 44F. The fuel rods 44F has the nuclear fuel material zone 16A aforementioned and has the plenum 2 above the nuclear fuel material zone 16A and the neutron absorbing material filling zone 4A under the nuclear fuel material zone 16A. The outside diameter of the plenum 2 is 3.7 mm and the length of the plenum 2 is 1100 mm. In the nuclear fuel material zone 16A of the fuel rod 44F, the upper blanket zone 5, upper fissile zone 6, internal blanket zone 7, and lower fissile zone 8 exist. The outside diameter of the portion of the fuel rod 44F in the neutron absorbing material filling zone 4A is 8.1 mm and is larger than the outside diameter of the portion of the fuel rod 44F in the nuclear fuel material zone 16A (refer to FIGS. 25 and 27). The neutron absorbing members 3 with an outside diameter of 6.2 mm are disposed between the plenums 2. A rate of a total cross sectional area of all the neutron absorbing material filling zone 4A to the cross sectional area of the fuel assembly lattice is 44.0%. The value 44.0% does not include the cross sectional area of the control rods 42. When the burnup of the fuel assembly 41H is 0, all the fuel rods 44F (the fuel rods 44A to 44E shown in FIG. 19) of the fuel assembly 41H fill the upper blanket zone 5 and the internal blanket zone 7 with depleted uranium and fill the upper fissile zone 6 and the lower fissile zone 8 with TRU oxide fuel including TRUs of the composition shown in Table 2 in the state of a burnup of 0. The enrichment of fissionable Pu of the TRU oxide fuel is 13.0 wt % and the rate of Pu-239 in the TRU is 8.5 wt %. The TRUs used in the fuel assembly 41H are obtained by reprocessing the spent nuclear fuel included in the spent fuel assembly. Each blanket zone is not filled with the mixed oxide fuel and does not include TRUs. Further, in each blanket zone, natural uranium or degraded uranium recovered from the spent fuel assembly may be used instead of depleted uranium. During the operation of the BWR, a sufficient quantity of the volatile fission product generated by the fission of the fissionable material in each of the fuel rods 44F can be stored in the plenum 2 with a length of 1100 mm. Therefore, the soundness of the fuel rods 44F is increased. According to the present embodiment, even if it is assumed that the overall core becomes the state of 100% void, which is an impossible event as an initiating event in the ABWR, the insertion of positive reactivity to the nuclear fuel material zone 12 can be avoided because a plurality of neutron absorbing members 3 with a length of 500 mm are disposed at the position 300 mm upward from the upper end of the nuclear fuel material zone 12 and a plurality of neutron absorbing material filling zones 4A are disposed downward from the lower end of the nuclear fuel material zone 12. When the state results, negative reactivity is inserted to the nuclear fuel material zone 12. Furthermore, the present embodiment can obtain the effects occurred in embodiment 1. The present embodiment has the core structures of (1) and (2), so that even when the overall core becomes the state of 100% void, positive reactivity is not inserted to the nuclear fuel material zone 12 and the soundness of the fuel rods 44F is increased. Therefore, the present embodiment can improve more the safety margin without impairing the economical efficiency of fuel of the light water reactor. In the core 20A of the present embodiment, when the same electric power of 1350 MW as that of the ABWR is generated by using the reactor pressure vessel 27 of almost the same size as that of the current ABWR, the discharge burnup becomes 65 GWd/t, and the void coefficient becomes −3×10−4Δk/k/% void, and the MCPR becomes 1.3. In the core 20A, while the keeping of the rate of the TRU isotopes is realized, the weight of the TRUs obtained by reprocessing the spent nuclear fuel in the spent fuel assembly after 3 years from takeout of the fuel assembly 41H from the core 20A as a spent fuel assembly reduces a weight of 8.3% from the TRU weight of the fresh fuel assembly 41H loaded in the core. In addition, during the period from loading of the fuel assembly 41H in the core 20A to takeout, the TRU fission efficiency which is a rate of the TRU fission weight occupying in all the fission weights of the nuclear fuel material in the fuel assembly 41H is 55%. Further, the keeping of the rate of the TRU isotopes means that the rates of the TRU isotopes are the same in the n TRU recycle generation and (n+1) TRU recycle generation. Even in the present embodiment, either of the neutron absorbing members 3A and 3B may be used instead of the neutron absorbing members 3. A core of a light water reactor according to embodiment 3 which is another embodiment of the present invention will be explained below by referring to FIG. 28. The core of the light water reactor of the present embodiment has the aforementioned core structure of (1), (2), and (3) similarly to the core 20 of embodiment 1. The core of the present embodiment has a structure that in the core 20 of embodiment 1, the fuel assembly 41 is exchanged with a fuel assembly 41I. Other structure of the core of the present embodiment is the same as that of the core 20. The fuel assembly 41I has a structure that in the fuel assembly 41, the fuel rods 44 are exchanged with fuel rods 44G. Other structure of the fuel assembly 41I is the same as that of the fuel assembly 41. Each of the fuel rods 44G included in the fuel assembly 41I has a structure that the plenum 2 of the fuel rod 44 is exchanged with a plenum 2A. The fuel rod 44G has the plenum 2A, and the nuclear fuel material zone 16 and the neutron absorbing material filling zone 4 similar to that of the fuel rod 44. The plenum 2A is disposed above the nuclear fuel material zone 16 and the neutron absorbing material filling zone 4 is disposed under the nuclear fuel material zone 16. The outside diameters of the respective portions of the fuel rod 44G in the nuclear fuel material zone 16 and the neutron absorbing material filling zone 4 are 10.1 mm. The rate of the cross sectional area of the fuel pellets occupying in the cross section area of the unit fuel rod lattice in the channel box 13 is 53%. The plenum 2A has a first zone 35A and a second zone 35B. An outside diameter of the portion of the plenum 2A in the first zone 35A is 4.8 mm and an outside diameter of the portion of the plenum 2A in the second zone 35B is 4.4 mm. The outside diameter of the portion in the first zone 35A is larger than the outside diameter of the portion in the second zone 35B. The first zone 35A is a large diameter portion and the second zone 35B is a small diameter portion. The second zone 35B is positioned above the first zone 35A. A length of the first zone 35A is 300 mm and an upper end of the first zone 35A is positioned at a position (the lower end of the neutron absorbing member 3) 300 mm upward away from the upper end of the nuclear fuel material zone 16. A lower end of the second zone 35B is disposed at the same position as that of the upper end of the first zone 35A. Each of the neutron absorbing members 3 is disposed between the mutual second zones 35B which are the small diameter portion of the neighboring fuel rods 44G. The outside diameter of the neutron absorbing member 3 is larger than the outside diameter (6 mm) of the neutron absorbing members 3 used in embodiment 1 such as 7.4 mm. The rate of the total cross sectional area of all the neutron absorbing members 3 to the cross sectional area of the fuel assembly lattice is 26.7%. The core of the light water reactor of the present embodiment meets all the restrictive conditions and can maintain the breeding ratio 1.01. Furthermore, in the present embodiment, even if it is assumed that the overall core becomes the state of 100% void, which is impossible as an initiating event in the ABWR, the positive reactivity is not inserted to the nuclear fuel material zone 12. Particularly, in the present embodiment, even when the overall core enters the state of 100% void, the reactivity inserted to the core in the present embodiment becomes negative more than the reactivity inserted at that time in embodiment 1 because the outside diameter of the neutron absorbing member 3 is larger than the outside diameter of the neutron absorbing members 3 in embodiment 1. Furthermore, the present embodiment can obtain the effects occurred in embodiment 1. The present embodiment has the core structures of (2) and (3), so that the soundness of the fuel rods is increased and the thermal margin is increased. Accordingly, the present embodiment can improve more the safety margin without impairing the economical efficiency of fuel of the light water reactor. A core of the light water reactor according to embodiment 4 which is a further embodiment of the present invention will be explained below by referring to FIGS. 29 and 30. The core of the light water reactor of the present embodiment has the aforementioned core structures of (1) and (3) similarly to the core 20 of embodiment 1. The core of the present embodiment has a structure that in the core 20 of embodiment 1, the fuel assembly 41 is exchanged with a fuel assembly 41J. Other structure of the core of the present embodiment is the same as that of the core 20. The fuel assembly 41J has a structure that in the fuel assembly 41, the fuel rods 44 are exchanged with fuel rods 44H. Other structure of the fuel assembly 41J is the same as that of the fuel assembly 41. Each of the fuel rods 44H included in the fuel assembly 41J has a structure that the plenum 2 of the fuel rod 44 is exchanged with a plenum 2B. The fuel rod 44H has the plenum 2B, and the nuclear fuel material zone 16 and the neutron absorbing material filling zone 4 similar to that of the fuel rod 44. The plenum 2B is disposed above the nuclear fuel material zone 16 and the neutron absorbing material filling zone 4 is disposed under the nuclear fuel material zone 16. Outside diameters of the respective portions of the fuel rod 44H in the nuclear fuel material zone 16 and the neutron absorbing material filling zone 4 are 10.1 mm. The rate of the cross sectional area of the fuel pellet occupying in the cross section area of the unit fuel rod lattice in the channel box is 53%. The plenum 2B has a first zone 35C and a second zone 35D. An outside diameter of the portion of the plenum 2B in the first zone 35C is 5.8 mm and an outside diameter of the portion of the plenum 2B in the second zone 35D is 7.4 mm. The outside diameter of the portion in the second zone 35D is larger than the outside diameter of the portion in the first zone 35C. The first zone 35C is a small diameter portion and the second zone 35D is a large diameter portion. The second zone 35D is positioned above the first zone 35C. A length of the first zone 35C is 800 mm and a length of the second zone 35D is 300 mm. The lower end of the second zone 35D is positioned at a position (the upper end of the neutron absorbing member 3) 800 mm upward away from the upper end of the nuclear fuel material zone 16 and is positioned at the same position as that of the upper end of the first zone 35C. Each of the neutron absorbing members 3 with an outside diameter of 6 mm is disclosed between the mutual first zones 35C which are the small diameter portion of the neighboring fuel rods 44H. The core of the light water reactor of the present embodiment meets all the restrictive conditions and can maintain the breeding ratio 1.01. In the present embodiment, the volume of the plenum 2B is larger than that of the plenum 2 of embodiment 1 because the second zone 35D of the plenum 2B existing above the upper end of the neutron absorbing member 3 is a larger diameter portion. Thus, the pressure in the fuel rod 44H is lowered more and the soundness of the fuel rods 44H used in the present embodiment is increased more than that of the fuel rods 44H used in embodiment 1. The present embodiment can obtain the effects occurred in embodiment 1. The present embodiment has the core structures of (1) and (3), so that even if the overall core becomes the state of 100% void, the insertion of positive reactivity to the core can be avoided, and the thermal margin is increased. Accordingly, the present embodiment can improve more the safety margin without impairing the economical efficiency of fuel of the light water reactor. A core of a light water reactor according to embodiment 5 which is another embodiment of the present invention will be explained below by referring to FIG. 31 and Table 2. The core of the light water reactor of the present embodiment has the aforementioned core structures of (1) and (3). The light water reactor to which the core of the light water reactor of the present embodiment is applied is a TRU burner reactor. The core of the light water reactor of the present embodiment has a structure that in the core 20A of embodiment 2, the fuel assembly 41H is exchanged with a fuel assembly 41K. Other structure of the core of the present embodiment is the same as that of the core 20A. The fuel assembly 41K has a structure that in the fuel assembly 41H, the fuel rods 44F are exchanged with the fuel rods 44I. Other structure of the fuel assembly 41K is the same as that of the fuel assembly 41H. The rate of the cross sectional area of the fuel pellet occupying in the cross section area of the unit fuel rod lattice in the channel box is 36%. Each of the fuel rods 44I included in the fuel assembly 41K has a structure that the plenum 2 of the fuel rod 44F is exchanged with the plenum 2B. The fuel rod 44J has the plenum 2B, and the nuclear fuel material zone 16 and the neutron absorbing material filling zone 4A similar to that of the fuel rod 44. The plenum 2B having the first zone 35C and second zone 35D in embodiment 4 is disposed above the nuclear fuel material zone 16 and the neutron absorbing material filling zone 4A is disposed under the nuclear fuel material zone 16. The outside diameter of the portion of the plenum 2B in the first zone 35C is 3.7 mm and the outside diameter of the portion of the plenum 2B in the second zone 35D is 5.6 mm. The outside diameter of the portion in the second zone 35D is larger than the outside diameter of the portion in the first zone 35C. The second zone 35D is positioned above the first zone 35C. The lower end of the second zone 35D is positioned at a position (the upper end of the neutron absorbing member 3) 800 mm upward away from the upper end of the nuclear fuel material zone 16 and is positioned at the same position as that of the upper end of the first zone 35C. Each of the neutron absorbing members 3 with an outside diameter of 8.1 mm is disposed between the mutual first zones 35C which are the small diameter portion of the neighboring fuel rods 44I. The core of the light water reactor of the present embodiment meets all the restrictive conditions and can maintain the breeding ratio 1.01. In the present embodiment, the volume of the plenum 2B becomes larger than the volume of the plenum 2 used in embodiment 2 because the plenum 2B existing above the upper end of the neutron absorbing member 3 has the second zone 35D. Therefore, the pressure in the fuel rod 44I can be lowered and the soundness of the fuel rods 44I used in the present embodiment is increased more than that of the fuel rods 44F used in embodiment 2. The present embodiment can obtain the effects occurred in embodiment 2. The present embodiment has the core structures of (1) and (3), so that even if the overall core becomes the state of 100% void, the insertion of positive reactivity to the core can be avoided, and the thermal margin is increased. Accordingly, the present embodiment can improve more the safety margin without impairing the economical efficiency of fuel of the light water reactor. A core of a light water reactor according to embodiment 6 which is another embodiment of the present invention will be explained below by referring to FIGS. 32 to 34 and Table 3. The core 20B of the light water reactor of the present embodiment has the aforementioned core structures of (1) and (2). The light water reactor to which the core of the light water reactor of the present embodiment is applied is a TRU burner reactor. TABLE 3NuclideComposition (wt %)Np-2370.2Pu-2384.2Pu-2394.0Pu-24037.7Pu-2413.4Pu-24233.0Am-2414.3Am-242M0.2Am-2435.7Cm-2444.4Cm-2451.3Cm-2461.1Cm-2470.2Cm-2480.3 The core 20B of the present embodiment has a structure that in the core 20A of embodiment 2, the fuel assembly 41H is exchanged with a fuel assembly 41L. Other structure of the core 20B of the present embodiment is the same as that of the core 20A. The fuel assembly 41L has a structure that in the fuel assembly 41L, the fuel rods 44F are exchanged with the fuel rods 44J. Other structure of the fuel assembly 41L is the same as that of the fuel assembly 41H. The shape of the longitudinal section of the fuel assembly 41L is the same as the shape of the longitudinal section, shown in FIG. 25, of the fuel assembly 41H. The core 20B of the present embodiment is a one fissile zone core of electric power of 450 MW and is a core applied to the TRU burner reactor. The fuel assembly 41L loaded in the core 20B has 331 fuel rods 44J with an outside diameter of 8.7 mm arranged in an equilateral triangle lattice in the channel box 13. The gap between the mutual fuel rods 44J is 1.6 mm and a fuel rod row in the outermost layer includes ten fuel rods 44. The rate of the cross sectional area of the fuel pellet occupying in the cross section area of the unit fuel rod lattice in the channel box is 46%. In the core 20B the fuel assemblies 41A to 41D different in the experienced operation cycle number are disposed as shown in FIG. 33 in the state of the equilibrium core. The fuel assemblies 41D, the operation cycle of which is the fourth cycle, are disposed in the core outermost layer region 46. The fuel assemblies 41A, the operation cycle of which is the first cycle, are disposed in the core outer region 48 and the fuel assemblies 41B, 41C, and 41D, the operation cycles of which are respectively the second cycle, third cycle and fourth cycle, are respectively scattered and disposed in the core inner region 50. There exists an intermediate zone, in which a plurality of fuel assemblies 41B are disposed circularly, between the core inner region 50 and the core outer region 48. The power distribution of such a core 20B in the radial direction is flattened more. The fuel assemblies 41A to 41D shown in FIG. 33 are respectively the fuel assembly 41L. The nuclear fuel material zone 16B wherein the nuclear fuel material of the fuel assembly 41L exists (refer to FIG. 34) has the upper blanket zone 5, a fissile zone 34, and the lower blanket zone 9. The upper reflector zone 10 exists above the upper end of the upper blanket zone 5 and the lower reflector zone 11 exists below the lower end of the lower blanket zone 9. The height of the upper blanket zone 5 is 20 mm, and the height of the fissile zone 34 is 201 mm, and the height of the lower fissile zone 9 is 20 mm. Besides, the height of the upper reflector zone 10 is 1100 mm and the height of the lower reflector zone 11 is 70 mm. Although not shown, the nuclear fuel material zone 12 of the core 20B includes the upper blanket zone 5A, fissile zone 34A, and lower blanket zone 9A having the same heights as the respective heights of the upper blanket zone 5, fissile zone 34, and lower blanket zone 9. The upper blanket zone 5A, fissile zone 34A, and lower blanket zone 9A are disposed in this order in the axial direction of the core 20B. The outside diameter of the portion of the plenum 2 of the fuel rod 44J is 4.2 mm and the outside diameter of the neutron absorbing members 3 is 6.7 mm. The outside diameter of the portion of the neutron absorbing material filling zone 4A of the fuel rod 44J is 9.0 mm. The rate of the total cross sectional area of all the neutron absorbing members 3 to the cross sectional area of the fuel assembly lattice is 26.0%. The rate of the total cross sectional area of all the neutron absorbing material filling zone 4A to the cross sectional area of the fuel assembly lattice is 46.7%. When the burnup of the fuel assembly 41L is 0, all the fuel rods 44J (the fuel rods 44A to 44E shown in FIG. 19) of the fuel assembly 41L fill the upper blanket zone 5 and the lower blanket zone 9 with depleted uranium and fill the fissile zone 34 with TRU oxide fuel including TRUs of the composition shown in Table 3 in the state of a burnup of 0. The enrichment of fissionable Pu of the TRU oxide fuel is 7.4 wt % and the rate of Pu-239 in the TRU is 4.0 wt %. The TRUs included in the fuel assembly 41L are obtained by reprocessing the spent nuclear fuel included in the spent fuel assembly. Each blanket zone is not filled with the mixed oxide fuel and does not include TRUs. Further, in each blanket zone, natural uranium or degraded uranium recovered from the spent fuel assembly may be used instead of depleted uranium. During the operation of the light water reactor to which the core 20B of the present embodiment is applied, a sufficient quantity of the volatile fission product generated by the fission of the fissionable material in each of the fuel rods 44J can be stored in the plenum 2 with a length of 1100 mm. Therefore, the soundness of the fuel rods 44 is ensured. According to the present embodiment, even if it is assumed that the overall core becomes the state of 100% void, which is an impossible event as an initiating event in the ABWR, the insertion of positive reactivity to the nuclear fuel material zone 12 can be avoided because a plurality of neutron absorbing members 3 with a length of 500 mm are disposed at the position 300 mm upward from the upper end of the nuclear fuel material zone 12 and a plurality of neutron absorbing material filling zones 4A are disposed downward from the lower end of the nuclear fuel material zone 12. When the state results, negative reactivity is inserted to the nuclear fuel material zone 12. Furthermore, the present embodiment can obtain the effects occurred in embodiment 2. The present embodiment has the core structures of (1) and (2), so that it can improve more the safety margin without impairing the economical efficiency of fuel of the light water reactor. In the core 20B of the present embodiment, when the electric power of 450 MW is generated by using the reactor pressure vessel 27 of almost the same size as that of the current ABWR, the discharge burnup becomes 75 GWd/t, and the void coefficient becomes −3×10−5Δk/k/% void, and the MCPR becomes 1.3. In the core 20B, while the keeping of the rate of the TRU isotopes is realized, the weight of the TRUs obtained by reprocessing the spent nuclear fuel in the spent fuel assembly after 3 years from takeout of the fuel assembly 41L from the core 20B as a spent fuel assembly reduces a weight of 7.4% from the TRU weight of the fresh fuel assembly 41L loaded in the core. In addition, during the period from when the fuel assembly 41L is loaded in the core 20B to takeout, the TRU fission efficiency which is a rate of the TRU fission weight occupying in all the fission weights of the nuclear fuel material in the fuel assembly 41L is 80%. A core of a light water reactor according to embodiment 7 which is another embodiment of the present invention will be explained below by referring to FIGS. 10, 35, and 36 and Table 4. A core 20C of the light water reactor of the present embodiment has the core structure of (4). The core 20C of the light water reactor of the present embodiment is a core of the ABWR in which the electric power is 1350 MW and 872 fuel assemblies 41M are loaded. A plurality of control rods 47 with a cross-shaped cross section are inserted into the core 20C and withdrawn from the core 200 to control the reactor power. TABLE 4Taken-NuclideABCDEFGHout fuelTRU1.511.201.040.930.860.790.700.700.67weight (t)Np-2376.665.003.933.242.702.312.001.821.63Pu-2382.767.6510.0311.4412.3312.9813.3713.3913.45Pu-23948.8131.4724.8521.2118.4816.5314.9413.7012.47Pu-24023.0528.4129.9330.8531.4532.2233.1834.4135.47Pu-2416.959.508.667.606.685.905.124.183.66Pu-2425.058.4410.5612.0313.5014.5215.3816.0316.68Am-2414.674.765.756.547.127.568.018.668.97Am-242M0.020.060.090.110.130.140.160.180.20Am-2431.472.623.143.423.693.833.903.953.99Cm-2430.010.020.020.020.020.020.020.010.01Cm-2440.501.822.552.883.093.092.952.652.43Cm-2450.040.210.400.520.610.650.680.700.70Cm-2460.010.040.090.130.180.220.260.280.30Cm-2470.000.000.000.010.020.020.020.030.03Cm-2480.000.000.000.000.000.010.010.010.01 One fuel assembly 41M has 74 fuel rods and a cross section of the fuel assembly 41M is a square. In the fuel assembly 41M, as shown in FIG. 36, the 74 fuel rods with an outside diameter of 11.2 mm are arranged in the cylindrical channel box 13A with a square cross section. These fuel rods 44K are arranged in a square lattice shape. Two water rods 39 are arranged in the central portion of the cross section of the fuel assembly 41M. The height of the nuclear fuel material zone in which the nuclear fuel material of the core 20C is loaded is 3.71 mm. A plurality of fuel assemblies 41J loaded in the core 20C includes the fuel assemblies A, B, C, D, E, F, G, and H as shown in FIG. 10. These fuel assemblies fill TRUs different in the recycle frequency in the fuel rods 44K as a nuclear fuel material. Concretely, each of the fuel rods 44K of the fuel assemble A with a burnup of 0 is filled with the TRUs (TRUs of a recycle frequency of 1) obtained by reprocessing the spent nuclear fuel of the spent fuel assembly taken out from the equilibrium core. Each of the fuel rods 44K of the fuel assemble B with a burnup of 0 is filled with the TRUs (TRUs of a recycle frequency of 2) obtained by reprocessing the spent nuclear fuel of the fuel assembly A which is a spent fuel assembly taken out from the equilibrium core. Each of the fuel rods 44K of the fuel assemble C with a burnup of 0 is filled with the TRUs (TRUs of a recycle frequency of 3) obtained by reprocessing the spent nuclear fuel of the fuel assembly B which is a spent fuel assembly taken out from the equilibrium core. Similarly, each of the fuel rods 44K of the fuel assemble D with a burnup of 0 is filled with the TRUs (TRUs of a recycle frequency of 4) obtained from the spent nuclear fuel of the fuel assembly C and each of the fuel rods 44K of the fuel assemble E with a burnup of 0 is filled with the TRUs (TRUs of a recycle frequency of 5) obtained from the spent nuclear fuel of the fuel assembly D. Each of the fuel rods 44K of the fuel assemble F with a burnup of 0 is filled with the TRUs (TRUs of a recycle frequency of 6) obtained from the spent nuclear fuel of the fuel assembly E, and each of the fuel rods 44K of the fuel assemble G with a burnup of 0 is filled with the TRUs (TRUs of a recycle frequency of 7) obtained from the spent nuclear fuel of the fuel assembly F, and each of the fuel rods 44K of the fuel assemble H with a burnup of 0 is filled with the TRUs (TRUs of a recycle frequency of 8) obtained from the spent nuclear fuel of the fuel assembly G. The TRUs (TRUs of a recycle frequency of 1) filled in each of the fuel rods 44K of the fuel assembly A are recovered from the spent nuclear fuel of the fuel assembly with slightly enriched uranium filled in the fuel rods which does not include TRUs when the burnup is 0. TRUs different in the recycle frequency are not mixed and are separately filled in the fuel rods of different fuel assemblies (for example, the fuel assemblies A, B, C, etc.). In the core 20C, among the fuel assemblies A to H different in the recycle frequency of the TRUs, a plurality of fuel assemblies A including the TRUs having the least recycle frequency are disposed at the central part, and between the central part and the outermost layer zone of the core, the fuel assemblies including the TRUs having higher recycle frequencies are disposed on the side of the outermost layer zone of the core. Concretely, each fuel assembly of the fuel assemblies B, C, D, E, F, G, and H, in the alphabetic order, is disposed from the central part of the core where the fuel assemblies A are disposed toward the outermost layer zone. The core 20C includes 100 fuel assemblies A1, 40 fuel assemblies B1, 24 fuel assemblies C1, 16 fuel assemblies D1, 12 fuel assemblies E1, 8 fuel assemblies F1, 4 fuel assemblies G1, and 4 fuel assemblies H1. These numbers of fuel assemblies are the numbers when the fuel assemblies A1, B1, C1, D1, E1, F1, G1, and H1 are in the state of a burnup of 0. The fuel assemblies A to H loaded in the core 20C include fuel assemblies different in the in-core fuel dwelling time (the operation cycle number). The numerals 1, 2, 3, 4, and 5 attached after the alphabet (for example, A to H described next to the fuel assembly) for discriminating the fuel assembly including TRUs different in the recycle frequency indicate the in-core fuel dwelling time (the operation cycle number) of the concerned fuel assembly (for example, the fuel assembly A, the fuel assembly B, etc.). As the number increases, it means that the in-core fuel dwelling time is longer. The fuel assembly with “1” attached is a fuel assembly in the first cycle of the in-core fuel dwelling time and the fuel assembly with “5” attached is a fuel assembly in the fifth cycle of the in-core fuel dwelling time. For example, the fuel assembly A1 is a fuel assembly that includes TRUs of a recycle frequency of 1 and that is experiencing the operation in the first operation cycle after loaded in the core 20C. The fuel assembly E5 is a fuel assembly that includes TRUs of a recycle frequency of 5 and that is experiencing the operation in the fifth operation cycle after loaded in the core 20C. The fuel assemblies A to C and a part of the fuel assembly D are taken out from the nuclear reactor as a spent fuel assembly after finishing of the operation in the fourth operation cycle after loaded in the core 20C. The rest of the fuel assembly D and the fuel assemblies F to H are taken out from the nuclear reactor as a spent fuel assembly after finishing of the operation in the fifth operation cycle after loaded in the core 20C. In a plurality of fuel assemblies including TRUs of the same recycle frequency, the fuel assemblies different in the in-core fuel dwelling time are arranged in the neighborhood of each other. For example, in a certain fuel assembly A1, the fuel assemblies A4 are adjoined in right side and left side in FIG. 10 and the fuel assemblies A3 are adjoined up and down in FIG. 10. Table 4 shows the weight of each of the TRUs of the fuel assemblies A to H and the fuel assembly taken out as a spent fuel assembly and the composition of each of the TRUs. A to H shown in Table 4 are equivalent to the fuel assemblies A to H. The fuel assembly taken out as a spent fuel assembly is, for example, the fuel assembly H5. According to the core 20C of the present embodiment, when the void fraction of the core 20C is increased, the increase of the infinite neutron effective multiplication factor at the central part of the core 20C is made relatively smaller than the increase of the infinite neutron effective multiplication factor in the core outermost layer zone. Therefore, the shift of the power distribution to the central pat of the core is reduced (refer to FIG. 9). Thus, although the nuclear fuel assemblies H1 to H5 including the TRUs of a recycle frequency of eight are loaded in the core 20C, the discharge burnup of the fuel assembly H5 taken out from the core 20C as a spent fuel assembly becomes 45 GWd/t and the void coefficient of the core 20C becomes −4×10−4% Δk/% void. In the core 20C, the number of generated spent fuel assemblies can be reduced to 0.5% or less compared with the case that the TRUs are not recycled. Though mixed oxide fuel of TRUs and depleted uranium is used as a nuclear fuel material in the fuel assemblies loaded in the core 20C of the present embodiment, natural uranium or degraded uranium recovered from spent fuel assemblies may be used instead of depleted uranium. Further, Pu extracted from the TRUs or several minor actinoid nuclides in the TRUs and Pu may be used instead of the TRUs. A core of a light water reactor according embodiment 8 which is another embodiment of the present invention will be explained below by referring to FIGS. 37 and 38. The core of the light water reactor of the present embodiment has the aforementioned core structures of (1), (2), and (3) similarly to the core 20 of embodiment 1. The core of the present embodiment has a structure that in the core 20 of embodiment 1, the fuel assembly 41 is exchanged with a fuel assembly 41N. Other structure of the core of the present embodiment is the same as that of the core 20. The fuel assembly 41N has a structure that in the fuel assembly 41, the fuel rods 44 are exchanged with the fuel rods 44L. Other structure of the fuel assembly 41N is the same as that of the fuel assembly 41. Each of the fuel rods 44L included in the fuel assembly 41N has the plenum 2, nuclear fuel material zone 16, and neutron absorbing material filling zone 4 similarly to the fuel rods 44 included in the fuel assembly 41. The outside diameters of the respective portions of the fuel rod 44L in the plenum 2, nuclear fuel material zone 16, and neutron absorbing material filling zone 4 are the same as the outside diameters of the portions of the fuel rods 44. The nuclear fuel material zone 16 in which the nuclear fuel material of the fuel assembly 41N exists has the upper blanket zone 5, upper fissile zone 6, internal blanket zone 7, lower fissile zone 8, and lower blanket zone 9 as shown in FIG. 37. The upper reflector zone 10 exists above the upper end of the upper blanket zone 5 and the lower reflector zone 11 exists under the lower end of the lower blanket zone 9. The height of the upper blanket zone 5 is 70 mm, and the height of the upper fissile zone 6 is 242 mm, and the height of the internal blanket zone 7 is 520 mm, and the height of the lower fissile zone 8 is 220 mm, and the height of the lower blanket zone 9 is 280 mm. The total of the height of the lower fissile zone 8 and the height of the higher fissile zone 6 is 462 mm and the height of the higher fissile zone 6 is 1.10 times the height of the lower fissile zone 8. The height of the upper reflector zone 10 is 1100 mm and the height of the lower reflector zone 11 is 70 mm. In the present embodiment, the fuel pellets filled in the higher fissile zone 6 of the fuel rod 44L, different from other embodiments, are all hollow pellets. In the fuel rod 44L, all the fuel pellets filled respectively in the upper blanket zone 5, internal blanket zone 7, lower fissile zone 8, and lower blanket zone 9 other than the higher fissile zone 6 are solid pellets used in other embodiments. When the burnup of the fuel assembly 41N is 0, all the fuel rods 44L of the fuel assembly 41N fill the three blanket zones with depleted uranium and fill the higher fissile zone 6 and lower fissile zone 8 with mixed oxide fuel. In the higher fissile zone 6 and lower fissile zone 8 of the fuel assembly 41N, assuming the TRU weight as 100, the enrichment of fissionable Pu with depleted uranium mixed at a rate of a weight of 173 are 18.0 wt % each. The TRUs are recovered from the spent nuclear fuel included in the fuel assembly 41N which is a spent fuel assembly by reprocessing. Each blanket zone is not filled with the mixed oxide fuel and does not include TRUs. Further, in each blanket zone, natural uranium or degraded uranium recovered from the spent fuel assembly may be used instead of depleted uranium. Fuel rods 44N to 44R are used as fuel rods 44L arranged in the fuel assembly 41N. The fuel rods 44N to 44R are arranged in the channel box 13 as shown in FIG. 38. In the fuel assembly 41N with a burnup of 0, the enrichment of fissionable Pu respectively in the higher fissile zone 6 and lower fissile zone 8 are 10.7 wt % in the fuel rods 44N, 13.5 wt % in the fuel rods 44O, 16.8 wt % in the fuel rods 44P, 18.2 wt % in the fuel rods 44Q, and 19.5 wt % in the fuel rods 44R. The present embodiment can obtain each effect occurred in embodiment 1. The present embodiment has the core structures of (1), (2), and (3), so that even when the overall core becomes the state of 100% void, positive reactivity is not inserted to the nuclear fuel material zone 12, and the soundness of the fuel rods is increased, and the thermal margin is increased. Accordingly, the present embodiment can improve more the safety margin without impairing the economical efficiency of fuel of the light water reactor. The core of the present embodiment meets all the restrictive conditions and can maintain the breeding ratio 1.01. Furthermore, in the present embodiment, the enrichment of fissionable Pu are made equal to each other in the respective higher fissile zone 6 and lower fissile zone 8 of the fuel rods 44N to 44R of the fuel assembly 41N. Thus, in the present embodiment, the kind of the enrichment of fissionable Pu can be reduced from 9 kinds to 5 kinds compared with the fuel assembly 41N used in embodiment 1 different in the enrichment of fissionable Pu in the higher fissile zone 6 and lower fissile zone 8 and in correspondence to it, the kind of fuel pellets to be manufactured can be reduced. A core of a light water reactor according to embodiment 9 which is another embodiment of the present invention will be explained below by referring to FIG. 39. The core of the light water reactor of the present embodiment has the aforementioned structure of (2). The core of the present embodiment has a structure that in the core 20 of embodiment 1, the fuel assembly 41 is exchanged with a fuel assembly 41Q. Other structure of the core of the present embodiment is the same as that of the core 20. The fuel assembly 41Q has such a structure that the neutron absorbing members 3 and the neutron absorbing material zone 4 are removed in the fuel assembly 41. Other structure of the fuel assembly 41Q is the same as that of the fuel assembly 41. The fuel assembly 41Q has a plurality of fuel rods 44S. The fuel rods 44S have such a structure that the neutron absorbing material zone 4 is removed from the fuel rods 44. In the present embodiment, the outside diameter of the portion of the plenum 2 of the fuel rods 44S is 5.8 mm and the length of the plenum 2 is 1100 mm. The outside diameter of the portion of the nuclear fuel material zone 16 of the fuel rods 44A is 10.1 mm. The rate of the cross sectional area of the fuel pellet occupying in the cross section area of the unit fuel rod lattice in the channel box is 53%. Therefore, the soundness of the fuel rods can be increased because the volume of the plenum 2 is increased. Furthermore, even though the overall core becomes the state of 100% void, the reactivity inserted to the nuclear fuel material zone 12 becomes 1 dollar or less because the outside diameter of the portion of the plenum 2 formed in the fuel rods 44S is smaller than the outside diameter of the portion in the nuclear fuel material zone 16 under the plenum portion. Consequently, even though a composite event of a first accident beyond the design basis accident occurs, the fuel rods are automatically reduced in the power down to the coolable power at the flow rate of cooling water injected into the core by the operation of the high pressure core injection system, thus the safety margin of the BWR is kept. Accordingly the present embodiment can increase more the safety margin without impairing the economical efficiency of fuel of the light water reactor. The core of the present embodiment meets all the restrictive conditions and can maintain the breeding ratio 1.01. A core of a light water reactor according to embodiment 10 which is another embodiment of the present invention will be explained below by referring to FIG. 40 and Table 5. The core of the light water reactor of the present embodiment has the aforementioned core structures of (1), (2), and (3) similarly to the core 20A of embodiment 2. TABLE 5NuclideComposition (wt %)Np-2370.2Pu-2385.0Pu-23913.4Pu-24040.8Pu-2414.6Pu-24221.1Am-2414.7Am-242M0.2Am-2434.1Cm-2443.6Cm-2451.1Cm-2460.8Cm-2470.2Cm-2480.2 The core of the present embodiment has a structure that in embodiment 2, the fuel assembly 41H is exchanged with a fuel assembly 41R (refer to FIG. 41). Other structure of the core of the present embodiment is the same as that of the core 20A. The nuclear fuel material zone 16A in the fuel assembly 41R loaded in the core of the present embodiment has the upper blanket zone 5, upper fissile zone 6, internal blanket zone 7, and lower fissile zone 8 similarly to the fuel assembly 41H. The height of the upper blanket zone 5 is 50 mm, and the height of the upper fissile zone 6 is 183 mm, and the height of the internal blanket zone 7 is 560 mm, and the height of the lower fissile zone 8 is 173 mm. The upper reflector zone 10 with a height of 1100 mm exists and the lower reflector zone 11 with a height of 70 mm exists below the lower fissile zone 8 above the upper blanket zone 5. The height of the upper fissile zone 6 is 1.06 times the height of the lower fissile zone 8. In the fuel assembly 41R, 397 fuel rods with an outside diameter of 7.6 mm are arranged in an equilateral triangle lattice in the channel box 13. The gap between the mutual fuel rods is 1.8 mm and a fuel rod row in the outermost layer includes eleven fuel rods 44. When the burnup of the fuel assembly 41R is 0, all the fuel rods of the fuel assembly 41R fill the upper blanket zone 5 and the lower blanket zone 9 with depleted uranium and fill the upper fissile zone 6 and lower fissile zone 8 with TRU oxide fuel including TRUs of the composition shown in Table 5 in the state of a burnup of 0. The enrichment of fissionable Pu of the TRU oxide fuel is 18.0 wt % and the rate of Pu-239 in the TRU is 13.4 wt %. The present embodiment can obtain each effect occurred in embodiment 2. The core of the present embodiment can efficiently extinguish the TRUs even in the TRU composition different from the TRU composition of the fuel assembly 41H loaded in the core 20A of embodiment 2. A core of a light water reactor according to embodiment 11 which is another embodiment of the present invention will be explained below by referring to FIG. 41 and Table 6. The core of the light water reactor of the present embodiment has the core structures of (1) and (2) similarly to the core 20A of embodiment 2. TABLE 6NuclideComposition (wt %)Np-2375.49Pu-2382.51Pu-23944.25Pu-24025.79Pu-2418.45Pu-2427.44Am-2413.89Am-242M0.01Am-2431.59Cm-2440.54Cm-2450.03Cm-2460.01 The core of the present embodiment has a structure where in embodiment 2, the fuel assembly 41H is exchanged with a fuel assembly 41S (refer to FIG. 41). Other structure of the core of the present embodiment is the same as that of the core 20A. The nuclear fuel material zone 16A in the fuel assembly 41S loaded in the core of the present embodiment has the upper blanket zone 5, upper fissile zone 6, internal blanket zone 7, and lower fissile zone 8 similarly to the fuel assembly 41H. The height of the upper blanket zone 5 is 20 mm, and the height of the upper fissile zone 6 is 217 mm, and the height of the internal blanket zone 7 is 560 mm, and the height of the lower fissile zone 8 is 224 mm. Further, the height of the upper reflector zone 10 is 1100 mm and the height of the lower reflector zone 11 is 70 mm. The cross section of the fuel assembly 41S is the same as that shown in FIG. 23. When the burnup of the fuel assembly 41S is 0, all the fuel rods of the fuel assembly 41S fill the upper blanket zone 5 and internal blanket zone 7 with thorium oxide. When the burnup of the fuel assembly 41S is 0, the upper fissile zone 6 and lower fissile zone 8 of this fuel assembly 41S loaded in the core 20A of the present embodiment include the TRUs (hereinafter referred to as current core discharge TRUs) having the composition shown in Table 6 obtained by reprocessing the spent fuel assembly (including slightly enriched uranium) with a discharge burnup of 45 GWd/t taken out from the current ABWR core and mixed oxide fuel of thorium. The core loaded with the fuel assembly 41S of a burnup of 0 is a TRU first generation recycle core (hereinafter referred to as an RG1 core). In the current ABWR core, a fuel assembly including slightly enriched uranium is loaded. The current core discharge TRU having the composition shown in Table 6 is added to the TRU obtained by reprocessing the fuel assembly 41S taken out from the RG1 core as a spent fuel assembly, by the quantity that will make the core critical. The core loading the fuel assembly 41S of a burnup of 0 including mixed oxide fuel of the TRUs obtained by the addition and thorium in the upper fissile zone 6 and lower fissile zone 8 is a TRU second generation recycle core (hereinafter referred to as an RG2 core). Hereafter, every repetition of the TRU recycling, the current core discharge TRU is added to the TRU obtained by reprocessing the fuel assembly 41S which is a spent fuel assembly generated from the TRU recycle core of each generation, by the quantity that will make the core critical, and the fuel assembly 41S of a burnup of 0 including the TRU obtained by the addition and mixed oxide fuel of thorium in the upper fissile zone 6 and lower fissile zone 8 is loaded in the core 20A, and until the composition of the TRU obtained by reprocessing the fuel assembly 41S which is a spent fuel assembly taken out from the core 20A becomes almost constant, the TRU recycling is repeated. In FIG. 42, a characteristic 54 indicates weight of the current core discharge TRU added to fresh fuel assembly of a burnup of 0 loaded in the recycle core of each recycle generation and a characteristic 53 indicates weights of the TRUs in the spent fuel assemblies taken out from these recycle cores. In FIG. 43, a characteristic 55 indicates weight rate of Pu-239 included the TRUs in the fuel assembly of a burnup of 0 loaded in the recycle core of each recycle generation and a characteristic 56 indicates weight rate of Pu-239 included in the TRUs in the spent fuel assemblies taken out from these recycle cores. Each blanket zone is not filled with the mixed oxide fuel and does not include TRUs. The core of each recycle generation from the RG1 core to the RG10 core is loaded with fuel assemblies including the current core discharge TRU and having a discharge burnup of 65 GWd/t. In these recycle generation cores, the current core discharge TRU undergoes fission. In the present embodiment, in these recycle generation cores, when the void coefficient is negative like a characteristic 57 shown in FIG. 44, and like the characteristic 58, when the overall core becomes the state of 100% void, which cannot be caused as an initiating event in the BWR, and furthermore, even when it is assumed that all the control rods are not operated, no positive reactivity is inserted. The present embodiment can obtain each effect occurred in embodiment 2. The present embodiment can efficiently extinguish the TRUs, even in a nuclear fuel material of the TRU composition different from that of embodiment 2. In W. S. Yang et al., A Metal Fuel Core Concept for 1000 MWt Advanced Burner Reactor GLOBAL '07 Boise, USA, September, 2007, P. 52, the concept of a sodium cooling type ABR for permitting the TRU recovered by reprocessing the spent nuclear fuel of the light water reactor to fission and reduce in quantity is described. Furthermore, the literature describes that the TRUs generated from the light water reactor can be imprisoned in the light water reactor, ABR, and fuel cycle equipment by operating the light water reactor and ABR in operation at present in the coexistence thereof, and the TRUs need not be stored outside the nuclear reactor, and the quantity of a long-life radioactive waste material can be reduced greatly. However, the neutron energy in the core of the ABR is increased because the ABR uses a nuclear fuel material with TRUs enriched in depleted uranium and uses Na as a coolant. Therefore, simultaneously with that the enriched TRUs undergoes fission and are reduced in quantity, there are many TRUs newly created from U-238. To store all the quantity of TRUs from the light water reactor in operation at present in the ABR, the ABR needs to be built at a rate of one ABR per each light water reactor. It is expected that the power generation cost of the ABR becomes higher than that of the light water reactor, so that compared with the case of the operation of only the light water reactor, there is concern that the economical efficiency of fuel may be impaired. Therefore, instead of enriching the TRUs in depleted uranium, a fuel assembly including a nuclear fuel material with TRUs enriched in thorium for newly generating no TRUs is loaded in a TRU burner reactor described in Japanese Patent Laid-Open No. 2008-215818 including low neutron energy in the core and is operated, thus new generation of TRUs is prevented and the TRU fission efficiency can be promoted. Therefore, the TRUs of three light water reactors can be permitted to fission by one TRU burner reactor of the present embodiment, thus a Na cooling ABR of a high power generation cost is unnecessary and the economical efficiency of fuel is improved greatly. A core of a light water reactor according to embodiment 12 which is another embodiment of the present invention will be explained in detail below by referring to FIGS. 45 and 46 and Tables 7 and 8. The core of the present embodiment is the core 20C similar to that of embodiment 7 and is a core of the ABWR in which the electric power in operation at present is 1350 MW and 872 fuel assemblies having 74 fuel rods per each fuel assembly are loaded. This core is the core of the TRU burner reactor. With respect to the structure of the present embodiment, the portion different from that of embodiment 7 will be explained and the explanation of the same portion as that of embodiment 7 will be omitted. TABLE 7NuclideComposition (wt %)Np-2376.66Pu-2382.76Pu-23948.81Pu-24023.05Pu-2416.95Pu-2425.05Am-2414.67Am-242M0.02Am-2431.47Cm-2430.01Cm-2440.50Cm-2450.04Cm-2460.01 The cross section of the core 20C of the present embodiment is the same as that shown in FIG. 35 and the cross section of the fuel assembly 41M loaded in the core 20C is the same as that shown in FIG. 36. In the core 20C, the fuel assembly 41M in which the height of the nuclear fuel material zone is 3.71 m is loaded. Further, in the core of the PWR, fuel assemblies including slightly enriched uranium are loaded. The core loading a fuel assembly of a burnup of 0 having mixed oxide fuel of the TRUs (hereinafter referred to as current core discharge TRUs) having the composition shown in Table 7 obtained by reprocessing the spent fuel assembly with a discharge burnup of 50 GWd/t taken out from the core of the PWR, and depleted uranium is a TRU first generation recycle core (hereinafter referred to as an RG1 core). The current core discharge TRUs having the composition shown in Table 7 are added to the TRUs obtained by reprocessing the fuel assembly taken out from the RG1 core, by the quantity that will make the core critical. The core loading the fuel assembly of a burnup of 0 having mixed oxide fuel including depleted uranium and the TRUs obtained by the addition is a TRU second generation recycle core (hereinafter referred to as an RG2 core). Hereafter, every repetition of the TRU recycling, the current core discharge TRUs are added to the TRUs obtained by reprocessing the spent fuel assembly taken out from the recycle core of each generation, by the quantity that will make the core critical, and the fuel assembly of a burnup of 0 having mixed oxide fuel of the obtained TRUs and depleted uranium is loaded in the core. TABLE 8RG8Taken-NuclideRG1RG2RG3RG4RG5RG6RG7RG8out fuelTRU3.144.465.486.306.977.558.048.487.57weight (t)Np-2376.665.775.174.724.374.083.853.662.94Np-2390.000.000.000.000.000.000.000.000.02Pu-2382.765.416.867.868.609.159.579.8911.35Pu-23948.8139.3535.1232.4730.5729.1227.9727.0322.26Pu-24023.0526.0327.0127.5727.9728.2828.5228.7329.47Pu-2416.958.338.318.067.797.567.357.188.12Pu-2425.056.868.008.859.5310.1210.6311.0812.57Am-2414.674.755.105.405.625.795.916.005.22Am-242M0.020.040.060.070.080.080.090.090.11Am-2431.472.082.412.632.792.913.013.093.45Cm-2420.000.000.000.000.000.000.000.000.30Cm-2430.010.020.020.020.020.020.020.020.02Cm-2440.501.201.661.962.182.342.462.553.33Cm-2450.040.130.230.310.370.410.450.470.57Cm-2460.010.030.050.080.100.130.160.180.24Cm-2470.000.000.000.000.010.010.010.020.02Cm-2480.000.000.000.000.000.000.000.010.01 Table 8 shows the TRU composition included in the fuel assembly of a burnup of 0 in the recycle core of each recycle generation from the RG1 core to the RG8 core and the composition of the TRUs included in the spent fuel assemblies taken out from the RG8 core. In FIG. 45, weights of the current core discharge TRUs added to the fuel assembly of a burnup of 0 loaded in the recycle core of each recycle generation from the RG1 core to the RG8 core are indicated in a characteristic 60 and weights of the TRUs included in the spent fuel assemblies taken out from these recycle cores are indicated in a characteristic 59. In FIG. 46, weight rate of Pu-239 included in the TRUs in the fuel assembly of a burnup of 0 loaded in the recycle core of each recycle generation from the RG1 core to the RG8 core is indicated in a characteristic 61 and weight rate of Pu-239 included in the TRUs in the spent fuel assemblies taken out from these recycle cores is indicated in a characteristic 62. The core of each recycle generation from the RG1 core to the RG8 core is loaded with the fuel assemblies including the current core discharge TRUs and having a discharge burnup of 45 GWd/t. In these recycle generation cores, the current core discharge TRUs undergo fission. In the present embodiment, in these recycle generation cores, when the void coefficient is negative like the characteristic 63 shown in FIG. 47, and like the characteristic 64, when the overall core becomes the state of 100% void, which cannot be caused as an initiating event in the BWR and furthermore, even when it is assumed that all the control rods are not operated, no positive reactivity is inserted in up to the RG7 core. The RG8 core has a sufficient negative void coefficient for safety's sake although the positive reactivity is 1 dollar or less. In the present embodiment, the spent fuel assemblies from the RG1 core to the RG7 core are all reprocessed and the discharge TRUs are handed over to the next recycle generation core together with the current core discharge TRUs. Therefore, among the RG1 to the RG8, only 208 fuel assemblies taken out from the RG8 core every year can remain as a spent fuel assembly. On the other hand, about 8000 spent fuel assemblies must be reprocessed in term of the fuel assemblies of slightly enriched uranium currently in use by the ABWR in order to supply about 14 tons of TRUs used in the RG1 to the RG8. The ABWR of the present embodiment and the ABWR using slightly enriched uranium in operation at present are used jointly, thus the number of residual spent fuel assemblies can be greatly reduced to about 2.6% of that when only the ABWR using slightly enriched uranium fuel is operated. A core of a light water reactor according to embodiment 13 which is another embodiment of the present invention will be explained in detail below by referring to FIGS. 48 to 50 and Table 6. The core of the present embodiment is the core 20C similar to that of embodiment 12 and is a core of the ABWR in which the electric power in operation at present is 1350 MW and 872 fuel assemblies having 74 fuel rods per each fuel assembly are loaded. This core is the core of the TRU burner reactor. With respect to the structure of the present embodiment, the portion different from that of embodiment 12 will be explained and the explanation of the same portion as that of embodiment 12 will be omitted. In the core 20C of the present embodiment, the fuel assembly 41M in which the height of the nuclear fuel material zone is 3.71 m is loaded. Further, in the core of the PWR, fuel assemblies including slightly enriched uranium are loaded. Further, in the core of the ABWR, fuel assemblies including slightly enriched uranium are loaded. The core loading a fuel assembly of a burnup of 0 including mixed oxide fuel of the TRUs (hereinafter referred to as current core discharge TRUs) having the composition shown in Table 6 obtained by reprocessing the spent fuel assembly with a discharge burnup of 45 GWd/t taken out from the core of the PWR and thorium is a TRU first generation recycle core (hereinafter referred to as an RG1 core). The current core discharge TRUs having the composition shown in Table 6 are added to the TRUs obtained by reprocessing the fuel assembly taken out from the RG1 core, by the quantity that will make the core critical. The core loading the fuel assembly of a burnup of 0 having mixed oxide fuel of the TRUs obtained by addition and thorium is a TRU second generation recycle core (hereinafter referred to as an RG2 core). Hereafter, every repetition of the TRU recycling, the current core discharge TRUs are added to the TRUs obtained by reprocessing the spent fuel assembly taken out from the recycle core of each generation, by the quantity that the core becomes critical, and the fuel assembly of a burnup of 0 having mixed oxide fuel of the obtained TRUs and thorium is loaded in the core. In FIG. 48, a characteristic 66 indicates weight of the current core discharge TRU added to the fuel assembly of a burnup of 0 loaded in the recycle core of each recycle generation and a characteristic 65 indicates weights of the TRUs in the spent fuel assemblies taken out from these recycle cores. In FIG. 49, a characteristic 67 indicates weight rate of Pu-239 included the TRUs in the fuel assembly of a burnup of 0 loaded in the recycle core of each recycle generation and a characteristic 68 indicates weight rate of Pu-239 included in the TRUs in the spent, fuel assemblies taken out from these recycle cores. The core of each recycle generation from the RG1 core to the RG4 core is loaded with the fuel assemblies including the current core discharge TRUs and having a discharge burnup of 45 GWd/t. In these recycle generation cores, the current core discharge TRUs undergo fission. In the present embodiment, in these recycle generation cores, when the void coefficient is negative in up to the RG3 like the characteristic 69 shown in FIG. 50 and like the characteristic 70 shown in FIG. 50, and when the overall core becomes the state of 100% void, which cannot be caused as an initiating event in the ABWR and furthermore, even when it is assumed that all the control rods are not operated, in up to the RG3 core, no positive reactivity is inserted. In the present embodiment, the problems for safety must be solved in the TRU multiple-recycling in and after the RG4 core, but the use quantity of the current core discharge TRUs of the RG1 is large compared with the ABR and other embodiments. Assuming that before the reprocessing technology of fuel assemblies including thorium is established, the reprocessing is not executed in and after the RG2 core for the present, the case that the RG1 core and the current ABWR using slightly enriched uranium are used jointly is evaluated. 208 spent fuel assemblies are taken out every year from the RG1 core. On the other hand, about 3200 spent fuel assemblies of the fuel assemblies of slightly enriched uranium currently in use by the ABWR must be reprocessed to supply about 5.7 tons of TRUs used in the RG1. The ABWR of the RG1 core and the ABWR using slightly enriched uranium in operation at present are used jointly, thus the number of residual spent fuel assemblies can be greatly reduced to about 6.5% of that when only the ABWR using slightly enriched uranium fuel is operated. The present embodiment is one of the methods capable of realizing the great reduction of the number of residual spent fuel assemblies only by changing the fuel assemblies in the ABWR in operation at present. Further, when disposing the spent fuel assemblies straight in the ground, which is considered at present as one of the choices of the TRU disposal, it is considered that mixed oxide fuel pellets of TRUs and thorium are far stable chemically than mixed oxide fuel pellets of TRUs and uranium, so that it is a valid method before the reprocessing technology of fuel assemblies including thorium is established. 1: boiling water reactor, 2, 2A, 2B: plenum, 3, 3A, 3B: neutron absorbing member, 4: neutron absorbing material filling zone, 5, 5A: upper blanket zone, 6, 6A: upper fissile zone, 7, 7A: internal blanket zone, 8, 8A: lower fissile zone, 9, 9A: lower blanket zone, 10: upper reflector zone, 11: lower reflector zone, 12, 16, 16A: nuclear fuel material zone, 14: upper tie-plate, 15: lower tie-plate, 20, 20A: core, 25: core shroud, 27: reactor pressure vessel, 34: fissile zone, 35A, 35C: first zone, 35B, 35D: second zone, 41, 41A-41N, 41Q-41S: fuel assembly, 42, 47: control rod, 44, 44A-44N, 44P-44S: fuel rod.
047524413
description
DETAILED DESCRIPTION OF THE INVENTION FIG. 1 is an elevational view, partly in cross-section, of an advanced design pressurized water reactor 10 of the type with which the modular formers of the present invention are intended to be employed, and which comprises a vessel 12 of generally conventional configuration including an upper dome 12a, cylindrical sidewalls 12b, and a bottom closure 12c comprising the base of the reactor 10. Within the bottom closure 12c, there is schematically indicated so-called bottom-mounted instrumentation 14. The lower barrel assembly 16 comprises a generally cylindrical sidewall 17 affixed at its lower and upper ends to respective lower and upper core plates 18 and 19. Fuel rod assemblies 20 are positioned in generally vertically oriented, parallel axial relationship within the lower barrel assembly 16. A radiation reflection shield 21 is mounted interiorly of the cylindrical sidewalls 17, in conventional fashion. The inner barrel assembly 24 includes a cylindrical sidewall 26 within which are positioned a plurality of rod guides in closely spaced, parallel axial relationship; for simplicity of illustration, only two such rod guides are shown in FIG. 1, namely rod guide 28 housing a cluster of radiation control rods 30 (RCC) and a rod guide 32 housing a cluster of water displacement rods 33 (WDRC). Mounting means 36 and 37 are provided at the respective upper and lower ends of the rod guide 28 and, correspondingly, mounting means 38 and 39 are provided at the respective upper and lower ends of the rod guide 32, the lower end mounting means 37 and 39 mounting the respective rod guides 28 and 32 to the upper core plate 19. The upper mounting means 36 and 38 mount the respective rod guides 28 and 32 to a calandria assembly 50, and which may be of the types disclosed in the concurrently filed applications entitled TOP END SUPPORT FOR WDRC ROD GUIDES OF PRESSURIZED WATER REACTOR and FLEXIBLE ROD GUIDE SUPPORT STRUCTURE FOR INNER BARREL ASSEMBLY OF PRESSURIZED WATER REACTOR, each having a common co-inventor herewith and assigned to the common assignee hereof. Three banks of modular formers 40, 42 and 44, in accordance with the present invention, spaced at successively higher elevations within the inner barrel assembly 24 and affixed to the interior surface of the cylindrical sidewall 26 thereof, are provided to establish the proper pressure drop of the core outlet flow from the lower barrel assembly 16, as it passes upwardly through the inner barrel assembly 24, so as to approach an axial flow condition in the region of the rod guides 28 and 30 in a manner and for reasons to be more fully described hereinafter. The calandria assembly 50 includes a lower calandria plate 52, an upper calandria plate 54, and a plurality of parallel axial calandria tubes 56 which are positioned in alignment with corresponding apertures in the lower and upper calandria plates 52 and 54 and to which the calandria tubes 56 are mounted at their respective, opposite ends. Calandria extensions 58 project downwardly from at least selected ones of the calandria tubes 56 and connect to corresponding ones of the mounting means 36 and 38 for the upper ends of the tube guides 28 and 32, as specifically illustrated in FIG. 1 for the upper mounting means 36 associated with the RCC rod guide 28. Extending upwardly beyond the upper calandria plate 54 and particularly within the dome 12a of the vessel 12, there are provided a plurality of flow shrouds 60 respectively aligned with the calandria tubes 56. A corresponding plurality of head extensions 62 is aligned with the plurality of flow shrouds 60, with respective adjacent ends thereof in generally overlapping relationship. Control rod cluster (RCC) displacement mechanisms 64 and water displacement rod cluster (WDRC) displacement mechanisms 66 are associated with the respective head extensions 62, flow shrouds 60 and calandria tubes 56 which, in turn, are respectively associated with the respective clusters of radiation control rods 30 and water displacment rods 34. Particularly, the RCC and WDRC displacement mechanisms 64 and 66 connect through corresponding lines to the respective clusters of radiation control rods and water displacement rods 30 and 34, to control the position thereof and, particularly, to selectively lower same through corresponding openings provided therefore in the upper core plate 19 into surrounding relationship with respectively associated fuel rod assemblies 20. While the particular control function is not relevant to the present invention, insofar as the control over the reaction within the core is effected by the selective positions of the respective rod clusters 30 and 34, it is believed that those skilled in the art will appreciate that moderation or control of the reaction is accomplished in accordance with the extent to which the control rod cluster 30 is inserted into the core and with the effective water displacement adjustment which is achieved by movement of the water displacement rods 34. The general configuration and arrangement of the modular formers, in each of the lower, mid, and higher banks of modular formers 40, 42 and 44, is substantially identical, the principal differences being the vertical height of each thereof in the different banks and, as later detailed, the relative radial depth and effective arcuate segment encompassed thereby within a given bank. Thus, with concurrent reference to FIGS. 1 and 2, the latter being a cross-sectional view taken along the line 2--2 in FIG. 1, the plan view of the bank 42 of modular formers is illustrative as well of a plan view of the banks 44 and 40. Moreover, as will become clear, the modular formers of each bank 42 are identical in configuration and assembly as to the upper and lower surfaces thereof, and thus FIG. 2 illustrates a plan view from the lower surface of each of the banks, 40, 42 and 44 as well. As before noted, only a single RCC tube guide 28 and single WDRC tube guide 32 are shown in FIG. 1, it having been noted that a large number thereof are disposed in closely adjacent relationship in an array extending substantially throughout the entire cross-sectional area of the inner barrel assembly 24. In FIG. 2, several WDRC rod guides 32 are shown along with interspersed RCC rod guides 28, the guides 28 and 32 having a complementary configuration permitting an interdigitized and alternating geometric pattern thereof, somewhat of a honeycomb in nature, which occupies substantially the entire cross-sectional area within the cylindrical sidewall 26 of the inner barrel assembly 24. Due to the generally square, cross-sectional configuration of the WDRC rod guides 32, and the generally X-shaped cross-sectional configuration of the RCC rod guides 28, the array of alternating, or staggered RCC and WDRC rod guides 28 and 32 has a generally rectangular perimeter. This necessarily results in arcuate segment spacings in the peripheral regions between the generally rectangular perimeter edges of the array of rod guides 28 and 32 and the circular interior circumference of the cylindrical sidewall 26. Moreover, the peripheral regions differ in configuration and size. Particularly, for the square, cross-sectional configuration of the WDRC rod guides 32, a different spatial relationship and configuration is defined between the rod guides 32 of a lowermost row as seen in FIG. 2 having the respective diagonals thereof extending in parallel with a diameter D1 and the adjacent interior circumferential surface of the sidewall 26, compared to that configuration and size of the peripheral region lying between a row of rod guides 32 having a pair of sidewalls which are parallel to the 45.degree. displaced diameter D2. Accordingly, the modular former 70 disposed symmetrically about the diameter D1 has a different configuration than that of the modular former 80 disposed symmetrically about the diameter D2. It will be apparent, of course, that for other cross-sectional configurations of the guides 32 (e.g., rectangular or other polyhedron structure), that other geometrical relationships would exist, defining differing peripheral regions between the boundaries of the array and the interior circumference of the cylindrical sidewall 26. Since interchangeability, modularity, and uniform array characteristics are usually desired, the rod guides 32 typically will have a cross-sectional structure which is symmetrical about one or more axes (e.g., an equal number of sides respectively disposed in paired, parallel relationship). The resulting array perimeter defines a corresponding, repeating succession of peripheral regions of differing configurations. The modular formers accordingly are shaped to correspond to these differing configurations. Thus, for the array of FIG. 2, there are two different configurations of modular formers 70 and 80, which repeat in alternating succession at 45.degree. angular segments. Specifically, modular formers 70 of the first type are disposed symmetrically about the diameters D1 and D3, and modular formers 80 of the second type are disposed symmetrically about the respective 45.degree. -related diameters D2 and D4, in succession. FIG. 3 is a perspective view of a typical modular former 80 of the second type, but serves as well to illustrate the basic construction of the modular formers 70 of the first type. The modular former 80 includes an upper former plate 81 and a lower former plate 82, which are identical in configuration, and first and second vertical columns 83 which likewise are identical in configuration, having generally U-shaped cross-sections. With concurrent reference to FIGS. 3, 4(a) and (4b), each of the U-shaped vertical columns 83 includes tabs 85 extending from the respectively opposite ends thereof, and which are received through corresponding slots 86 in the respective upper and lower former plates 81 and 82. A weld bead 87 is formed on the remote exterior surfaces of the respective former plates 81 and 82 at their junctures with the tabs 85, as seen in FIG. 3. As before noted, the first type of modular former 70 has the same basic construction as the second type of modular former 80, but is configured differently in accordance with the different peripheral region in which it is employed, generally being more shallow. This can readily be appreciated by comparing FIG. 5(a), comprising an end elevational view of a vertical column 73 as employed in the first type of modular former 70, with the end elevational view in FIG. 4(a) of the vertical column 83 of the second type of modular former 80. The vertical column 73 includes corresponding tabs 75 by which it is mounted through slots 76 to its corresponding upper and lower former plates 71 and 72, as illustrated in FIG. 5(b). Whereas each of the identical former plates 71, 72 and the identical former plates 81, 82 has an identical radius of curvature of its outer arcuate segment edge 70', 80', the respectively corresponding inner, chordlike edges 70", 80" are provided with mating contours for accommodating the elements of the array respectively adjacent thereto. Thus, the modular formers 70 of the first type have relatively larger arcuate segment edges 70' and correspondingly, longer inner chordlike edges 70", with relative shallower contours for defining a mating relationship with the diagonally protruding corners of the juxtaposed, or adjacent rod guides 32, the latter being separated further by the rod guides 28 which are interposed therebetween. Conversely, the former plates of the second type of modular former 80 have relatively shorter outer arcuate segment edges 80' and inner chordlike edges 80"; and the latter are more deeply notched or contoured to accommodate the more closely spaced and more sharply outwardly protruding portions of the rod guides 28. Thus, the respective, inner chordlike edges 70" and 80" have contours which mate with the effective contours of the peripheral edges of the array of guides 28 and 32 juxtaposed therewith. It likewise will be appreciated that the interposed, or alternating, relationship of the guides 28 and 32 and the nature of the alignment thereof within the array will define the contours of the peripheral edges of the array and correspondingly the mating dimensions and contours of the inner chordlike edges of the former plates. Thus, the inner edges 70" are relatively longer in view of the parallel relationship thereof to the alignment axis of the diagonally oriented guides 32 having the guides 28 interspersed therebetween; conversely, the inner edge 80" is parallel to an alignment axis of the guides 32 which is parallel to a pair of parallel edges of the rod guides 32. As is also apparent, the shallower depth of the U-shaped vertical channels 73 relative to the greater depth of the channels 83 corresponds to the mating contours of the inner edges 70" and 80" of the respectively associated modular formers 70 and 80. Each of the modular formers 70 and 80 is secured to the cylindrical sidewall 26 by cantilever attachment elements 90, which are shown in more detail in FIG. 6(a), 6(b) and 6(c), respectively comprising plan, side elevational and end elevational view thereof. The cantilever attachment element 90 preferably is integrally formed to include a shank portion 91 and a mounting block 92 in common axial alignment, the block 92 being undercut on its lower surface to define a pair of legs 93. With reference to FIG. 3, weld lines 94 are formed between the legs 93 and the corresponding surface of the former plate 81. The significance of the legs 93 is that full penetration weld lines 94 readily may be provided, optimizing the weld attachment. The elements 90 are correspondingly attached to the lower former plate 82. FIG. 7 is an elevational, partially cross-sectional view taken along the line 7--7 in FIG. 2 and illustrates the attachment of a modular former 80 to the cylindrical sidewall 26 through use of the cantilever attachment elements 90. The sidewall 26 preferably includes annular grooves 95 positioned at the desired height of the former plates 81 and 83 for a given bank of modular formers 40, 42 and 44, within which the respective outer, arcuate edges 80' of the former plates 81 and 82, respectively, are received. Further, holes 96 are formed through the sidewalls 26 through which the shanks 91 of the cantilever attachment elements 90 are received and which then are welded in place from the exterior of the sidewall 26, as illustated by weld lines 97. With reference to FIG. 2, it will be appreciated that the holes 96 provided in the sidewall 26 for the cantilever attachment elements 90 of a given former extend in parallel relationship relative to the associated, symmetrically related diameter. Moreover, the cantilever attachment elements 90 are secured to the respective former plates 71 and 81 as seen in FIG. 2 so as to dispose the mounting blocks 92 at a common radius less than that of the sidewall 26 such that the outer extremities of the shanks 91 are substantially flush with the outer surface of the sidewall 26. FIG. 2 shows the elements 90 attached to the upper former plates 71 and 81 and affixed to the sidewall 26. It will be understood that the elements 90 attached to the corresponding, lower former plates 72 and 82 (not seen in FIG. 2) are correspondingly affixed to the sidewall 26. The modular formers 70 and 80 of the invention, assembled and installed as the three banks 40, 42 and 44 shown in FIG. 1, thus provide a succession of six former plates at corresponding, six displaced vertical elevations within the inner barrel assembly 24. The modular construction of the formers is of great significance, both structurally and as to their functional performance during subsequent operation of the reactor 10. Specifically, the entirety of each of the modules 70 and 80 may be assembled externally of the inner barrel 26, including welding of the cantilever attachment elements 90 thereto. Because of the parallel axial relationship of the cantilever attachment elements 90, and the corresponding holes 96 provided therefore in the sidewall 26, each of the modules 70 and 80 readily may be inserted into position and then welded to the sidewall 26 from the exterior of the latter, greatly facilitating the assembly operation. The vertical columns within each module afford substantial strength and rigidity to the former plates which they join. By way of example, individual former plates, if mounted individually (or as a continuous annular ring) in a cantilever type mount arrangement, would not have nearly the stability or rigidity as is achieved through the modular construction of the formers of the present invention. Any such continuous, annular former, moreover, would be incapable of being fully assembled prior to insertion into the barrel, and specifically, could not permit the exterior welding operation by which the modular formers of the invention may be attached to the barrel. During operation, the modular formers 70 and 80 provide the required pressure drop to cause the core outlet flow to approach an axial flow condition with uniform distribution in the rod guide region within the inner barrel assembly 24. The structural configuration of each module, comprising the vertical columns joined by welding to the former plates, affords a very stiff, i.e., rigid, yet relatively lightweight structure; this is highly important, so as to reduce the potential of flow-induced vibration and seismic loading on the inner barrel assemblY 24. The use of modules, moreover, as distinguished for example from a continuous former plate extending throughout the inner circumference of the sidewall 26, inherently reduces thermal stresses which otherwise could be encountered between such a unitary former plate and the sidewall 26. Moreover, because of the modular construction, the attachment points for a given module are relatively closely spaced and, thus, the amount of elastic deformation required to relieve stress due to differential thermal reaction of the former plate and the sidewall 26 is reduced. Additionally, the circular cross-section of the shank porton 91 of each cantilever attachment element 90 is capable of deflection, thereby permitting differential thermal expansion between the former plate and the sidewall 26 without introducing overstressing. The welded assembly of each module is significant, since both the column attachment welds and the module attachment welds are placed in sheer, such that a complete and clean sheer across the entire section of the weld must occur before separation of the mating parts could occur. By way of illustration and exemplification but not limitation, a specific system having three banks of modular formers in accordance with the invention is now described with reference to the particular structural dimensions. In one specific design, the cylindrical sidewall 26 of the inner barrel assembly 24 has a diameter of approximately 169 inches and an axial height of approximately 176 inches between the upper core plate 19 and the lower calandria plate 52. The upper and lower former plates 81, 82 and 71, 72 are formed of steel of approximately one inch thickness and the associated vertical columns 73 and 83 are formed of steel sheet of approximately one-half inch thickness. The U-shaped columns 73 are approximately four inches in the depth of the relatively shallow U-shaped portion. The deeper U-shaped channels 83 are of a width of approximately 16 inches with a depth of the U-shaped portion of approximately 6.5 inches. The height of the vertical columns 73 and 83 is approximately 15 inches for the bottom bank 40 and approximately 31 inches for the upper banks 42 and 44. The lower bank 40 is displaced approximately 15 inches from the upper core plate 19 and a vertical spacing of approximately 31 inches is provided between each of the respective banks 40 and 42 and banks 42 and 44. While the foregoing is illustrative of a specific implementation, it is to be understood that other sizes of formers, elevational spacings thereof, and the like, may be dictated by the particular configuration of, and fluid dynamics within a given reactor. It also will be appreciated that while a preferred configuration of the modular formers has been disclosed, the particular contouring thereof and the like will be dependent upon the character of the rod guides and the assemblage thereof into an array. Further, whereas the preferred form of a modular former includes two parallel columns such as 73, 73 and 83, 83 for the respective modules 70 and 80, other configurations may dictate modification of the specifically illustrated, preferred modular formers; illustratively, and with reference to FIG. 3, a unitary column 83 may be suitable in certain applications and by contrast multiple columns 83 may be more appropriate in other applications. In any such variations, it is important that the column provide both rigid vertical separation and radially displaced multipoint connections with the parallel former plates, so as to afford lateral stability and rigidity. Accordingly, it is believed apparent to those of skill in the art that the modular formers of the present invention satisfy a signficant need in assuring stablization of core output flow through the inner barrel of a nuclear power generator having a complex and advanced design of the type herein disclosed. Fabrication costs of the module are minimized due to standardization of parts and the capability of automated assembly of each module as a unit, prior to installation in the reactor; further, final assembly welding of each module to the inner barrel sidewall may be accomplished expeditiously. These and other advantages will be apparent to those skilled in the art, as will numerous modifications and adaptations of the particular modular formers of the invention as herein disclosed. Accordingly, it is intended by the appended claims to cover all such modifications and adaptations of the invention as fall within the true spirit and scope of the appended claims.
043222684
summary
The present invention relates generally to heat exchanger cooling systems, and more particularly to a novel cooling system for a gas-cooled nuclear reactor wherein primary and auxiliary cooling loops are provided with a common flow return duct to return coolant to the reactor core, and including a flow diverter common to both the primary and auxiliary coolant loops and selectively operable to control coolant flow through a selected one of the primary or auxiliary coolant loops while isolating the other of the loops. It is a conventional practice in gas-cooled high temperature nuclear reactors to circulate a coolant gas, such as helium, within the power loop, i.e., a closed loop containing the reactor core and a heat exchanger in the form of a steam or vapor generator, through a ducting system by means of main or primary circulators. For emergency cooling of the gas coolant, as in the case of a malfunction or shutdown of the steam generator, independent auxiliary cooling loops are frequently employed consisting of auxiliary circulators, auxiliary heat exchangers and a ducting system for the auxiliary cooling loop which is independent of the primary cooling loop. The primary and auxiliary circulators are generally installed in different cavities or penetrations within the reactor vessel. While such systems have proven capable of providing sufficient primary and emergency auxiliary cooling for the heated coolant gas after it is passed through the active reactor core, such independent cooling loops add substantially to the overall cost of a nuclear reactor power system. The present invention has as one of its primary objects the provision of a novel cooling system for a gas-cooled nuclear reactor which employs primary and emergency auxiliary cooling loops sharing common cavity, return duct and diverter valve means resulting in significant economic advantages over prior gas-cooled nuclear reactor cooling systems. A more specific object of the present invention is to provide a novel cooling system for a gas-cooled nuclear reactor which employs primary and emergency auxiliary cooling loops having a common flow return duct to the reactor core and a single flow diverter valve located at the junction of the common return duct with the primary and auxiliary cooling loops so as to allow selective isolation of one of the loops while facilitating coolant flow through the other of the loops. Still another object of the present invention is to provide a novel cooling system for a gas-cooled nuclear reactor wherein primary and emergency auxiliary cooling loops include a common vertical cavity in a prestressed concrete reactor vessel, the primary and auxiliary cooling loops being connected to a common coolant return duct at a junction in which is disposed a diverter valve normally isolating the coolant within the primary coolant loop but being selectively operable to divert flow of coolant gas from the reactor core to the emergency auxiliary cooling loop while isolating the primary cooling loop. A feature of the present invention lies in the provision of primary and emergency auxiliary cooling loops in a gas-cooled nuclear reactor wherein the loops include a common vertical cavity housing both a circulator for the primary cooling loop and a circulator for the emergency auxiliary cooling loop. A single return duct common to the primary and auxiliary loops houses a flow diverter valve operative to selectively control coolant flow through the primary or auxiliary cooling loops and their associated circulators whereby to eliminate the need for an isolation valve normally located at the circulator associated with the emergency auxiliary cooling loop. Another feature of the gas-cooled nuclear reactor cooling system in accordance with the present invention lies in the provision of a novel diverter valve located at the junction of a common return duct with a cavity in the reactor vessel which houses both the primary and auxiliary circulators, the diverter valve being operable by means externally of the reactor vessel to selectively isolate the auxiliary cooling loop from the primary coolant loop.
summary
description
This application claims priority under 35 U.S.C. §119 to Japanese Patent Application No. 2009-037307 filed on Feb. 20, 2009, the entire content of which is hereby incorporated by reference. 1. Field of the Invention The present invention relates to a focused ion beam system that processes a sample by using focused ion beam, and a sample processing method using the same. 2. Description of the Related Art Hitherto, focused ion beam systems have been used for, for example, performing etching processing on a semiconductor device to acquire a cross-section thereof for observation or processing a sample into a flake to produce a sample piece for a transmission electron microscope (TEM), which will be called TEM sample. The TEM sample is produced by removing both sides of an original sample by ion beam etching processing into a flake having an exposed cross-section to be observed. The flake may be moved by a manipulator having a probe (or needle), for example, to a sample holder for TEM observation to perform TEM observation. In this case, the needle is brought closer to the flake, and ion beam deposition is used to fix both of them, and the needle is manipulated to bring the flake closer to the sample holder. Then, ion beam deposition is used to fix the flake to the sample holder. Since these operations are normally performed within the field of view of the focused ion beam system, the manipulator has low degree of freedom in operations. Thus, the flake taken out from an original sample is fixed to the sample holder at the same attitude (or in the same direction) as that is taken out. For example, since a semiconductor device has a wiring layer above and a substrate at the bottom, a flake from the semiconductor device is fixed to a sample base with the wiring layer thereabove. The flake sample of the semiconductor device may further undergo a final process. Since the efficiency of etching with an ion beam is different in accordance with the materials of a wiring part, an interlayer insulating layer and a substrate, for example, some upper structures of samples may influence on the etching on the lower parts. For example, the influence of the upper structure may be significant in observing near a gate oxide film of a MOS transistor or observing the bottom of a via. Accordingly, a technology has been disclosed that includes a focused ion beam system having a rotating mechanism that rotates a probe about the center axis thereof and a tilting mechanism that tilts the probe in the vertical direction about the probe as the center axis (see JP-A-2007-108105, FIG. 6). According to the technology, a flake sample is fixed to the probe and is taken out from the original sample. Then, the probe is rotated about the center axis to invert the top-to-bottom direction of the sample. However, the probe is attached to the top surface of a sample holder at some angles. Thus, when the probe is rotated, the top surface of the sample and the top surface of the sample holder are not parallel. The tilting mechanism is used to tilt the probe so that the top surface of the sample and the top surface of the sample holder can be parallel. Then, the sample is moved to the sample holder and is fixed thereto. In this way, the lower part of the semiconductor device is turned upward such that a final process can be performed including irradiating an argon ion beam, for example, and removing a damaged layer of the sample. However, the method using the probe having the rotating mechanism and the tilting mechanism may require a tilt angle of several tens degrees in accordance with the attached angles of the probe, and the tilting mechanism may be required to have a large stroke. However, it is difficult to provide the tilting mechanism in a narrow space near a sample within the focused ion beam system, which may increase the costs. The invention was made in order to solve the problems, and it is an object of the invention to provide a focused ion beam system including microtweezers and a rotating mechanism therefor as manipulators so that the direction of a sample can be changed and the sample can be fixed to a sample holder, without requiring a tilting mechanism, and a sample processing method using the same. In order to achieve the object, there is provided, according to an aspect of the invention, a focused ion beam system including a sample holder having a fixing plane for fixing a sample, a sample base on which the sample holder is provided, a focused ion beam irradiating mechanism that irradiates a focused ion beam to the sample, microtweezers that hold the sample and have the axial direction at a predetermined angle to a surface of the sample base, an opening/closing mechanism that opens and closes the microtweezers, a rotating mechanism that rotates the microtweezers about the axial direction, and a moving mechanism that moves the position of the microtweezers. In this configuration, since the microtweezers are used to hold a sample, the work load can be reduced, without requiring deposition for holding the sample unlike the case using a probe. When the fixing plane tilting toward the surface of the sample base is used, the microtweezers are only required to rotate about the axial direction in order to change the direction of the sample and make one surface of the sample and the fixing plane parallel. Thus, without requiring a tilting mechanism for the microtweezers, the direction of the sample can be changed, and the sample can be fixed directly to the fixing plane of the sample holder. When the microtweezers holding the sample is rotated by a predetermined angle, the fixing plane may tilt toward the surface of the sample base such that one surface of the sample can be parallel with the fixing plane. With the configuration, the microtweezers are only required to rotate about the axial direction in order to make one surface of the sample and the fixing plane parallel. Thus, the sample can be fixed directly to the fixing plane of the sample holder. The focused ion beam system may further include a control portion that rotates the microtweezers holding the sample by a predetermined angle, moves the microtweezers so that the sample can be abutted against the fixing plane, and then moves the microtweezers such that one surface of the sample can be parallel with the fixing plane. With the configuration, when a sample is abutted against the fixing plane and the microtweezers are then moved in a predetermined direction, force is applied to the sample on the fixing plane, and the direction of the sample changes. Then, one surface of the sample becomes parallel with the fixing plane. Thus, the direction of the sample can be changed, and, at the same time, the sample can be fixed to the fixing plane directly. According to another aspect of the invention, there is provided a sample processing method using a focused ion beam system, the method using a focused ion beam to process a sample, the method including a holding step of holding a sample with microtweezers having the axial direction at a predetermined angle to a surface of a sample base, a rotating step of rotating the microtweezers holding the sample about the axial direction by a predetermined angle, and a fixing step of bringing the rotated microtweezers closer to a sample holder having a fixing plane for fixing the sample and fixing the sample to the fixing plane, wherein, when the rotating step rotates the microtweezers, the fixing plane tilts toward the surface of the sample base such that one surface of the sample can be parallel with the fixing plane. According to another aspect of the invention, there is provided a sample processing method using a focused ion beam system, the method using a focused ion beam to process a sample, the method including a holding step of holding a sample with microtweezers having the axial direction at a predetermined angle to a surface of a sample base, a rotating step of rotating the microtweezers holding the sample about the axial direction by a predetermined angle, an abutting step of bringing the rotated microtweezers closer to a sample holder having a fixing plane for fixing the sample and abutting the sample against the fixing plane, and a moving step of, after abutting the sample against the fixing plane, moving the microtweezers such that one surface of the sample can be parallel with the fixing plane. According to the invention, since, in processing with a focused ion beam system, microtweezers and a rotating mechanism therefor are used as manipulators, the direction of a sample can be changed, and the sample can be fixed to a sample holder, without requiring a tilting mechanism. With reference to drawings, embodiments of the invention will be described below. FIG. 1 is a block diagram illustrating the entire configuration of a focused ion beam system 100 according to an embodiment of the invention. Referring to FIG. 1, the focused ion beam system 100 includes a vacuum chamber 10, an ion beam irradiating system (which corresponds to a “focused ion beam irradiating mechanism” according to an embodiment of the invention) 120, an electron beam irradiating system 30, an argon ion beam irradiating system 40, microtweezers 50, a sample stage 60, a secondary charged particle detector 70, a gas gun 80, and a control portion 90. The interior of the vacuum chamber 10 is depressurized to a predetermined degree of vacuum, and a part or all of the components of the focused ion beam system 100 are placed within the vacuum chamber 10. The sample stage 60 supports a disk-shaped sample base 61 movably, and an original sample 2 such as a semiconductor wafer is mounted on the sample base 61. The sample stage 60 has a moving mechanism that displaces the sample base 61 on five axes. The moving mechanism includes an XYZ moving mechanism 60b that moves the sample base 61 along an X-axis and a Y-axis, which are parallel with the horizontal plane and orthogonal to each other, and along a Z-axis, which is orthogonal to the X-axis and Y-axis, a rotating mechanism 60c that rotates the sample base 61 about the Z-axis, and a tilting mechanism 60a that rotates the sample base 61 about the X-axis (or Y-axis). The sample stage 60 displaces the sample base 61 on five axes so that the original sample can be moved to the irradiation position of an ion beam 120A. Here, the ion beam 120A is irradiated to etch the original sample 2, and a sample piece for a transmission electron microscope (TEM), which will be called TEM sample, is thus produced. After that, the sample piece is held with the microtweezers 50 and is moved to a sample holder 62 for TEM observation, and TEM observation is performed thereon. The sample holder 62 is placed along the circumference of the sample base 61. The sample piece taken out from the original sample 2 and held by the microtweezers 50 corresponds to a “sample” according to an embodiment of the invention. The microtweezers 50 have two pointed ends and have a rear end supported by a tweezers holder 52. The microtweezers 50 are attached to the tweezers holder 52 with the axial direction at a predetermined angle θ to the surface of the sample base 61. This is because, if the microtweezers 50 are not attached diagonally to the surface of the sample base 61, it is difficult to hold the sample piece etched from the original sample 2 on the sample base 61 with the microtweezers 50. However, when the axial direction of the microtweezers 50 is at a right angle to the surface of the sample base 61, the rotation of the microtweezers 50, as will be described later, does not invert the top-to-bottom direction of the sample piece. Thus, 0°<θ<90° is assumed. The rear end of the tweezers holder 52 is connected to an opening/closing mechanism 53. The opening/closing mechanism 53 opens and closes the pointed ends of the microtweezers 50 so as to hold or release a sample piece. The microtweezers 50 may be produced by a semiconductor silicon processing technology such as MEMS. Two proximate needles may be opened and closed by using the opening/closing mechanism 53 (such as a static actuator) so that they can function as tweezers. When voltage is applied to the static actuator, the interval between the two needles increases. When voltage is cancelled, the elastic force recovers the interval between the needles. The rear end of the opening/closing mechanism 53 is supported by a rotating mechanism 54. The rotating mechanism 54 may include a stepping motor, for example, and the microtweezers 50 attached to the opening/closing mechanism 53 and tweezers holder 52 can be rotated about the axial direction (Z-axis). The rear end of the rotating mechanism 54 is connected to a moving mechanism 55. The moving mechanism 55 moves the tweezers holder 52 along the X-axis and Y-axis, which are parallel with a horizontal plane and are orthogonal to each other, and along the Z-axis orthogonal to the X-axis and Y-axis. The moving mechanism 55 may include a piezo actuator, for example. According to the invention, the moving mechanism 55 is not required to include a tilting mechanism that further rotates the tweezers holder 52 about the X-axis (or Y-axis). This is because, as will be described later, according to the invention, when the rotating mechanism 54 only rotates the tweezers holder 52 (or microtweezers 50) about the axial direction, the direction of the sample can be changed, and the sample can thus be fixed to the sample base. This can eliminate the necessity for a tilting mechanism having large strokes of tilt angles of the order of several tens degrees in accordance with the attaching angles of the microtweezers 50. Furthermore, the tilting mechanism is not required to arrange in a narrow space within the focused ion beam system. The omission of the tilting mechanism can reduce the costs. Since the microtweezers 50 are used to hold a sample and the deposition of a sample is thus not required unlike the case using a probe, the work load can be reduced. The control portion 90 may be configured by a computer including a CPU (or central processing unit), a storage unit (such as a RAM and a ROM) 93 that stores data and/or programs, and an input port and output port for inputting and outputting a signal to and from an external apparatus. In the control portion 90, the CPU performs arithmetic processing on the basis of programs stored in the storage unit 93 so as to control the components in the focused ion beam system 100. The control portion 90 is electrically connected to the control wiring and the like for the focused ion beam (which will sometimes just be called “ion beam”) irradiating system 20, electron beam irradiating system 30, argon ion beam irradiating system 40, microtweezers 50, secondary charged particle detector 70 and sample stage 60. The control portion 90 further converts the secondary charged particles detected by the secondary charged particle detector 70 to a luminance signal, thus creates image data representing a sample surface and creates the sample image on the basis of the image data. The sample image is output to the display apparatus 91 connected to the control portion 90. The control portion 90 further drives the sample stage 60 on the basis of a software instruction or operator's input and adjusts the position or attitude of an original sample so as to adjust the irradiation position and/or irradiation angle of the ion beam 120A to the original sample surface. The control portion 90 further drives the rotating mechanism 54, moving mechanism 55 and opening/closing mechanism 53 so as to adjust the position and/or attitude of the microtweezers 50 and grasp the sample piece with the microtweezers 50. The control portion 90 is connected to input means 92 such as a keyboard for acquiring an instruction input by an operator. The ion beam irradiating system 120 includes an ion source 121 that generates ions and an ion optical system 122 that forms the ions outflowing from the ion source 121 into a focused ion beam and scans the focused ion beam. The ion beam 120A being a charged particle beam is irradiated from the ion beam irradiating system 120 including an ion beam tube 23 to the original sample 2 on the sample stage 60 within the vacuum chamber 10. Here, from the original sample 2, secondary charged particles such as secondary ions and secondary electrons are generated. The secondary charged particles are detected by the secondary charged particle detector 70, and an image of the original sample 2 is acquired. The ion beam irradiating system 120 may increase the amount of irradiation of the ion beam 120A so as to perform etching processing on the original sample in the irradiation range. The ion optical system 122 may include, for example, a condenser lens that focuses the ion beam 120A, an aperture that narrows the ion beam 120A, an aligner that adjusts the optical axis of the ion beam 120A, an objective lens that focuses the ion beam 120A to a sample, and a deflector that scans the ion beam 120A on the sample. The electron beam irradiating system 30 includes an electron source 31 that emits electrons and an electron-optical system 32 that forms the electrons emitted from the electron source 31 into a beam and scans the beam. Due to the irradiation of the electron beam 30A emitted from the electron beam irradiating system 30 to an original sample, the original sample generates secondary electrons. The generated secondary electrons may be detected by the secondary charged particle detector 70 to acquire an image of the original sample or a sample piece thereof. Here, the electron beam 30A emitted from the electron beam tube 33 is irradiated onto the original sample at the same irradiation position as that of the ion beam 120A. In this way, according to the invention, in order to acquire a sample image rendering a sample surface, the secondary charged particles (such as secondary ions and secondary electrons) generated by the irradiation of the ion beam 120A may be used, or the secondary charged particles (such as secondary electrons) generated by the irradiation of the electron beam 30A may be used. According to the invention, charged particle beam equipment without the electron beam irradiating system 30 may be used. The argon ion beam irradiating system 40 includes an argon ion source 41, an argon ion optical system 42, and an argon ion beam tube 43 and further includes beam-position control means 44 for controlling the irradiation position of an argon ion beam. The argon ion beam irradiating system 40 irradiates an argon ion beam for cleaning the original sample 2. When the ion beam 120A or electron beam 30A is irradiated to the original sample 2, the secondary charged particle detector 70 detects the secondary charged particles (such as secondary electrons and secondary ions) generated from the original sample. The gas gun 80 emits a predetermined kind of gas such as etching gas to an original sample. Supplying etching gas by the gas gun 80 and at the same time irradiating the ion beam 120A to the original sample 2 can increase the speed of etching the sample with the ion beam 120A. Furthermore, supplying compound gas by the gas gun 80 and at the same time irradiating the ion beam 120A to an original sample allow deposition of a local gas component near the area with the irradiation of the ion beam 120A. FIG. 2 is an enlarged view illustrating a structure within the focused ion beam system near the sample base 61. The original sample 2 is mounted at the center of the sample base 61 and is etched with the ion beam 120A. As a result, a TEM sample piece 20 is produced. After that, the sample piece 20 is held with the microtweezers 50, is brought closer to the sample holder 62 by the moving mechanism 55, is rotated by the rotating mechanism 54, which will be described later, and then is fixed to the sample holder 62. The sample piece 20 may be fixed to the sample holder 62 by ion beam deposition, for example. FIG. 3 is a diagram illustrating an example of the configuration of the sample holder 62. The sample holder 62 includes a long and narrow parallelepiped enclosure 62a and four holder portions 62b arranged in the longitudinal direction on the top surface of the enclosure 62a. Each of the holder portions 62b includes a substantially semicircular mesh portion 62x containing molybdenum, for example, and a sample attachment 62y containing silicon, for example, connected to the surface of the flat part of the mesh portion 62x. The sample attachment 62y has plural (four or five) bars projecting upward. The bars are aligned in the planar direction of the holder portion 62b. A sample piece is to be fixed to the pointed ends of the bars. The semicircular part of the mesh portion 62x is buried in the enclosure 62a, and the flat part is parallel with the top surface of the enclosure 62a. In the sample holder 62, the side surface adjacent to the top surface of the enclosure 62a is connected to a side wall of the sample base 61, and the top surface of the enclosure 62a is parallel with the sample base 61. Thus, the plane (which will be called fixing plane and also corresponds to a “fixing plane” according to an embodiment of the invention) 62s extending along the pointed ends of the bars of the sample attachment 62y that fixes a sample piece is also parallel with the sample base 61. Notably, the planar direction of the holder portion 62b corresponds to the radial direction of the sample base 61. A sample piece may be attached to a side surface of the sample attachment 62y, for example in the embodiments that will be described later. In this way, the sample holder 62 having the fixing plane 62s parallel with the sample base 61 is preferably used in a sample processing method according to a third embodiment of the invention. FIG. 4 is a diagram illustrating an example where the sample holder 62 is tilted toward and is attached to the sample base 61. A spacer 200 having a wedge-shaped cross-section is mounted between a side surface of the enclosure 62a of the sample holder 62 and a side wall of the sample base 61. The top surface of the enclosure 62a tilts downward to the center of the sample base 61. Thus, the fixing plane 62s tilts downward to the center of the sample base 61 about the surface of the sample base 61 In this way, the sample holder 62 having the fixing plane 62s tilting toward the sample base 61 is applicable to the sample processing method of the first embodiment of the invention. In the sample processing method of the first embodiment of the invention, when the microtweezers 50 holding the sample piece 20 are rotated, one surface of the sample piece 20 is parallel with the fixing plane 62s. FIGS. 5A and 5B illustrate, in a sample processing method of the first embodiment of the invention, a holding step (in FIG. 5A) of holding the sample piece 20 with the microtweezers 50 and a rotating step (in FIG. 5B) of rotating the microtweezers 50 holding the sample piece 20 by a predetermined angle (such as 180°). The axial direction L of the microtweezers 50 and the surface of the sample base 61 form a predetermined angle (θ). The rectangular sample piece 20 rises perpendicularly from the surface of the sample base 61, the top side 20a (and bottom side 20b) of the sample piece 20 and L for an angle θ. Thus, when the holding step holds the sample piece 20, the top surface (and bottom surface) of the sample piece 20 and the axial direction L form the angle θ. On the other hand, when the rotating step rotates the microtweezers 50 by 180°, the top-to-bottom direction of the sample piece 20 is inverted, and the bottom side 20b is positioned above. Because of the complementary relationship, the top side 20a and the surface of the sample base 61 for the angle 2θ, and the side 20c (which is the side adjacent to the top side 20a) of the sample piece 20 and the surface of the sample base 61 form the angle (90-2θ). FIG. 6 is a section view taken on the line VI-VI in FIG. 4. With reference to FIG. 6, there will be described a fixing step of rotating the microtweezers 50 holding the sample piece 20 and fixing the sample piece to the fixing plane 62s of the sample holder 62. When the sample piece 20 is held with the microtweezers 50, the bottom side 20b of the sample piece 20 faces downward, and the side 20c positions on the pointed-end side. When the microtweezers 50 are rotated about the axial direction L by 180°, the side 20c of the sample piece 20 forms the angle (90-2θ) with the surface of the sample base 61 and faces downward. Here, since the top surface of the enclosure 62a of the sample holder 62 tilts by the angle (90-2θ) toward the surface of the sample base 61, the fixing plane 62s also tilts by the angle (90-2θ) toward the surface of the sample base 61. Thus, the side 20c of the sample piece 20 (which corresponds to “one surface of the sample” according to an embodiment of the invention where, more accurately, the end face of the side 20c corresponds to the “one surface”) is parallel with the fixing plane 62s, and the sample piece 20 can be fixed to the fixing plane 62s directly. In other words, rotating the microtweezers 50 may only be required to change the direction of the sample and fix the sample to the sample base, without tilting the attaching angle of the microtweezers 50. FIG. 7 is a diagram illustrating another example where a sample holder 63 to be used in the sample processing method of the first embodiment of the invention is tilted toward and is attached to the sample base 61. The same spacer 200 having a wedge-shaped cross-section as that in FIG. 4 is provided between the side surface of the enclosure 63a of the sample holder 63 and the side wall of the sample base 61. The top surface of the enclosure 63a tilts downward to the center of the sample base 61. However, the sample holder 63 is different from the sample holder 62 in that holder portions 63b thereof are buried in the outside surface of the enclosure 63a. In the case of the sample holder 63, the side of the bars of a sample attachment 63y is a fixing plane 63s for fixing the sample piece 20. Therefore, the fixing plane 63s tilts downward to the center of the sample base 61. FIG. 8 is a section view taken on the line VIII-VIII in FIG. 7. With reference to FIG. 8, there will be described a fixing step of rotating the microtweezers 50 holding the sample piece 20 and fixing the sample piece to the fixing plane 63s of the sample holder 63. Like the case in FIG. 6, when the sample piece 20 is held with the microtweezers 50, the bottom side 20b of the sample piece 20 faces downward, and the side 20c positions on the pointed-end side. When the microtweezers 50 are rotated about the axial direction L by 180°, the side 20c of the sample piece 20 forms the angle (90-2θ) with the surface of the sample base 61 and faces downward. Here, since the top surface of the enclosure 63a of the sample holder 62 tilts by the angle (90-2θ) toward the surface of the sample base 61, the fixing plane 63s also tilts by the angle (90-2θ) toward the surface of the sample base 61. Thus, the side 20c of the sample piece 20 is parallel with the fixing plane 63s, and the sample piece 20 can be fixed to the fixing plane 63s directly. In other words, rotating the microtweezers 50 may only be required to change the direction of the sample and fix the sample to the sample base, without tilting the attaching angle of the microtweezers 50. In the case of the sample holder 63, the sample piece 20 is fixed to the side of the bars of the sample attachment 63y. Thus, when the sample holder 63 is returned to the horizontal state to observe the sample piece 20, the sample piece 20 can be observed from a different direction from that of the sample holder 62. FIGS. 9A and 9B illustrate, in a sample processing method of a second embodiment of the invention, a holding step (in FIG. 9A) of holding a sample piece 21 with the microtweezers 50 and a rotating step (in FIG. 9B) of rotating the microtweezers 50 holding the sample piece 21 by a predetermined angle (such as 180°). According to the second embodiment, a cut 21d is provided from the top side 20a to the bottom side 20b of the sample piece 21, and the part between the cut 21d and a side of the sample piece 21 is held with the microtweezers 50. The axial direction L of the microtweezers 50 and the surface of the sample base 61 form a predetermined angle (θ). The rectangular surface of the sample piece 21 and the axial direction L form the angle θ. On the other hand, when the rotating step rotates the microtweezers 50 by 180°, the top-to-bottom direction of the sample piece 21 is inverted, and the bottom side 21b is positioned above. Because of the complementary relationship, the surface of the sample piece 21 forms the angle (90-2θ) with the surface of the sample base 61. FIG. 10 is a diagram illustrating an example where a sample holder 64 to be used in the sample processing method of the second embodiment of the invention is tilted toward and is attached to the sample base 61. The sample holder 64 has a holder portion 64b instead of the holder portion 63b in FIG. 7. The planar direction of the holder portion 64b is orthogonal to the outside surface of the enclosure 64a and extends along the longitudinal direction of the enclosure 64a, and the holder portion 64b is arranged such that the direction of the alignment of the bars of sample attachments 64y can also be orthogonal to the outside surface of the enclosure 64a. Since the other characteristics are the same as those of the sample holder 63, the description on the same parts will be omitted. In the case of the sample holder 64, a side of the bars of each of the sample attachments 64y is a fixing plane 64s for fixing the sample piece 21. Thus, the fixing plane 64s tilts upward to the center of the sample base 61. FIG. 11 is a section view taken on the line XI-XI in FIG. 10. With reference to FIG. 11, there will be described a fixing step of rotating the microtweezers 50 holding the sample piece 21 and fixing the sample piece to the fixing plane 64s of the sample holder 64. When the sample piece 21 is held with the microtweezers 50, the top side 21a of the sample piece 21 faces upward. When the microtweezers 50 are rotated about the axial direction L by 180°, the top side 21a of the sample piece 21 faces downward, and the surface of the sample piece 21 forms the angle (90-2θ) with the surface of the sample base 61. The end face of the upper side 21a forms the angle 2θ with the surface of the sample base 61 (since the end face of the top side 21a is at a right angle to the surface of the sample piece 21). On the other hand, the top surface of the enclosure 64a of the sample holder 64 tilts toward the surface of the sample base 61 by the angle (90-2θ), and the fixing plane 64s is perpendicular to the top surface of the enclosure 64a. Thus, the fixing plane 64s tilts toward the surface of the sample base 61 by 2θ. Therefore, (the end face of) the top side 21a of the sample piece 21 is parallel with the fixing plane 64s, and the top side 21a of the sample piece 21 can be fixed to the fixing plane 64s directly. In other words, rotating the microtweezers 50 may only be required to change the direction of the sample and fix the sample to the sample base, without tilting the attaching angle of the microtweezers 50. FIG. 12 illustrates the state that the sample piece 21 is fixed to the fixing plane 64s. The microtweezers 50 are rotated, and the top side 21a of the sample piece 21 is fixed to the fixing plane 64s. Thus, the top side 21a of the sample piece 21 is attached to the sides of the bars of the sample attachment 64y. FIG. 13 is a diagram illustrating another example where a sample holder 65 to be used in the sample processing method of the second embodiment of the invention is tilted toward and is attached to the sample base 61. Since the sample holder 65 is similar to the sample holder 63 except that a holder portion 65b is the holder portion 63b in FIG. 7 rotated on a surface of the enclosure 65a by 90°, the description on the same parts will be omitted. In the case of the sample holder 65, the plane which is on a side of the bars of each of sample attachments 65y and orthogonal to the plane of the sample holder 65 is a fixing plane 65s for fixing the sample piece 21. Thus, the fixing plane 65s is perpendicular to the surface of the sample base 61. The side of the bars tilts downward to the center of the sample base 61. FIG. 14 is a section view taken on the line XIV-XIV in FIG. 13. With reference to FIG. 14, there will be described a fixing step of rotating the microtweezers 50 holding the sample piece 21 and fixing the sample piece 21 to the fixing plane 65s. When the sample piece 21 is held with the microtweezers 50, the bottom side 21b of the sample piece 21 faces downward. When the microtweezers 50 is rotated about the axial direction L by 180°, the bottom side 21b of the sample piece 21 faces upward, and the surface of the sample piece 21 forms the angle (90-2θ) with a surface of the sample base 61. In the example in FIG. 14, since the fixing plane 65s is perpendicular to the surface of the sample base 61, (the end face of) the side 21c of the sample piece 21 is parallel with the fixing plane 65s, without rotating the microtweezers 50. However, when the microtweezers 50 are rotated, the direction that the bars of the sample attachment 65y on the fixing plane 65s extend is parallel with the direction that the side 21c extends (more specifically, the direction that the bars extend forms the angle (90-2θ) with the surface of the sample base 61). Thus, the sample piece 21 can be fixed to the fixing plane 65s. FIG. 15 illustrates the state that the sample piece 21 is fixed to the fixing plane 65s. The microtweezers 50 are rotated, and the side 21c of the sample piece 21 is fixed to the fixing plane 65s. Thus, the side 21c of the sample piece 21 is attached along the side of the bars of the sample attachment 64y. FIGS. 16A and 16B are a process chart illustrating a sample processing method of a third embodiment of the invention. First of all, the microtweezers 50 are used to hold the sample piece 20. Since the holding step is exactly the same as that in FIG. 5A, the illustration will be omitted. Next, the microtweezers 50 holding the sample piece 20 is rotated by a predetermined angle (such as 180°), and the top-to-bottom direction of the sample is inverted. Since the rotating step is exactly the same as that in FIG. 5B, the illustration will be omitted. The sample processing method of the third embodiment uses the sample holder 62 (which is a normal sample holder) having the fixing plane 62s parallel with the sample base 61, as illustrated in FIG. 3. Next, the rotated microtweezers 50 are brought closer to the sample holder 62, and the sample piece 20 is abutted against the fixing plane 62s of the sample holder 62 (abutting step/FIG. 16A). Here, the axial direction L of the microtweezers 50 originally forms an angle θ with the sample base 61 (as in FIG. 5A), (the end face of) the side 20c of the sample piece 20 is not parallel with the fixing plane 62s, and the corner P of the side 20c is only hooked (or abutted) on the fixing plane 62s. Then, when the microtweezers 50 are moved to a front direction F1, the side 20c falls to the front about the corner P being the fulcrum point, and (the end face of) the side 20c faces in parallel with the fixing plane 62s so that the side 20c can be attached to the fixing plane 62s (moving step/FIG. 16B). Therefore, rotating the microtweezers 50 may only be required to change the direction of the sample and fix the sample to the sample base, without tilting the attaching angle of the microtweezers 50. In order to easily move the side 20c about the corner P being the fulcrum point, the corner P of the sample piece 20 may be abutted against the fixing plane 62 as in FIG. 16A, and then the side 20c may be moved by gradually reducing the holding force by the microtweezers 50. FIGS. 17A and 17B are a process chart illustrating another sample processing method of the third embodiment of the invention. Since the holding step and rotating step before the abutting step in FIG. 17A are exactly the same as those in FIGS. 5A and 5B, the illustration and the description will be omitted. The example in FIGS. 17A and 17B is different from the example in FIGS. 16A and 16B in that, after the abutting step in FIG. 17A hooks (or abuts) the corner P of the side 20c on the fixing plane 62s, the microtweezers 50 are moved to a rear direction F2, and the top side 20a is tilted rearward about the corner P being the fulcrum point. Thus, (the end face of) the top side 20a faces in parallel with the fixing plane 62s, and the top side 20a can be attached to the fixing plane 62s (by the moving step/FIG. 17B). Therefore, rotating the microtweezers 50 may only be required to change the direction of the sample and fix the sample to the sample base, without tilting the attaching angle of the microtweezers 50. The invention is not limited to the embodiments but includes various changes and equivalents without departing from the spirit and scope of the invention. For example, according to the embodiments, the sample holder is tilted toward the sample base, and the fixing plane provided on the sample holder is tilted toward the surface of the sample base. However, the fixing plane may be diagonally attached within the sample holder, and the sample holder itself may be attached to the sample base in parallel. However, a normal sample holder has the fixing plane in parallel with (or at a right angle to) the enclosure of the sample holder. For that reason, the embodiment in which a spacer is provided between the normal sample holder and the sample base to adjust the angle is more preferable because a generic sample holder can be used therefor. According to the embodiments, the sample holder is a mesh having aligned thin bars. However, the invention is not limited thereto, but a semicircular mesh (which fixes a sample to a flat part thereof) having been used in the past may be used instead. The sample is not limited to the TEM sample piece. According to the sample processing method of the third embodiment, an operator manually performs the processing. However, the control portion 90 may cause a computer to implement the sample processing method of the third embodiment. In this case, the control portion 90 first acquires the position of the sample piece 20 (by image processing, for example), moves the microtweezers 50 to the position and then controls so as to open the microtweezers 50. Next, the control portion 90 closes the microtweezers 50 to hold the sample piece 20 (which is the holding step). If the control portion 90 determines that the sample piece 20 has been held, the rotating mechanism rotates the microtweezers 50 (which is the rotating step). The control portion 90 acquires in advance the coordinates of the fixing plane 62s of the sample holder 62, moves the microtweezers 50 and moves the held sample piece 20 to the coordinates. Thus, the sample piece 20 is abutted against the fixing plane 62s (which is the abutting step). When the sample piece 20 is abutted against the fixing plane 62s, a predetermined force of impact is detected through the microtweezers 50. By using it as a key, the control portion 90 moves the microtweezers 50 to a predetermined direction (such as the direction F1 or F2 in FIG. 16A and FIG. 17B). Thus, since one surface of the sample piece 20 faces in parallel with the fixing plane 62s, the control portion 90 controls so as to perform deposition on the fixing part and fix the sample piece 20 to the fixing plane 62s. After that, the control portion 90 controls so as to open and move the microtweezers 50, and the processing ends.
summary
059498382
summary
TECHNICAL FIELD This invention concerns the manufacturing of austenitic grade materials for radiation exposure applications. BACKGROUND ART The starting point is an austenitic steel whose alloying constituent quantities are largely standardized, e.g., steel carrying the German Stock Number 1.4550 which require a carbon content under 0.1%, a niobium content higher than the eight fold of the carbon content, as well as a chromium content of 17 to 19 wt. %, and a nickel content from 9 to 11.5 wt. %. Impurities level limits are set at 2.0% Mn, 1.0% Si, 0.045% P and 0.03% S by weight. The properties of iron are modified by the prescribed amounts of the alloying components with the upper limits on impurities dictated by the specified application zone. Higher impurity limits are generally allowed to make it possible to manufacture alloys from standard, inexpensive source materials which conform to commercial impurity standards. The upper limits of many impurities are the result of optimized manufacturing processes. Concentration limits on other alloying constituents are determined through the optimization of pertinent material properties. Steel qualities 1.4301 and 1.4401, for example, contain niobium as an impurity, but otherwise correspond to the usual impurities of 1.4550 steel. In the U.S., the corresponding steel qualities approximately correspond to markings AISI types 348, 304, and 316. The microstructure of these materials depends upon their composition, thermal treatment and other procedural steps during the manufacturing process. If for example, the material is subjected to high temperatures for extended periods, large grains will form. Impurities and/or the use of lower temperatures during manufacturing discourages grain growth. The formation of coarse grains can be promoted in some cases during forging, where extensive deformation of grains at elevated temperature causes larger grains to be formed when the forging cools. These grains can be reduced through recrystallization. Grain structure affects material properties such as ductility and strength. Austenitic steels distinguish themselves from other steels because they have suitable mechanical properties while simultaneously possessing a high level of stability in the face of general corrosion, the even removal of material from the surface of a component, a fact which led to early use of austenitic steels as the material of choice for high stress nuclear reactor internal structural components. Industry experience and laboratory testing has show that these materials fail when exposed to low stress, a matter which can be traced back to selective corrosion at grain boundaries ("intergranular stress corrosion cracking", IGSCC). This selective attack on the grain boundaries can be examined outside the reactor in laboratory tests ("outpile test") by conducting corrosion tests under special aggressive conditions. The results of such tests, show that austenitic steel which is resistant to IGSCC when not exposed to radiation, does fail during inpile testing where radiation is present. The in-reactor failure mechanism is therefore called "irradiation assisted stress corrosion cracking" ("IASCC"). It is suspected that phosphorus and silicon are forced to the grain boundaries leading to a susceptible site for the onset of corrosion. Supported by outpile IGSCC tests, the articles "Behavior of Water Reactor Core Materials with Respect to Corrosion Attack" by Garzarolli and Rubel and Steinberg's "Proceedings of the International symposium on Environmental Degradation of Materials in Nuclear Power Systems--Water Reactors", Myrtle Beach, S.C., Aug. 22-25, 1983, Pages 1 through 23, recommend that the silicon content be maintained under 0.1 wt. % and the phosphorus content be kept under 0.01 wt. %, while pointing out that irradiation in a reactor enhances the occurrence of selective corrosion. In "Deformability of Austenitic Stainless Steel and Ni-Base Alloys in the Core of a Boiling and a Pressurized Water Reactor", Proceedings of the 2nd International Symposium on Environmental Degradation of Materials in Nuclear Power Systems--Water Reactors, Monterey/Calif., Sep. 9-12, 1985, Pages 131 to 138, Garzarolli, Alter and Dewes report results from inpile tests that provide some insight into the influence of phosphorus, silicon, and sulfur impurities on IASCC. Standard steel qualities of stock numbers 1.4541, AISI 316 and 348, were subjected to annealing temperatures of 1050.degree. C. and then cold worked approximately 10%. A chemical analysis was performed to determine alloying constituents for each standard to be tested. AISI 348 steel samples had a silicon and phosphorus content (0.59% and 0.017%, respectively). This was lowered, for use as additional samples of "clean" AISI 348, to 0.01% and 0.008% by a special cleaning procedure. The sulfur content was not analyzed but the remainder of this "clean" steel was composed of 0.041% C., 11.1% Ni, 17.7% Cr, 1.65% Mn and 0.76% Nb+Ta by weight. Temperatures used during the annealing processes that followed the cold work were not closely monitored, but did not in any case exceed 1040.degree. C., yielding a grain size of ASTM No. 9. The sample with the lowest impurity content showed a considerably reduced corrosion rate during outpile tests. Tubes made of the two types of AISI 348 steel were filled with a ceramic that expands when exposed to irradiation, for inpile tests. These tests showed that only the cleaner material remand relatively undamaged with a diametrical-swelling of 0.7% and even 1.4% following irradiation. Follow-on tests with newly manufactured tubes showed that these positive results occurred at random and could not be reproduced. The factors and parameters obtained coincidentally during the aforementioned successful tests, which could not be replicated or controlled, obviously have an influence on IASCC. The nuclear industry has learned from its experience with zirconium alloys, that oxygen causes embrittling and a higher incidence of corrosion. It is suspected that nitrogen has a similar influence on austenitic steel, and it was recommended that austenitic steels be used which contain from 0.025% to 0.065% carbon and 1.5 to 2% manganese, which then show a maximum content of 0.03% N, 0.005% P, 0.05% Si and 0.005% S (U.S. Pat. No. 4,836,976). Long term reactor tests show, however, that the use of these or similar materials, i.e., P, S, N and Si reduced, could not attain the ductility and resistance with regard to IASCC in individual tests. Systematically varying the N-content did not show any particular influence on the impurity content. All clean variants failed during inpile tests, which means that the previously found high resistance for the aforementioned one-time material must be considered coincidental, whose cause lies in the random, unavoidable variations of the composition and/or manufacturing processes. The exact mechanisms and contributing factors to IASCC as well as the suitable measures for its avoidance are largely unknown because of the rather extensive list of possible influences, longer reactor testing periods, and substantial cost associated with a comprehensive test series. The task of manufacturing tubes for absorber elements or other structural components for reactor irradiation zones out of a suitable austenitic steel, that are sufficiently resistant to IASCC and can be exposed to the stress of long term reactor operation, still remains unfulfilled. This invention is the key to finding the solution to this task. DISCLOSURE OF INVENTION The intent is to reliably reproduce the one-time, randomly produced material condition which possesses the desired mechanical and corrosive properties. It is impossible to "exactly" reproduce the known material parameters at a justifiable expense: (austenitic steel composed as follows: 11.1% Ni, 17.7% Cr, 1.65% Mn, 0.76% Nb and Ta, 0.01% Si, 0.008% P, manufactured by thermal treatment of a large-grained blank at temperatures up to 1040.degree. C. and bearing the ASTM Number 9). It is also unknown whether other material parameters, not studied or controllable, could be responsible for the observed positive results. According to the findings, specific parameters can be selected, controlled, and applied to obtain the desired results. With the said parameters being sufficient to attain the positive results, others, which may encompass previously examined or as of yet unexamined parameters could play an accompanying role as a contributor toward the pertinent beneficial property. A controlled application is not required to obtain other parameters. They can be gotten from the requirements of other mechanical processes or as coincident. The material or corresponding workpiece manufactured according to the invention differentiates itself from the one-time or randomly manufactured material by having a reproducible resistance to IASCC. The invention proceeds from the assumption that phosphorous, sulfur and silicon impurities are particularly responsible for IASCC when they segregate to grain boundaries. The content of these impurities can be reduced with regard to customary steel qualities by using appropriate cleaning procedures, but it is not possible to completely remove all impurities. The average grain diameter of such a workpiece tends to increases as the impurity concentrations decrease; the number of grains and total grain boundary surfaces decrease to the point where it is now possible to end up with an accumulation of an excessive number and concentration of impurities on the reduced boundary surfaces. The invention also proceeds from the premise that higher disruptive segregation of impurities can be avoided if there are enough collection points in the material where impurities could be captured. Finely dispersed carbides would be suitable collection points for this propose. The invention provides an austenitic steel tailored for used in irradiation zones of a reactor. This steel has a reduced silicon, phosphorous and sulfur content. The grain size is sufficiently fine with an overall carbon content that favors, with properly controlled thermal processing, the formation of finely dispersed carbides of the alloying additions present in steel, as opposed to commercial steel with their technically practical purities and microstructures. The preferred alloying element for carbide formation is niobium which could range in concentration from as low as 0.4 wt. % to as much as 0.9 wt. %. The preferable range of niobium concentration is between 0.7 and 0.85% by weight. The carbon content can be as much as 0.06%, but is preferred to be around 0.04% by weight. The preferred niobium/carbon ratio range is from approximately 10:1 to 30:1. Advantageous carbide precipitations would have a diameter between 20 nm and 250 nm for spherical shapes and/or up to 750 nm for needle shapes. The diameters are based on optical readings of the intercept lengths, which are similar to that used in US Standard ASTM E 112 for grain size, obtained from high magnification scanning electron micrographs. The upper limit on silicon is 0.1% by weight, while good test results are obtainable with a maximum silicon content of 0.08%. The total content of phosphorous and sulfur should be under 0.03%, and preferably under 0.02%. Good results can be obtained when the phosphorous and sulfur contents are under O.008%. The invention provides that components or workpieces, that are to be made of steel and used in irradiation zones of a reactor, be manufactured from austenitic steel. This steel will require a base melt reduced in Si, P and S content after solidification. A thermal heat treatment that will result in a finely dispersed carbide precipitate, with the alloyed carbide former, is desired. Annealing temperatures between 1000 and 1100.degree. C. are sufficient with a standard annealing temperature of approximately 1050.degree. C. preferred to obtain a mean grain diameter (with an intercept length based on U.S. Standard ASTM-E 112) under approximately 20 .mu.m. This is the case when niobium in concentrations between 0.4 and 0.9% is used as the carbide former and only a small portion of the carbides present in a coarser distribution. Higher annealing temperature (e.g., at approximately 1150.degree. C.) can be used, particularly if coarser carbide precipitations need to be dispersed, and if only one low temperature stabilizing process (under 800.degree. C.) is anticipated to form the finely dispersed carbide distribution. These annealings can also be combined with mechanical processing steps at elevated temperatures (e.g., hot rolling) to get the desired structure. The fabrication process of the corresponding semi-finished steel customarily starts with a blank which is already handled at temperatures of over 1100.degree. C. State of the art technology anticipates that blanks will be further processed at annealing temperatures of approximately 1050.degree. C. ("standard annealing") so that any non-uniformities or other structural defects which could have formed during forging, extruding or other similar mechanical processes, which could lead to a ripping or bursting of the metal, can be removed. The desired structure of the metal limits the temperatures which are available during fabrication, but lowering temperatures during the intermediate processes can be equalized by extending the duration of the processes. The attainment of advantageously reduced silicon, phosphorous and sulfur content in the base material can be realized though good melting practices or though refined cleaning procedures. Cleaning takes place through a one-time melting or multiple remelting under vacuum. The use of a cover gas (e.g. argon) is also possible and is advantageous for intermediate annealing process. A silicon content of 0.1% and a common phosphorous and sulfur content of less than 0.03% is advantageous to maintain a purity level. Carbon content is permissible in the 0.03 to 0.05% range and should generally not exceed 0.06%. A niobium content of 0.9% by weight content is advantageous as a carbide former when a niobium-carbon ratio is in the range of 10:1 to approximately 30:1. Commercial austenitic steels generally have a grain structure with grain diameters that can exceed 50 .mu.m, depending upon how much Si, P and S has been removed. This provides for a ductile material that is not only resistant to general corrosion but also resistant against stress corrosion cracking when in a non-irradiated condition. In the non-irradiated state, commercial austenitic steel can withstand relative length expansion, dL, of up to 30% without incurring damage. This means that sealed pipes can withstand large changes in diameter, dD, caused by an increase of internal pressure. This occurs, when the filling, such as nuclear fuel or other absorbing material, within a pipe swells and presses against the pipe from the inside. After this material has been subjected for an extended period to a high neutron flux, the limit for relative length expansion, dL, or relative diameter change, dD, can occur. The resulting values of dD fall in a large scatter band, with a typical value of only approximately 0.5%. The reasons for the scatter could be due to the uncontrollable impurities which are present in the indicated maximum values, or due to the deviations in grain structure and size, dependent on random occurrences during the manufacturing process that are unknown. The reduced ductility is due to an increase occurrence of IASCC, which means that austenitic steel has a limited use in nuclear reactors. The invention's workpiece, in contrast, still shows sufficient ductility following a neutron exposure. It is possible for values of 1.5% or higher, in dD, to be reliably withstood without damaging the workpiece.
abstract
An ion implanting apparatus is provided, which can accurately measure a quantity of atoms that are implanted. The ion implanting apparatus according to the present invention has an object to be measured, and the object to be measured is arranged in an irradiating range in which ions are irradiated. When atoms are implanted into an object to be processed by irradiating ions of a processing gas and neutralized particles thereof, the object to be measured is heated through the irradiation with the processing gas ions and the neutralized particles. A control unit determines a quantity of the atoms that are implanted into the object to be processed from the temperature of the object to be measured.
claims
1. A collimator for providing collimation of radiation from at least one radiation source, the collimator comprising radiation attenuating material and featuring a twisted slit comprising radiation transmissive material, wherein the twisted slit comprises first and second apertures configured to provide a series of compound apertures from a radiation entry point in one aperture to a radiation exit point in the other aperture,wherein the collimator substantially takes the form of a prolate spheroid body having a major axis that passes through its longest dimension, the first aperture extending at least partially around the body in a plane orthogonal to the major axis and the second aperture extending at least partially around the body in a spiral form relative to the major axis such that all direct pathways from an entry point to an exit point and passing through the major axis at a predetermined angle, are of constant length in order to provide constant collimation effect. 2. A collimator according to claim 1 configured to rotate about the major axis. 3. A collimator according to claim 1 wherein the first aperture incorporates a recess which completely circumnavigates the body, the recess suitable for confining at least one radiation source or detector. 4. A collimator according to claim 1 wherein the radiation transmissive material comprises air. 5. A collimator according to claim 1 wherein the radiation attenuating material comprises tungsten. 6. A method of generating a scanning beam of radiation, the method comprising the steps of:providing a collimator in accordance with claim 1;providing at least one divergent radiation source fixed stationary relative to the collimator and substantially positioned within the first aperture; androtating the collimator about the major axis such that the compound aperture through the collimator from the position of the at least one divergent radiation source, changes, thereby generating a scanning beam.
summary
052727343
claims
1. A method of repairing a nuclear reactor with an incore-instrumentation-housing-related defect, said method comprising the steps of: a) removing an indicated incore instrumentation housing; b) ultrasonically inspecting a volume adjacent to a surface upon which a weld buildup is to be formed; c) forming said weld buildup; d) ultrasonically inspecting said weld buildup; e) inserting a new incore instrumentation housing through an aperture of said weld buildup and a vessel bottom of said reactor; f) welding said new incore instrumentation housing to said weld buildup; and g) ultrasonically examining the weld between said weld buildup and said new incore instrumentation housing. before step c, said scanner and said first probe are removed from said reactor; and after step c and before step d, said scanner with a second ultrasonic probe attached it installed below said core region. before step e, said scanner and said first probe are removed from said reactor; and after step f and before step g, said scanner with a third ultrasonic probe attached is installed below said core region. a) removing fuel bundles from a core region of said reactor; b) removing an indicated incore housing so as to expose a bottom aperture of said reactor through which said incore housing formerly extended; c) attaching a first ultrasonic probe with an auto-orienting disk-shaped head to an ultrasonic scanner; d) installing said scanner directly above said bottom aperture and beneath a core region of said reactor; e) lowering said first ultrasonic probe until it engages cladding at the inner surface of said vessel bottom so that said disk-shaped probe head orients itself parallel to said cladding in the vicinity of said bottom aperture; f) ultrasonically inspecting said cladding by pulsing transducers of said first ultrasonic probe, rotating said probe so as to inspect the area of said cladding about said bottom aperture; g) removing said scanner and said first ultrasonic probe; h) forming a weld buildup over said bottom aperture; i) machining said weld buildup so as to smooth its radial exterior and so as to form a weld aperture through the location of said bottom aperture; j) attaching a second ultrasonic probe with a relatively thick cylindrical probe head to said scanner; k) installing said scanner directly above said weld buildup and beneath said core region; l) lowering said second ultrasonic probe until said relatively thick cylindrical probe head extends into said weld aperture; m) ultrasonically inspecting said weld buildup by rastering said relatively thick cylindrical probe head within said weld buildup, said rastering involving circumferential stepping of said relatively thick cylindrical head between vertical sweeps of said relatively thick cylindrical head along the height of said weld aperture; n) removing said scanner and said second ultrasonic probe; o) inserting a new incore housing through said weld aperture; p) welding said new incore housing to said weld buildup; q) attaching a third ultrasonic probe with a relatively small diameter cylindrical head to said scanner; r) installing said scanner directly above said new incore housing and beneath said core region; s) lowering said third ultrasonic probe until said relatively small diameter cylindrical probe head extends into said new incore housing; t) ultrasonically inspecting the weld attaching said new incore housing to said weld buildup by rastering said relatively small diameter cylindrical probe head within said new incore housing, said rastering involving circumferential stepping of said relatively small diameter cylindrical head between vertical sweeps of said relatively thick cylindrical head along the height of said weld buildup; u) removing said scanner and said third ultrasonic probe; and v) shrink coupling said new incore housing to an incore guide tube formerly attached to said original indicated incore housing. 2. A method as recited in claim 1 wherein a first probe with a disk-shaped head is used in said step b. 3. A method as recited in claim 1 wherein a second probe having a probe body with ultrasound transducers and a centering member is used in step d, step d involving moving said probe body vertically while said centering member remains in a fixed vertical position so that a spring coupling said body and said centering member is alternately compressed and relaxed. 4. A method as recited in claim 1 wherein steps b, d, and g are performed under water. 5. A method as recited in claim 1 wherein after step a and before step b, a scanner with a first ultrasonic probe attached is installed below a core region of said reactor. 6. A method as recited in claim 5 wherein: 7. A method as recited in claim 6 wherein: 8. A method of repairing a boiling-water nuclear reactor with an incore-housing--related defect, said method comprising the steps of: 9. A method as recited in claim 8 wherein water is removed from the reactor before step h so that said core region is not immersed in water, water is added to said reactor before step i so that said core region is submerged in water, water is removed before step p so that said core region is not immersed in water, and added before step q so that said core region is submerged in water.
053368940
description
DETAILED DESCRIPTION An AIM-9target seeker basically comprises a gyro, optics, a refrigerated detector unit (RDU), and an amplifier. The gyro tracks a target under the control of the guidance and control section which contains the electronics to guide the missile. Together the gyro and optics condition and focus the energy radiating from the target onto the surface of the RDU. The RDU is very sensitive to the energy radiating from the target and converts the energy into electronic signals. The signals are amplified and sent to the electronics section in the guidance and control section. The guidance and control section contains the servo section and the electronics section. The servo section applies torque to the fins of the missile and causes them to rotate which gives the missile mobility during flight. The electronics section uses the amplified signals from the seeker to control the servo section. The difference between the 9P, 9L and 9M models is the sensitivity of the seekers, and the ability of the guidance and control sections to detect and to avoid countermeasures without losing the original target. The 9P seeker is the least sensitive and the 9P guidance and control section is least able to avoid countermeasures. The 9M is the most sensitive and most able to avoid countermeasures. The purpose of the testing is to verify failures that were detected on a field test set and correct them. The testing differs for each of the three models because of the great differences between them in sensitivity and countercountermeasures. Also physical construction requires that testing be done differently on each model. The existing test system is described in a technical manual TO 21M-AIM9L-3-16-1 titled "Procedures for RATE TABLE TEST POSITION FOR AIM-9P/L/M MISSILE PART NUMBER 153525", change 5-1 September 1987, published under authority of the Secretary of the U.S. Air Force, a copy of Section 1 of this manual being attached hereto as an appendix and incorporated by reference. Also attached as appendices and incorporated by reference are descriptions from manuals, one comprising three pages titled "Manual Rate Table Test Station" which was produced by Ford Aerospace & Communications Corporation for training and reference material for operators, identified at the bottom of each page as TRAIN/MRTTS:33; one comprising pages numbered 5-9 titled Section III, Theory of Operation", with "9L/9P IR Source Controller" handwritten at the top", which is part of a maintenance and operating manual written by Electro Optical Industries, the contractor that built the IR Source Controller for the 9L and 9P Guidance Sections, and only refers to the 9L and 9P IR Source and Controller; and one numbered as pages 9-19 titled Section 5 "Theory of Operation", with "9M IR Source Controller" handwritten at the top, which is also part of a maintenance and operation manual written by Electro Optical Industries, and only refers to the 9M Source and Controller. The technical manual TO 21M-AIM9L-3-16-1 has figures showing a rate table, and descriptions of controllers. The Rate Table Test Position is used to integrate the servo, electronic, and seeker sections and perform the final acceptance of the AIM-9P, AIM-9L, and AIM-9M Guidance and Control Section (GCS). The rate table test position will automatically perform the final acceptance test of the AIM-9P, AIM-9L, and AIM-9M GCS. The final acceptance may also be performed manually. The AIM-9L and AIM-9M integration tests may be performed both automatically and manually. The AIM-9P integration test is performed manually only. Section I contains a description of the rate table test position, location, and function of the special controls and indicators, and theory of test. The rate table test position as described in the technical manual consists of three equipment consoles, an automatic control console, and rate table assembly. The figures and description cover a Bay 1 Console, a Bay 2 Console, a Bay 3 Console, an Automatic Control Console, the Rate Table, an AIM-9P Measurement Panel, an AIM-9P Control Panel, an AIM-9L Measurement Panel, an AIM-9L Control Panel, an AIM-9M Measurement Panel, and an AIM-9M Control Panel. UNIVERSAL INFRARED HEAT SOURCE CONTROLLER The invention relates to a single infrared source controller that is capable of being programmed to set up a target for any AIM-9GCS (Guidance and Control Section) in the Air Force inventory. It is designed to be used in target simulation on the Automatic Rate Position-AIM-9P/9L/9M, and operated as part of an automatic test equipment system. It can be operated manually or remotely. It also contains internal protection circuitry for the most critical components. The purpose of the invention was to correct the old AIM-9P/9L heat source problem and eliminate the new problems that arose with the addition of the 9M controller. Referring to FIG. 7, the system includes the controller 600, and a rate table or stand 700. As shown in FIGS. 6a and 6b when arranged as shown in FIG. 6, the controller 600 comprises five printed circuit cards A1-A5 and some other circuits connected to the cards. A CPU card A1 is shown in FIGS. 1a and 1b, arranged as shown in FIG. 1; a temperature select card A2 is shown in FIG. 2; a LED driver and temperature ready card A3 is shown in FIG. 3; a shutter driver and keypad decoder card A4 is shown in FIG. 4; and a power supply card A5 is shown in FIG. 5. The controller 600 is coupled to the rate table 700 via two plugs P1 and P2. The apparatus shown to the left of plug P2 in FIG. 6a is part of the rate table, as shown in FIG. 7. A missile Guidance and Control Section (GCS) 710 is mounted on the stand 700 for integration and acceptance testing. A black body has a heating resistor 720. Between the black body 720 and the missile GCS 710, there are a shutter 740, a first filter 750, a second filter 760 and an aperture wheel 730, which together with the black body form the infrared heat source. The shutter 740 is controlled by two solenoids L1 and L2. The first filter 750 is controlled by two solenoids L3 and L4. The second filter 760 is controlled by one solenoid L5. The aperture wheel 730 is rotated by a motor M, and its position is detected by a potentiometer R134. The Universal IR heat source is controlled by a microcomputer A1, shown in FIGS. 1a & 1b. The components that make up the microcomputer are a microprocessor U1, Input/Output ports U2, U3 and U9, an EPROM unit U4, and a decoder U5. Data goes in and out of the controller through the Input/Output ports U2, U3, and U9. The microprocessor U1 interprets the input data and sends it to circuitry to perform other functions in the controller. The EPROM U4 contains the program for the microprocessor. The assembly language program appears at the end of this specification, before the claims. The decoder U5 selects and deselects certain components in the system. There are ten 0.01 microfarad decoupling capacitors not shown in FIGS. 1a and 1b, which are connected from VCC to GND for devices U1-5, U7-9 and U13, and from pin 12 to 14 for device U6. There are four 0.01 microfarad decoupling capacitors not shown in FIG. 2, which are connected from VCC to GND for devices U20-24. There are seven 0.01 microfarad decoupling capacitors not shown in FIG. 3, which are connected from VCC to GND for devices U40-45, and from pin 12 to 14 for device U39. There are seven 0.01 microfarad decoupling capacitors not shown in FIG. 4, which are connected from VCC to GND for devices U49-51, and U53-U56. The controller 600 has two modes of operation: manual and remote. In either mode the controller is capable of selecting any temperature, aperture and shutter filter combination. The remote mode is the most used. Three different kinds of data are read by the controller in digital code via pins M, N, P & R of plug P1: 1. Temperature data, 2. Aperture data, 3. Shutter-filter data. A fourth kind of data, Missile ID data, is read via pin G of plug P1. After data is read and decoded by the microprocessor U1, action is taken on each kind of data by separate circuitry. Aperture Selection The apertures are mounted in a large spur gear 730 that is called the aperture wheel. The apertures are placed in holes that are spaced equal distances apart near the edge of the wheel. A detent is used to hold the aperture wheel in each position. A d.c. motor M is used to turn the wheel. The position of the wheel is identified by the voltage at the wiper of a potentiometer R134. The pot R134 and aperture wheel 730 turn together. Each position on the wheel is equal to a voltage. The voltage is converted to a binary code that the microprocessor U1 can read. The microprocessor controls the power to the motor M. By the voltage at the pot R134, the microprocessor can tell which direction it needs to turn the motor and knows when to stop it. Spur gears are attached to the shafts of the motor and pot R134. The aperture wheel is a gear also. All three gears mesh so that as the motor turns so does the aperture wheel and the shaft of pot R134. The output voltage at the wiper of R134 changes as the aperture motor turns. Each aperture position corresponds to a specific voltage at the wiper of R134. The signal from the wiper of the pot R134, via pin N of plug P2 and lead 2 of line 610, goes to terminal 21 of card A1, and in FIG. 1a the signal is fed into amplifier U11 which is a voltage follower. It is used to prevent loading of the pot voltage. The voltage from amplifier U11 is fed into the analog input at pin 13 of the A/D converter U6. Unit U10 is a square-wave oscillator whose output is coupled via an inverter U13f to clock inputs of the converter U6 and the flip-flop unit U7. Each time the output of inverter U13f goes high, the voltage from the output of amplifier U11 is converted by unit U6 to a binary number at five outputs D3-D7, and the data from the previous conversion is latched into five flip-flops of unit U7. Unit U7 stores the data for the microprocessor to conveniently read. The output from the flip-flops of unit U7 goes via line 138 to pins 10-14 (terminals PB0-4) of the I/O port unit U3. Remote aperture selection is performed when the stand 700 sends aperture data to the controller and asserts the Aperture Latch line to a low logic level at pin L of plug P1. In FIG. 1b, the signal at terminal D of card A1 goes via lead 1 of line 150 to pin 39 (terminal CA2) of the I/O port U2. A low Aperture Latch line indicates to the microprocessor U1 that data needs to be read from the stand 700. The identity of the selected aperture is sent from the stand in digital form via pins M, N, P & R of plug P1 to terminals H, J, K & L of card A1, and in FIG. 1b to pins 6-9 (terminals PA4-7) of the I/O port U2. The microprocessor U1 reads the digital data from the stand and compares it with the data at terminals PB0-4 of I/0 unit U3 from the output pins of flip-flop unit U7. The result of the comparison tells the microprocessor which direction to turn the motor for the quickest positioning of the aperture selected. The microprocessor U1 then sends motor data to the I/O port unit U3, which is transferred to pins 15 and 16 (terminals PB5 & 6) of unit U3. The data from unit U3 goes through filter networks that are used to eliminate false data on power-up. Once the data is through the filter networks, unit U14 acts a a noninverting high voltage buffer. A high logic level on either input of unit U14 is translated to approximately 13 volts and a low is translated to 0 volts at the outputs. Each half of unit U14 acts independently of the other. The outputs of unit U14 drive the transistors Q1, Q2, Q3, and Q4 which control the direction of the motor. Only one output from unit U14 can be high at a time. If pin 1 goes high and pin 7 goes low, power will be applied to the motor through transistor Q1. Transistor Q4 will turn on to supply a path to ground for current to flow. Transistors Q2 and Q3 will be off and the motor will turn. If pin 7 goes high and pin 1 goes low, transistors Q2 and Q3 will turn on and transistors Q1 and Q4 will turn off. Current will flow backwards through the motor and turn it in the other direction. When the code from unit U7 is equal to the code that was sent to the controller by the stand, the microprocessor causes pins 15 and 16 of I/O unit U3 to go low which stops the aperture. The aperture wheel is held in the new position by the detent. To identify the aperture that is in place, the microprocessor sends out data on pins 10-12 of I/O port unit U2. From unit U2 the aperture data goes via line 146 to terminals 11, 12 & 13 of card A1, which as shown in FIGS. 6a & 6b are coupled via line 622 to terminals 6, 7 & 8 of card A3 to the decoder U45. Decoder U45 has six outputs coupled via 475-ohm resistors to terminals 10-15 of card A3, which as shown in FIG. 6b are coupled via leads APER1-6 to LEDs CR24-29. Decoder U45 decodes the aperture data and lights one of the LEDs that corresponds to the selected aperture position. An aperture ready signal is sent by the microprocessor from unit U3 pin 19 when the aperture wheel is in its new position. The aperture ready signal is sent via line 162 to a driver U8e which buffers the signal to terminal J1-18, so that it can be sent to the stand via pin T of plug P1, and also via a resistor R95 in FIG. 3 to light the aperture ready light CR30 (FIG. 6b) on the front of the controller. Missile ID Data Remote missile identification is performed by reading the logic level of the missile I.D. input line at pin G of plug P1, which goes via lead 7 of line 154 to the I/0 port unit U3 pin 9. The microprocessor determines the missile type and sends out the appropriate logic level at unit U9 pin 8 to satisfy the stand. The signal is coupled via a buffer U8c to the gate of transistor Q5. The missile ID out at the source of transistor Q5 goes to pin K of plug P1. If an `L` or `P` missile is on the rate table, the microprocessor will cause the source of Q5 to go to a logic high otherwise it will float. Temperature Selection The temperature selection card A2 and the circuits connected thereto as shown in FIG. 6a include a temperature control bridge. The bridge, which includes the black body 720, is shown by a simplified diagram in FIG. 2a, with a MOSFET Q10 represented as a switch and a transistor pair Q40-41 represented as a variable resistance. The black body 720 and resistor R138 which form one side of the bridge, along with the transistors Q40-Q41 and resistors R135 and R137 are shown in FIG. 6a; and resistors R25, R24, R26, R28 and R27 with MOSFET Q10 which form the other side of the bridge are shown in FIG. 2. An instrumentation amplifier U25 having inputs connected to the diagonal of the bridge is also shown in FIG. 2. Normally 24-volt power is supplied at the top of the bridge as shown in FIG. 2a via the 300-ohm, 3-watt resistor R135; but when the transistors Q40-Q41 are turned on, a high power level is supplied through the 0.5-ohm, 3-watt resistor R137. Temperatures are selected by the microprocessor through a decoder U20 in FIG. 2. One of the decoder outputs will apply a voltage to a MOSFET. The MOSFET turns on to complete the bridge circuit. The differential voltage across the bridge is used to control the voltage level supplied to the bridge. By controlling the voltage to the bridge, the power dissipated by the black body is controlled. The more power the black body dissipates the hotter it gets and vice-versa. The resistance of the black body is related to its temperature. If the black body is too hot, a large differential voltage across the bridge will exist. The power supply to the bridge will be turned off by the control circuitry. When the black body cools enough to nearly balance the bridge, the power supply is turned back on. The power supply will only supply the voltage needed to keep the black body warm enough to balance the bridge. If the black body is too cool, the differential voltage across the bridge will cause the control circuitry to turn the power supply fully on until the bridge balances. The power supply voltage to the bridge is then cut back just enough to keep the bridge balanced. Remote temperature selection is performed when the stand 700 sends data via pins M, N, P & R of plug P1 to the controller 600, and asserts the Temperature Latch line at pin B of plug P1 to a logic low. The latch signal is forwarded via lead 0 of line 150 to pin 40 (terminal CA1) of the I/O port unit U2; and the temperature data is forwarded via pins M, N, P & R of plug P1 and terminals H, J, K & L of card A1 to pins 6-9 of the unit U2. The microprocessor reads the temperature data on pins 6-9 (terminals PA4-7) of unit U2 and converts it to a valid temperature code before sending it out on pins 2-5 (terminals PA0-3) of unit U2. A set of four inverters U13 inverts the data from unit U2 and sends it from terminals 3-6 of card A1, via line 626 and terminals 15-18 of card A2 to a decoder U20, and also via line 626 and terminals 2-5 of card A3 to a decoder U44. The fourteen outputs of decoder U44 are connected via 475-ohm resistors R81-R94 to LEDs CR10-23 in FIG. 6b. Decoder U44 decodes the temperature data and lights one of the LEDs that corresponds to the selected temperature. Decoder U20 decodes the same data but sends a logic high to an input of one of the high voltage buffers U22, U23, or U24 which applies 15 volts on the gate of a MOSFET. Fourteen MOSFETs Q10-Q23 are used to select the temperatures for the different power levels. Each MOSFET has a different amount of resistance connected in series with it, with a 511-ohm resistor and a 100-ohm pot in series with MOSFET Q10 up to a 301-ohm resistor and a 20-ohm pot in series with MOSFET Q23. When one of the MOSFETs is turned on by a high voltage buffer, a voltage divider or one side of the bridge is completed by a pot and resistors which are connected in series with R26 and R24 to ground. For example, if the MOSFET Q10 is turned on, the pot R28 and resistor R27 are in series with resistors R26 and R24. The voltage that is set up by the voltage divider is used as a reference voltage. The voltage is applied to pin 1, which is the non-inverting input, of the instrumentation amplifier U25. A second voltage divider or the other side of the bridge is formed by the black body 720 and the 2-ohm, 10-watt resistor R138. The voltage from the second voltage divider is applied to pin 3 of amplifier U25 which is the inverting input. The voltage at pin 3 of amplifier U25 is dependent on the temperature of the black body because it is the resistance temperature detector element. The hotter the black body is the greater will be the resistance and voltage drop across it. With a reference voltage applied to pin 1 of amplifier U25 and for example say the voltage on pin 3 of amplifier U25 is greater than the reference voltage, the black body will be too cool for the selected reference voltage of pin 1. With the inverting input more positive than the non-inverting input, the output of amplifier U25 will be at negative saturation. The output of amplifier U25 goes directly into the inverting input of amplifier U26 which is an op amp. Resistors R71 and R75 set up amplifier U26 with a gain of 10. Capacitor C26 is used to decrease the ac gain of amplifier U26. The output of amplifier U26 is coupled via a resistor R76 to the base of a transistor Q24, whose output via terminal 6 of card A2 goes to the base electrodes of transistors Q40 and Q41. With a negative voltage at the inverting input of amplifier U26, the output will be at positive saturation which turns on transistors Q24, Q40 and Q41. Resistor R77 is needed as a pull-down resistor when transistor Q24 is turned off. Transistors Q40 and Q41 are power pass transistors type 2N3716 for controlling power to the bridge. Once the pass transistors are biased on, a heavy current begins to flow through the black body and resistor R138 because of their low resistance values. A heavy current through the black body causes the black body to heat, therefor its resistance also increases. The voltage on pin 3 of amplifier U25 decreases as the resistance of the black body increases. The voltage at pin 3 decreases until it approaches the voltage at pin 1. The outputs of amplifiers U25 and U26 come out of saturation as the differential voltage between pins 1 and 3 continues to decrease. The voltage to the bridge decreases as the bias on transistors Q24, Q40, and Q41 changes. The decrease in voltage to the bridge continues until the differential voltage across the inputs of amplifier U25 is stable and is approximately zero volts. In other words the resistance of the black body is stable and the bridge is balanced. When the voltage on pin 3 of amplifier U25 is more negative than the reference voltage on pin 1, the black body is too hot for the selected reference voltage. The output of amplifier U25 will go to positive saturation and amplifier U26 will saturate negative, turning off transistor Q24. The emitter of transistor Q24 is pulled low by resistor R77 which ensures that transistors Q40 and Q41 will be off also. The only source of power to the bridge is supplied by resistor R135. Limiting current to the bridge with resistor R135 allows the black body to cool and still lets amplifier U25 see the differential voltage across-the bridge. As the differential voltage approaches zero volts, amplifier U25 comes out of positive saturation and U26 comes out of negative saturation. Transistors Q24, Q40, and Q41 are biased on as the output of amplifier U26 becomes positive. The cooling rate of the black body is slowed by an increase in voltage to the bridge, until the voltage to the bridge is stable and is approximately zero volts. In other words the black body resistance is stable and the bridge is balanced. A meter MM1 (FIG. 6a) is used to indicate the output voltage of the amplifier U25. In FIG. 2, a voltage divider R72, R73 connected to the pin 7 of amplifier U25 provides an input to an amplifier U27, whose output is coupled via a resistor R18 and terminal V to the meter MM1. The Temperature Ready signal is generated through the use of the thermocouple 301, 302 that is integrated into the black body. The thermocouple is shown in FIG. 6b connected to terminals Y and Z of the LED driver and temperature ready card A3. The thermocouple signal is fed into the instrumentation amplifier U35 on card A3. Because noise is introduced into the thermocouple leads by the missile, a Butterworth filter follows amplifier U35. The filter consists of amplifier U36, resistors R104 and R105, and capacitors C43 and C42. The signal from the output of amplifier U36 is a d.c. voltage which is converted to binary code by an A/D converter U39. The data from converter U39 is latched by flip-flops U40 and U41 to provide an address for the EPROM U43. The data from EPROM U43 tells the microprocessor whether the black body is heating, cooling, or stabilized. When the microprocessor determines that the black body temperature has stabilized, it causes pin 39 (terminal CA2) of I/O port unit U3 (FIG. 1b) to go low. Pin 8 of buffer U8d goes low, which via terminal F of card A1 and pin H of plug P1 tells the stand that the temperature is ready. The signal is also forwarded via line 634 to terminal 14 of card A4, a resistor R116 in FIG. 4 to terminal 15, and line 650 in FIG. 6b, lights the temperature ready LED CR31 on the front of the controller box. Part of the temperature ready system is the black body protection circuitry. The black body is completely protected from overheating due to critical component failure or miscalibration. When the black body 720 reaches approximately 905 degrees C pin 17 of EPROM U43 in FIG. 3 goes low. The signal goes via terminal 22 of card A3 and a lead of line 630, to terminal 19 of card A4. In FIG. 4 the signal goes via a buffer of unit U51 to terminal S, and also via inverters U52c and U52d and a resistor R123 to terminal J. (The inverters U52a, U52b, U52c & U52d comprise a type 8T26 chip.) Resistor R123 is a current limiting resistor for the overheat light CR32. In FIG. 6b, the signal from terminal J of card A4 turns on the overheat light when the black body gets too hot. Unit U51 is a set of open collector buffers, and the signal via terminal S normally applies a high logic level to the input of the solid state relay K1, to turn it on. Relay K1 will be in the on state to supply a.c. power to transformer T2 when the temperature of the black body is under 905 degrees. The connection from terminal X' of the 120-volt AC line goes via fuse F1 and switch S5 to terminal X, thence via relay K1, the primary winding of transformer T2 and fuse F2 to terminal Y of the 120 volt line. A neon lamp DS1 indicates power on. Transformer T2 supplies power for the 24-volt power supply from a secondary winding and a rectifier bridge BR3; and a voltage regulator comprising an IC unit U65, capacitors C90, C91 and C92, and transistors Q42 and Q43. When the black body goes over 905 degrees, pin 17 of the EPROM U43 in FIG. 3 goes to a low logic level. The output of buffer U51 pin 12 now goes low and relay K1 turns off. With no a.c. to transformer T2 the 24 volt power supply is turned off. The black body cools below 905 degrees so pin 17 of EPROM U34 changes to a high logic level again. Buffer U51 turns relay K1 back on and the 24 volt power supply turns back on. The results of testing this circuit shows that the fuse for the 24-volt supply will blow or the black body temperature will be maintained at approximately 905 degrees. Shutter-Filter Selection Referring to FIG. 7, the shutter and filters are moved between the apertures and the black body by solenoids. The shutter 740 is a long, narrow steel plate that slides in front of the black body. The #1 Filter 750 is set up the same as the shutter except a filter is bonded to the end of the plate. It is necessary to move the shutter and #1 filter very quickly. With a high voltage applied to a linear solenoid it will energize quickly enough to meet the time requirements for the shutter and #1 filter. Mechanical locking devices are used to hold the shutter and #1 filter in their individual places. Springs return the shutter and #1 filter when they are unlocked. The solenoid for #2 filter must be energized to hold the filter in. Cutting the power causes the #2 filter to remove itself. Remote shutter and filter selection for an `M` missile is performed when the stand 700 sends remote data to the controller 600 via pins M, N, P & R of plug P1 to terminals H, J, K & L of card A1, and causes the shutter latch line via pin J of plug P1 to terminal 20 of card A1 to go low. The microprocessor (FIG. 1) receives the shutter latch signal at pin 18 (terminal CB1) of the I/0 port U2, and reads the data on pins 6-9 (terminals PA4-7). The data to move the shutter or filters is sent out on pins 13-17 (terminals PB3-7) of port U2, and forwarded from terminal U via lead 5 of line 624, and the four leads 0-3 of line 620 to terminals K, L, M, N & P of card A4. The data is received by units U49 and U50 which are dual one-shots. One shot unit U50 receives the data to move the #1 filter 750 in and out at pins 2 and 10 respectively (terminals 1B and 2B), and one shot unit U49 receives the data to move the shutter 740 in and out at pins 2 and 10 respectively (terminals 1B and 2B). Data at terminal K for the #2 filter 760 goes directly into buffer unit U51 which is a high voltage buffer. All the one-shots are set up to give an output pulse about 7 milliseconds long when the inputs go high. The one-shot outputs go into the high voltage buffer along with #2 filter data. The buffer is used to apply 15 volts to the base of the darlington transistors Q30-Q34 that are to be selected. The 15 volts causes the transistors to saturate and provide a current path to ground. Output from the transistors Q30-Q34 is sent via line 612 to pins D, C, B, A & F of plug P2. Solenoids L1-L5 are used to move the shutter and filters in and out of position. Power at 40 volts from terminal V of the power supply card A5 via pin E of plug P2 is applied to solenoids L1-L4 to move the shutter 740 and #1 filter 750 in and out at high speeds. Power at 15 volts from terminal M of the power supply card A5 via pin G of plug P2 is applied to solenoid L5 for the #2 filter 760 since speed is not critical. For the 40-volt supply, a secondary winding of transformer T2 in FIG. 6a is coupled via lines 644 and 42 to terminals S and T of card A5, and in FIG. 5 is coupled via a fuse F4 and a resistor R125 to a rectifier bridge BR2. The dc output from the bridge BR2 is filtered by a shunt capacitor C84 and supplied to terminal V. For the 15-volt supply, 24 volts at terminal L is coupled via an IC unit U61 to terminal M. The power supply card A5 also includes a 5-volt power source having ac input at terminals Z and Y from a transformer T1, whose primary is connected from terminal X via a fuse F3 to terminal Y from the 120-volt ac line in FIG. 6b. The 5-volt supply in FIG. 5 comprises a rectifier bridge BR1, capacitors C75-C85, an IC unit U60, and a transistor Q36. When one of the five solenoid-actuating transistors Q30-Q34 is selected and saturates, current passes through the solenoid coupled thereto and causes it to move. The shutter 740 and #1 filter 750 use two solenoids each. One solenoid is for the in position and the other for the out position. A locking system keeps the solenoids L1 and LB in the in position without having to maintain power on the solenoids. The pulses from the one-shots are set up to be high long enough to move the solenoids into the locked position before going low again. To move the shutter or filter back out, the locking solenoid is simply unlocked and a spring returns the shutter or filter to the out position. The solenoid L5 for the #2 Filter 760 needs a constant high signal to keep the filter in and a constant low signal to keep it out. A #1 filter removed signal is generated by a gap detector 770 (located in the heat source) with an open collector output. The detector 770 is connected to pins T, U and V of plug P2. Power at +5 volts is supplied via pin T to pin 1 of detector 770, and also via a resistor R139 to pin 4. Pins 3 and 5 of the detector are connected via pin v of the plug to ground. Output pin 2 of the detector is coupled via pin U of the plug and line 640 to terminal R of the card A4. When the #1 filter moves out, a shutter is removed from the gap of the detector and its output goes low. Resistor R115 (FIG. 4) is the pull-up resistor for the output. The signal is buffered via inverters U52a and U52b and sent back via terminal H of card A4, line 636 and pin U of plug P1 to the stand to be processed. Remote shutter selection for `L` and `P` missiles is done by reading the logic level of the shutter latch line on pin 9 of I/0 port U9. A high logic level on the shutter latch line calls for the shutter to go in. A low calls for the shutter to go out. The shutter is moved by the method described for the `M` missile. Manual selection of power levels is done by the keypad that is mounted on the front panel of the controller. When the manual-remote switch S1 connected to terminal T of card A1 is flipped up, the controller will respond only to the keypad KP1 and switches on the front of the controller. The signal at terminal T (FIG. 6b) goes to pin 17 (terminal PB7) of the I/0 port U3. Each key is defined as a power level. When a key is pressed unit U53 decodes the keypress and sends the binary code to a buffer U54 that interfaces CMOS with TTL. The data is sent to units U55 and U56. The one shot U56 has a dual function. First the signal goes to terminal Z to tell the microprocessor that there is data to be read from the keypad. Second it tells the data selector U55 which set of data lines to transfer its outputs which are connected to terminals V, W, X & Y. The signal from terminal Z is sent via line 624 lead 4 to card A1 terminal S, and then to pin 6 of the microprocessor U1 (FIG. 1a). The data signals are sent via line 624 leads 0-3 to card A1 terminals M, N, P & R. The microprocessor reads the data through I/O port U3 pins 2-5 (terminals PA0-3) and determines which key was pressed. Aperture and temperature data is generated by the microprocessor and sent to the same circuitry that was used in the remote mode. Manual selection of the shutter and filters is done by flipping the switches (FIG. 6a) on the front of the controller when it is in manual. Switch S2 for the shutter, Switch S3 for the #1 filter and switch S4 for the #2 filter are connected to terminals X, W & V respectively of card A1. In FIG. 1b, the microprocessor reads the logic level on the switches through I/O port U3 pins 6-8 (terminals PA4-6). When a switch is flipped the microprocessor generates the data and sends it to the same circuitry that is used in the remote mode. It is understood that certain modifications to the invention as described may be made, as might occur to one with skill in the field of the invention, within the scope of the appended claims. Therefore, all embodiments contemplated hereunder which achieve the objects of the present invention have not been shown in complete detail. Other embodiments may be developed without departing from the scope of the appended claims. ##SPC1##
summary
summary
description
There will be made below a detailed explanation of embodiments of an X-ray computerized tomography apparatus according to the present invention with reference to the drawings At first, referring to FIG. 1 to FIG. 14, an X-ray computerized tomography apparatus according to a first embodiment of the present invention will be explained in detail This first embodiment provides a function of detecting a position of an arbitrary object inside a subject according to transmission data acquired in a single slice CT apparatus having detectors in one row, and controlling a slice range in which an image is to be reconstructed and a slice range which is to be displayed, and the like on the basis of a detected position of the object. For this purpose, according to the first embodiment, a position of an insertion object is detected by tracing the proceeding of the insertion object into a subject, for example, and a tomographic image of the slice including the tip of the insertion object is displayed. According to the first embodiment, out of projection data acquired at the time of scanning (hereinafter to be referred to as transmission data), the tip of the insertion object is detected from transmission data at an arbitrary prescribed position of a tube of an X-ray beam generation source in advance. A tomographic image of the slice in which a detected insertion object tip exists is displayed in real time (that is, sequentially after data acquisition). FIG. 3 is a block diagram for showing a configuration of an X-ray computerized tomography apparatus according to the first embodiment of the present invention, in FIG. 3, an X-ray computerized tomography apparatus 10 according to the first embodiment comprises a system controlling unit 11, an operation unit 12, a gantry/couch controlling unit 13, a couch moving unit 15, an X-ray controlling unit 17, a high-voltage generation unit 19, an X-ray beam generation source 21, a detector 23, a rotary gantry 25, a data acquisition unit 27, a transmission data storing unit 29, an object position detection unit 31, an image reconstruction unit 45, and a display unit 47. The X-ray computerized tomography apparatus 10 according to the first embodiment is a single-slice CT apparatus, which acquires helical data by helical scanning by using the detector 23 consisting of detectors laid out in one row, and detects an insertion object from this helical data. The helical scanning is a scanning method for helically scanning a subject by moving the subject or the gantry in a body axial direction while irradiating X-ray beams onto the subject as rotating the X-ray beam generation source 21 around the subject. Out of helical scanning conditions including a slice thickness, a rotation speed, etc. inputted by an input unit not shown, the system controlling unit 11 outputs the rotation speed, the slice thickness, a couch move volume and the like to the gantry/couch controlling unit 13 as a gantry/couch control signal. The system controlling unit 11 outputs an X-ray beam generation control signal for controlling the generation of X-ray beams to the X-ray controlling unit 17. The system controlling unit 11 outputs to the data acquisition unit 27 a data acquisition control signal for acquiring data. The system controlling unit 11 outputs to the object position detection unit 31 an insertion object detection control signal for detecting an insertion object such as a catheter or a puncture needle inserted into a subject. The gantry/couch controlling unit 13 makes the rotary couch 25 rotate based on a gantry/couch control signal output from the system controlling unit 11, and outputs a couch moving signal to the couch moving unit 15. The couch moving unit 15 calculates a move volume of a couch 15a per one rotation of the rotary gantry 25, based on a couch moving signal outputted from the gantry/couch controlling unit 13, and makes the couch 15a move based on the move volume calculated. The couch 15a is structured to move in a body axial direction (that is, a direction of slices). The X-ray controlling unit 17 controls the timing of a generation of a high voltage by the high-voltage generation unit 19, based on an X-ray beam generation control signal outputted from the system controlling unit 11. The high-voltage generation unit 19 supplies a high voltage for irradiating X-ray beams, to the X-ray beam generation source 21 based on a control signal from the X-ray controlling unit 17. The X-ray beam generation source 21 irradiates X-ray beams in a fan shape having a thickness in a slice direction using a high voltage supplied from the high-voltage generation unit 19, onto the subject toward may directions. The detector 23 detects X-ray beams irradiated from the X-ray beam generation source 21 and transmitted through the subject. The detector 23 consists of one row of detectors having multi-channel detecting elements. The detector 23 is structured by having detectors of, for example, about 1,000 channels arranged in an arc shape around the focus of the X-ray beam generation source 21. The rotary gantry 25 holds the X-ray beam generation source 21 and the detector 23. Further, the rotary gantry 25 is rotated, by a gantry rotation mechanism not shown, around a rotary axis passing through an intermediate point between the X-ray beam generation source 21 and the detector 23. The rotary gantry 25 may also hold the X-ray controlling unit 17, high-voltage generation unit 19 and data acquisition unit 27. With the above arrangement, the X-ray beam generation source 21 and the detector 23 rotate around the subject while keeping the X-ray beam generation source 21 and the detector 23 in mutually opposed disposition, and the couch 15a moves in a body axis direction at a predetermined speed. Therefore, it is possible to acquire helical data for reconstructing tomographic images of a plurality of slices. The data acquisition unit 27 converts an output current from each detector of the detector 23 into digital data, based on a data acquisition control signal outputted from the system controlling unit 11. In this way, the data acquisition unit 27 acquires projection data (hereinafter to be referred to as transmission data) from many directions reflecting an X-ray transmission rate for each X-ray path, for a plurality of rotations (a plurality of slices), thereby to generate helical data, and outputs generated helical data. The transmission data storing unit 29 stores the helical data acquired by the data acquisition unit 27. The object position detection unit 31 detects a position of an object such as an insertion object according to the helical data that is transmission data acquired by the data acquisition unit 27 and stored in the transmission data storing unit 29 The object position detection unit 31 further detects the tip of the insertion object (such as a puncture needle tip), and outputs position data at a position of a slice in which the tip of the insertion object exists to the image reconstruction unit 45. The details of the position detecting processing of the insertion object carried out by the object position detection unit 31 will be described later. The image reconstruction unit 45 includes the reconstruction range determining unit 46 for controlling a range of slices in which an image should be reconstructed, based on the position data of the insertion object in the subject detected by the object position detection unit 31 and output to the image reconstruction unit 45. First, the image reconstruction unit 45 extracts the transmission data from a plurality of view directions, from the transmission data storing unit 29. For the transmission data from the plurality of view directions, the transmission data corresponding to the slice position in which the tip of the insertion object exists is extracted the slice position indicated by the position data obtained by the object position detection unit 31. The image reconstruction unit 45 reconstructs the tomographic image of the subject at the position of the slice in which the tip of the insertion object exists, the position determined by the reconstruction range determining unit 46, based on the transmission data from the plurality of view directions. The display unit 47 displays on a monitor device a tomographic image of the subject reconstructed by the image reconstruction unit 45. The display unit 47 includes the visualizing-range determining unit 48 for controlling a range in which the visualized image is to be generated, based on the position data of the insertion object inside the subject detected by the object position detection unit 31 and inputted via the image reconstruction unit 45 or inputted directly. The position data detected by the object position detection unit 31 may be used by both or either of the reconstruction range determining unit 46 and the visualizing-range detection unit 48 for determining respective ranges. Next, detailed configuration of the object position detection unit 31 will be explained. FIG. 4 shows geometry of the third-generation X-ray computerized tomography apparatus. It is assumed that a view angle formed by a linear line and the Y axis is defined as xcex2 the liner line passing through the X-ray beam generation source (a tube position) and the center of the subject, a channel angle is defined as xcex3, and a fan angle is defined as 2xc3x97xcex3m. By finely changing the view angle xcex2, data from many directions (hereinafter to be referred to as a plurality of view directions), for example, data of 1,000 views, are acquired. Note that data collection for one time will be called one view, data acquired by one detection element in one view will be called one beam, and all the beams in one view (that is, data acquired by all the detection elements) will be collectively called real data. The object position detection unit 31 includes the transmission data extraction unit 33, an object detection unit 35 and a tip detection unit 37. The transmission data extraction unit 33 extracts, for each tube rotation, transmission data in the same view angle xcex2, from helical data stored in the transmission data storing unit 29, The transmission data in the same view angle xcex2 is the transmission data for each tube rotation where the respective tube positions of the X-ray beam generation source 21 are at the same positions pith respect to the body axis. The object detection unit 35 compares an X-ray absorption value of the transmission data extracted by the transmission data extraction unit 33 with a predetermined threshold value, thereby to detect a position of the insertion object according to the extracted transmission data. For example, an insertion object such as a catheter is a metal, and it has a high X-ray absorption coefficient. Therefore, it is possible to set in advance, as a threshold value, a suitable value between an X-ray absorption value of the subject and an X-ray absorption value of a metal. The tip detection unit 37 detects a position of a""slice in which the tip of the insertion object exists, based on the position of the insertion object detected by the object detection unit 35, and outputs the position data of the slice position of the tip to the image reconstruction unit 45. Next, the operation of the X-ray computerized tomography apparatus according to the first embodiment having the above-described configuration will be explained next with reference to a flowchart shown in FIG. 6. At first, the X-ray beam generation source 21 and the detector 23 are rotated around a subject, and simultaneously the couch 15a moves in a body axial direction at a predetermined speed. In other words, a helical scanning starts (step S11). As shown in FIG. 7, when the X-ray beam generation source 21 and the detector 23 are continuously rotated and when the couch 15a is moved in a body axial direction at the predetermined speed, in conjunction with this rotation, the subject 51 into which the insertion object 53 is to be inserted is scanned helically along the body axial direction. With this arrangement, helical data consisting of transmission data of a plurality of slices is acquired. The data acquisition unit 27 acquires the helical data consisting of the transmission data of the plurality of slices, by the detector 23. The acquired helical data (transmission data) is stored in the transmission data storing unit 29 (step S13). The helical data stored in the transmission data storing unit 29 is stored as the transmission data in a plurality of 16 view directions for each slice (for each rotation). FIG. 5 shows transmission data in a plurality of view directions to be stored in the transmission data storing unit 29. The horizontal axis represents channel direction and the vertical axis represents a view direction. For example, data of 1.000 channels is stored for each view. Next, the transmission data extraction unit 33 extracts transmission data of which view angles xcex2 are mutually identical for each tube rotation (each slice), from the helical data stored in the transmission data storing unit 29 (step S15). The transmission data at the identical view angle xcex2 is the transmission data acquired when the X-ray beam generation source 21 is at a predetermined tube position with respect to the body axis of the subject. In other words, when the helical data is to be used for the position detection of the insertion object, only the transmission data is extracted when the tube position is at the same view angle for each rotation. For example, FIG. 7 shows an example of the extraction of transmission data when the tube is positioned just above the subject for each rotation. Not limited to this, only the transmission data at the same view angle xcex2 (for example, data of each channel at a view angle xcex2 indicated by a thick line in FIG. 5) is extracted for each rotation. FIG. 8 shows an image obtained when transmission data for each rotation extracted by the transmission data extraction unit 33 is laid out along the slice direction (body axial direction). In FIG. 8, the vertical axis represents channel direction and the horizontal axis represents a number of rotations of the tube. This image includes an Image 51a of the subject and an image 53a of the insertion object 53. In other words, transmission data at certain tube position is selected, the tube position which is at a predetermined position (angle) with respect to the body axis of the subject for each rotation. Returning back to FIG. 6, the object detection unit 35 detects a position of the insertion object 53 according to the transmission data extracted by the transmission data extraction unit 33 (step S17). The extracted transmission data includes position data of the insertion object 53. Therefore, the object detection unit 35 can detect at which position of the transmission data the insertion object 53 exists, by using data value predicted from the X-ray absorption coefficient of the insertion object 53 and the above-described threshold value. As described above, as the insertion object 53 is a metal, this has a large X-ray absorption coefficient, and the other parts have a small X-ray absorption coefficient. Accordingly, it is easy to detect the position of the insertion object 53 using the threshold value set between these X-ray absorption coefficients. Alternatively, it is also possible to detect the position of the insertion object 53 by recognizing the shape of the insertion object 53 using a predetermined shape pattern, based on an image processing technique such as a shape-recognition image processing that is generally known. What is important in navigating the operation using a catheter or a puncture needle is that it is possible to trace the tip of the insertion object 53 without losing sight of it until the tip reaches a target object such as a tumor. Accordingly, in promptly carrying out the operation, it is desirable that the tip of the insertion object 53 is always displayed during the operation. For this purpose, the tip detection unit 37 further determines the tip of the insertion object 53 and detects a slice position at which the tip of the insertion object 53 exists, based on the position data of the insertion object 53 detected by the object detection unit 35, and outputs the position data of the slice position including this tip to the image reconstruction unit 45. The image reconstruction unit 45 extracts, from the transmission data storing unit 29, the transmission data from a plurality of view directions corresponding to a slice position at which the tip of the insertion object 53 exists, obtained by the object position detection unit 31. The image reconstruction unit 45 then reconstructs the tomographic image of the subject at the slice position where the tip of the insertion object 53 exists, based or, the transmission data from the plurality of view directions. The display unit 47 displays, the image of the slice (for example, a slice Sm shown in FIG. 8) in which the tip of the insertion object 53 exists on the monitor device. By the above-described processing, it is possible to detect in real time the insertion object 53 according to the helical data acquired, prior to the image reconstruction. Further, it is always possible to reconstruct only the image of the slice that includes the tip of the insertion object 53. Accordingly, the image of the slice that includes the tip of the insertion object 53 can be displayed in real time, after the transmission data has been acquired. Therefore, as the state of the proceeding of the insertion object 53 into the subject can be understood by observing the tip of the insertion object 53, it is easy to carry out the operation. In other words, it is possible to carry out the navigation of the operation promptly and securely. Further, it is not necessary to use an additional part such as an insertion object supporting member for displaying the image of the slice that includes the tip, unlike the conventional art. Next, details of the processing of detecting the tip of the insertion object 53 by the tip detecting unit 37 will be explained next. In this case, it is assumed, as shown in FIG. 9, that the view angle of the tube of the X-ray beam generation source 21 of the transmission data to be extracted is 90xc2x0 or 270xc2x0, for example. FIG. 10 shows data obtained by laying up the transmission data for each rotation along a slice direction, when the view angle is 90xc2x0 or 270xc2x0. Returning back to FIG. 6, at first, the tip detection unit 37 decides whether or not data within the threshold value exists in the previously displayed slice Sm (Step S19). When the data within the threshold value exists in the previously displayed slice Sm (step S19Y), the tip detection unit 37 decides whether or not data within the threshold value (that is, the data 53a for showing the insertion object 53) exists in an adjacent slice Sm+1 in the (+) direction (step S21) When data within the threshold value exists in the adjacent slice Sm+1 in the (+) direction (step S21Y), the tip detection unit 37 further decides whether or not data within the threshold value exists in a further next adjacent slice in the (+) direction. That is, the processing in the step S21 is carried out repeatedly. On the other hand, when data within the threshold value does not exist in the adjacent slice in the (+) direction (step S21N), the tip detection unit 37 decides that the tip of the insertion object 53 exists in the slice in one (xe2x88x92) direction from the slice currently being checked (step S23). On the other hand, when data within the threshold value does not exist in the previously displayed slice Sm in the step S19 (step S19N), the tip detection unit 37 decides whether or not data within the threshold value exists in a further next adjacent slice Smxe2x88x921 in the (xe2x88x92) direction (step S25). When data within the threshold value does not exist in the adjacent slice Smxe2x88x921 in the (xe2x88x92) direction (step S25N), the tip detection unit 37 decides whether or not data within the threshold value exists in a further next adjacent slice in the (xe2x88x92) direction. That is, the processing in the step S25 is carried out repeatedly. On the other hand, when data within the threshold value exists in the adjacent slice Smxe2x88x921 in the (xe2x88x92) direction (step S25Y), the tip detection unit 37 decides that the tip of the insertion object 53 exists in the slice currently being checked (step S27). Next, the image reconstruction unit 45 reconstructs only the image of the slice in which the tip of the insertion object 53 exists (step S29). The display unit 47 displays the image of only the slice in which the tip of the insertion object 53 exists (step S29). As described above, the tip detection unit 37 can always detect the tip of the insertion object 53 in correspondence with the proceeding state of the insertion object 53, so that It is possible to easily carry out the operation without losing sight of the tip of the insertion object 53. In the display of the tomographic image carried out by the display unit 47, as shown in FIG. 11, it is possible to display the image by stacking slices designated on the screen in advance, such as a slice S3 in which there is the target object 55 such as a tumor, a slice S2 and a slice S1 in which there is the tip of the insertion object 53. FIG. 12 shows an example of displaying on the screen both the target object 55 and the tip of the insertion object 53 by the stacked display image. By this stacked display image, a positional relationship between the target object 55 and the tip of the insertion object 53 observed in the direction can be understood clearly. Accordingly, it is easy to make the tip of the insertion object 53 reach the target object 55, which further facilitates the operation. In displaying the stacked display image, if the tip of the insertion object 53 is displayed in red color, or green color, for example, the positional relationship between the tip of the insertion object 53 and the target object 55 observed in the slice direction can be much easily understood. This further helps to make the tip of the of insertion object 53 easily reach the target object 55, which further facilitates the operation. For carrying out this stacked display, an adding unit 45a is provided in the image reconstruction unit 45 of the X-ray computerized tomography apparatus 10 shown in FIG. 3. This adding unit 45a adds the transmission data of the three slices S1, S2 and S3 acquired by the data acquisition unit 27, and obtains added transmission data of the subject. The image reconstruction unit 45 may reconstruct an added tomographic image based on the added transmission data obtained, and the display unit 47 may display the added tomographic image obtained. Further, by storing transmission data of the slices from the Insertion object 53 to the target object 55, the distance from the tip of the insertion object 53 to the target object 55 can be suitably obtained, and this distance information may be displayed on the screen In the mean time, FIG. 13 shows a procedure of a biopsy in the case of using the first embodiment. In a single slice CT apparatus according to the first embodiment, the operator at first inserts a puncture needle into the subject while watching the image (step S11). When the puncture needle is deviated from the image displayed (step S32), the operator may simply depress a puncture needle tip detecting button to input an instruction of a puncture needle tip detection to the X-ray computerized tomography apparatus (step S33). By this input of the puncture needle tip detection instruction, the X-ray computerized tomography apparatus according to the first embodiment starts the helical scanning (step S34). Based on the transmission data obtained by this scanning, the X-ray computerized tomography apparatus detects in real time the position of the puncture needle tip, and displays the slice including the puncture needle tip (step S35). The operator may simply suitably repeat (step S36) the insertion of the puncture needle (step S31) and the depression of the puncture needle tip detection button (step S33), until the puncture needle reaches a target position. As the puncture needle tip can be detected automatically in the manner as described above, the efficiency of the operation by the operator in the CT fluoroscopy improves substantially, as compared with the conventional procedure shown in FIG. 1. Next, as a modification of the first embodiment, there may be provided means for determining which X-ray beam tube position should be selected for determining transmission data to be used for detecting the tip of the insertion object 53. FIG. 14 shows a configuration of an X-ray computerized tomography apparatus according to a modification of the first embodiment. This modification of the first embodiment is a modification of the first embodiment shown in FIG. 3 in which a tube position determining unit 39 for determining which X-ray tube position is to be extracted by the transmission data extraction unit 33 for employing transmission data is added to the configuration of the first embodiment shown in FIG. 3. Considering a case where the insertion object 53 is inserted at an angle near a perpendicular angle with respect to a body axis at which both ends of the insertion object 53 are included in one slice, it is preferable that the tube position determining unit 39 determines an X-ray tube position as follows. At first, out of the tube positions from 0xc2x0 to 360xc2x0, several tube positions are determined in advance for every few 10xc2x0. The tube position determining unit 39 calculates the length of the insertion object 53 on the transmission data at the tube positions of these respective angles. The tube position determining unit 39 determines an angle position where the insertion object 53 has the largest length (that is, an angle position where the change of the display image due to the proceeding of the insertion object 53 becomes largest), and then outputs the determined angle position to the transmission data extraction unit 33. Thereafter, the transmission data extraction unit 33 extracts the transmission data by using the X-ray tube position at this angle position. The tube position determining unit 39 may carry out the angle position determining processing only once after starting the insertion of the insertion object 53, because it is unlikely that the angle of the insertion object 53 changes large once the insertion object 53 has been inserted into the subject. Description has been made in the above for the case where the X-ray computerized tomography apparatus helically scans the subject. However, it should be noted that the above-described processing of the first embodiment can also be carried out in the case of what is called a scanogram for scanning a subject by moving only the couch, with the tube set at a fixed position, by using the transmission data in a plurality of couch positions in a similar manner to the transmission data of the above-described plurality of rotations. According to the first embodiment, the following effects can be obtained. The object position detection unit 31 extracts transmission data acquired at a predetermined tube position out of the transmission data acquired by the transmission data acquisition unit 27, and detects the position of the object within a subject based on the transmission data extracted. Therefore, it is possible to detect easily and promptly the position of the object in the subject such as a tip of an insertion object, without involving an image reconstruction. Accordingly, in the CT fluoroscopy, it is possible to reconstruct in real time the tomographic image of the subject in the slice where the Insertion object exists, and to trace the tip of the insertion object. Further, as the display unit 47 can display in real time the tomographic image of the subject of the slice where the insertion object exists, the operator can understand the current position of the insertion object by watching the tip of the insertion object, and thus can carry out the operation easily. Further, as the tip detection unit 37 always detects the tip of the insertion object in correspondence with the proceeding state of the insertion object, the operator can carry out the operation easily without losing sight of the tip of the insertion object. Next, an X-ray computerized tomography apparatus according to a second embodiment of the present invention will be explained in detail with reference to FIG. 15 to FIG. 18. This X-ray computerized tomography apparatus according to the second embodiment provides a similar function to that of the first embodiment of detecting a position of the insertion object 53, based on volume data that is transmission data obtained by rotating the rotary gantry by one rotation, by using a two-dimensional detector (a plane detector) consisting of detector elements formed in a plurality of detector rows. According to what is called the multi-slice X-ray computerized tomography apparatus using this two-dimensional detector, it is possible to scan a subject at a higher speed than using the detector having detector elements in one row, and therefore, this multi-slice X-ray computerized tomography apparatus is suitable for a more real-time processing FIG. 15 shows a configuration of the X-ray computerized tomography apparatus according lo the second embodiment of the present invention. This second embodiment is a modification of the first embodiment shown in FIG. 3, in which the detector 23 structured in one row for a single slice shown in FIG. 1 has been replaced by a plane detector 23a structured by a plurality of rows for multi-slices. Other configurations of the second embodiment are the same as those of the first embodiment shown in FIG. 3. FIG. 16 shows a configuration of the X-ray beam generation source 21 and the plane detector 23a of the X-ray computerized tomography apparatus according to the second embodiment of the present invention. The plane detector 23a consists of multi-channel detecting elements, and forms a two-dimensional detector having these detecting elements laid out in a plurality of rows in a slice direction. In each row of the detecting elements of the plane detector 23a, detecting elements of about 1,000 channels are arranged in an arc shape around the focus of the X-ray beam generation source 21, in a similar manner to the single slice X-ray computerized tomography apparatus. FIG. 17 shows a data acquisition in the second embodiment. When the X-ray beam generation source 21 and the plane detector 23a having the configuration as shown in FIG. 16 make one rotation around the subject 51, volume data that is transmission data from many directions for a plurality of slices are acquired. The transmission data extraction unit 33 of the second embodiment extracts volume data at predetermined one tube position out of the volume data acquired. FIG. 18 shows an image obtained by laying out the extracted volume data in a direction of the plane detector (that is, in a slice direction). The image shown in FIG. 18 is similar to the image shown in FIG. 8, and includes an image 51a of the subject 51 and an image 53a of the insertion object 53. In this manner, the object position detection unit 31 can detect a position where the tip of the insertion object exists according to the volume data (transmission data). The image reconstruction unit 45 can reconstruct the image of only the transmission data of the slice Sm shown in FIG. 12 or display the image, by carrying out a similar processing to that of the first embodiment. In the multi-slice CT apparatus according to the second embodiment, the operator""s procedure taken for the CT fluoroscopy shown in FIG. 13 becomes simpler. When the operator inserts the puncture needle while watching the Image, the X-ray computerized tomography apparatus according to the second embodiment can automatically detect a position of the puncture needle tip and can always display the image of the slice including the detected puncture needle tip. Therefore, the efficiency of the operation by the operator in the CT radiography improves substantially. According to the second embodiment, the following effects can be obtained. In the X-ray computerized tomography apparatus (multi-slice CT) according to the second embodiment, it is also possible to detect a position of the insertion object 53 in similar manner to the helical scanning by the X-ray computerized tomography apparatus having detecting elements in one row (single-slice CT) according to the first embodiment. Therefore, It is possible to obtain a similar effect to that of the first embodiment- As the multi-slice CT can scan the subject at a higher speed, it is possible to reconstruct and display an image of a part including a desired object in more real time. In the case that a helical scanning is carried out by using the plane detector 23a in the second embodiment, only the transmission data where the tube position is mutually identical is used in a similar manner to the method of using helical data as explained in the first embodiment. Next, an X-ray computerized tomography apparatus according to a third embodiment of the present invention will be explained in detail with reference to FIG. 19. In the above-described embodiments, a range in which an image is to be reconstructed or a range in which an image is to be visualized is determined based on a position of art object detected by the object position detection unit 31. On the other hand, in the third embodiment, only a necessary range is irradiated, based on position data of the object. In other words, the third embodiment provides a function of controlling the collimator so as to irradiate on only a photographing area from the tip of the insertion object 53 to the target object, in the X-ray computerized tomography apparatus of the second embodiment equipped with a plurality of rows of detectors. By controlling this collimator, it is possible to decrease unnecessary exposure of the subject to X-rays. FIG. 19 is a block diagram for showing key elements of the configuration of the X-ray computerized tomography apparatus according to the third embodiment of the present invention. The third embodiment is a modification of the second embodiment shown in FIG. 15 in which a collimator 57 arranged between the subject 51 and the X-ray beam generation source 21, and a collimator controlling unit 59a for controlling the operation of the collimator 57 based on position data of an object detected by the object position detection unit 31 are added to the configuration of the second embodiment. As shown in FIG. 19, the X-ray beam generation source 21 and the plane detector 23a are disposed face to face to sandwich the subject 51, and the collimator 57 is disposed between the subject 51 and the X-ray beam generation source 21. The collimator 57 has two X-ray shielding plates 57a and 57b movable along the slice direction. The transmission data storing unit 29 stores volume data acquired by the data acquisition unit 27. In the object position detection unit 31, the transmission data extraction unit 33 extracts volume data at one tube position from the transmission data (volume data) stored in the transmission data storing unit 29. The object detection unit 35 and the tip detection unit 37 detect a position of a slice in which the tip of the insertion object 53 exists and a position of a slice in which a target object exists according to the volume data extracted. The collimator controlling unit 59a controls the width between the two X-ray shielding plates 57a and 57b of the collimator 57, based on the position of the slice in which the tip of the insertion object 53 exists and the position of the slice in which the target object exists, the positions being detected by the object position detection unit 31. More specifically, the collimator controlling unit 59a controls the collimator 57 in a width to irradiate X-rays onto only the photographing area from the tip of the insertion object 53 to the target object 55. In other words, the collimator controlling unit 59a controls the X-ray beam thickness and irradiates X-rays onto only the photographing area of the subject corresponding to a part of detectors 23a1 to 23a6 within the plane detector 23a laid out in a plurality of rows. Accordingly, it is possible to decrease unnecessary exposure of the subject to X-rays. The image reconstruction unit 45 according to the third embodiment may reconstruct and display an image of transmission data of the whole slices obtained by one-time scanning stored in the transmission data storing unit 29. Alternatively, the image reconstruction unit 45 may reconstruct and display an image of only transmission data of a part of slices, based on position data obtained by the object position detection unit 31, in a similar manner to the first and second embodiments. According to the third embodiment. X-rays are irradiated onto the subject in only the area between the insertion object and the target object 55 of the insertion object detected by the object position detection unit 31, as a photographing area. Therefore, it is possible to decrease unnecessary exposure of the subject to X-rays. Next, an X-ray computerized tomography apparatus according to a fourth embodiment of the present invention will be explained in detail with reference to FIG. 20. This fourth embodiment provides a function of controlling the collimator so as to irradiate X-rays onto only the photographing area corresponding to two slices of a slice in which the tip of the insertion object 53 exists and an adjacent slice in a direction of inserting this insertion object 53. In the X-ray computerized tomography apparatus of the second embodiment equipped with a plurality of row of detectors. By controlling this collimator, it is possible to further decrease unnecessary exposure of the subject to X-rays. The X-rays irradiation onto these two slices only is effective when it is not necessary to display the target object 55 on the screen. According to the fourth embodiment, the display unit 47 always displays only the two slices of the slice in which the tip of the insertion object 53 exists and the adjacent slice in the proceeding direction of the insertion object 53, tracing the proceeding of the insertion object 53. FIG. 20 is a block diagram for showing key parts of the configuration of the X-ray computerized tomography apparatus according to the fourth embodiment of the present invention. The fourth embodiment is a modification of the third embodiment shown in FIG. 19 in which the collimator controlling unit 59a in the third embodiment shown in FIG. 19 has been replaced with the collimator controlling unit 59b shown in FIG. 20. As shown in FIG. 20, the X-ray beam generation source 21 and the plane detector 23a are disposed face to face to sandwich the subject 51, and the collimator 57 is disposed between the subject 51 and the X-ray beam generation source 21. The collimator 57 has two X-ray shielding plates 57a and 57b movable along the slice direction. The transmission data storing unit 29 stores volume data acquired by the data acquisition unit 27. In the object position detection unit 31, the transmission data extraction unit 33 extracts volume data at one tube position from the transmission data (volume data) stored in the transmission data storing unit 29. The object detection unit 35 and the tip detection unit 37 detect a position of a slice in which the tip of the insertion object 53 exists and a position of an adjacent slice in a proceeding direction of the insertion object. The collimator controlling unit 59b controls the width between the two X-ray shielding plates 57a and 57b of the collimator 57, based on the position of the slice in which the tip of the insertion object 53 exists and the position of the slice adjacent to the proceeding direction of the insertion object 53, the positions being detected by the object position detection unit 31. More specifically, the collimator controlling unit 59b controls the collimator 57 in a width to irradiate X-rays onto only the photographing area of the slice in which the tip of the insertion object 53 exists and the slice adjacent to the proceeding direction of the insertion object 53. In other words, the collimator controlling unit 59b controls the X-ray beam thickness and irradiates X-rays onto only the photographing area of the subject corresponding to a part of detectors 23a1 and 23a2 within the plane detector 23a laid out in a plurality of rows. Accordingly, it is possible to further decrease unnecessary exposure of the subject to X-rays. The image reconstruction unit 45 according to the fourth embodiment may reconstruct and display an image of transmission data of the whole slices obtained by one-time scanning stored in the transmission data storing unit 29. Alternatively, the image reconstruction unit 45 may reconstruct and display an image of only transmission data of a part of slices, based on position data obtained by the object position detection unit 31, in a similar manner to the first and second embodiments According to the fourth embodiment, X-rays are irradiated onto the subject in only the area of the slice in which the insertion object exists and the slice adjacent to the proceeding direction of the insertion object detected by the object position detection unit 31, as a photographing area. Therefore, it is possible to further decrease unnecessary exposure of the subject to X-rays. Note that whether the target object of the insertion object inside the subject should be displayed or not may be designated by the operator or the doctor (to be simply described as the operator) from an input unit not shown. Next, an X-ray computerized tomography apparatus according to a fifth embodiment of the present invention will be explained in detail with reference to FIG. 21 to FIG. 23. This X-ray computerized tomography apparatus according to the fifth embodiment provides a function of displaying volume data that is transmission data obtained by rotating the rotary gantry by one rotation, together with the image-reconstructed tomographic image, by using a two-dimensional detector (a plane detector) consisting of detector elements formed in a plurality of detector rows. For example, in a CT fluoroscopy, it is possible to simultaneously display a tomographic image including the tip of the insertion object and The transmission data at a predetermined position of a tube. With the above arrangement, the operator can observe a proceeding state of the insertion object inside the subject, from a plurality of directions such as three directions, for example. FIG. 21 shows a configuration of the fifth embodiment of the present invention. The fifth embodiment is a modification of the second embodiment shown in FIG. 15 in which the transmission data extraction unit 33 shown in FIG. 15 has been replaced by a transmission data extraction unit 33b shown in FIG. 21, and the display unit 47 shown in FIG. 15 has been replaced by a display unit 47b shown in FIG. 21. Other configurations of the fifth embodiment are similar to those of the second embodiment shown in FIG. 15. FIG. 22 shows a transmission data extraction to be carried out by the transmission data extraction unit 33b in the object position detection unit 31 of the fifth embodiment. The transmission data extraction unit 33b extracts the transmission data in a plurality of slices at one tube position as explained in the second embodiment. Further, based on the position data of the insertion object 53 detected by the object detection unit 35, the transmission data extraction unit 33b extracts the transmission data at arbitrary plural positions of the slice including the tip of the insertion object 53 detected by the object detection unit 35, as display data. Fox example, in FIG. 22. transmission data where the tube position is at an angle of 0xc2x0 and 90xc2x0 (51p, 53p, 51q, 53g) are extracted respectively. The image reconstruction unit 45 reconstructs the Image of the tomographic image of the slice in which the insertion object 53 exists, based on position data of the slice in which the insertion object 53 exists, obtained by the object position detection unit 31. FIG. 23 shows an example of a display image output by the display unit 47b of the fifth embodiment. The display unit 47b displays the image-reconstructed tomographic image, as well as the display data extracted by the transmission data extraction unit 33b. As the image as shown in FIG. 23 is outputted, it is possible to observe in real time the proceeding direction of the insertion object 53, from three directions. In other words, It is easily possible to display an image like a three-dimensional image from three directions. Note that when the image of the insertion object photographed in scanogram is further displayed together with a line cursor for displaying a scanning position, for example, on the screen, as shown in the right lower part in FIG. 23, it is further possible to understand the corresponding positions of the tomographic image and transmission data inside the subject displayed. Conventionally, for obtaining an image of three-dimensional information as shown in FIG. 23, at first, an image of each slice is reconstructed from volume data acquired, thereby to prepare three-dimensional voxel data. Based on this voxel data, a display image as observed from three directions is prepared and then displayed. Therefore, this image reconstruction processing has required many hours, and it has also been difficult to real-time display a part including the Insertion object from a plurality of directions (for example, three planes) On the other hand, according to the fifth embodiment, the image reconstruction unit 45 prepares a plane image from the transmission date extracted by the transmission data extraction unit 33b. The display unit 47b displays the prepared image together with the tomographic image. Therefore, it is possible to display an easy three-dimensional image in real time, so that the operator can carry out the operation accurately and promptly. Next, an X-ray computerized tomography apparatus according to a sixth embodiment of the present invention will be explained in detail with reference to FIG. 24 and FIG. 25. In the above-described first and second embodiments, a range in which an image is to be reconstructed or a range in which an image is to be visualized is determined based on a position of an object detected by the object position detection unit 31. On the other hand, in the sixth embodiment, the movement of the gantry or the couch is controlled so as to scan only a necessary range of the subject, based on the position data of the object. In other words, the sixth embodiment provides a function of automatically scanning a target organ inside the subject to be scanned, by automatically detecting the position of the target organ. FIG. 24 is a block diagram for showing a configuration of the X-ray computerized tomography apparatus according to the sixth embodiment. The X-ray computerized tomography apparatus according to the sixth embodiment is a modification of the first embodiment shown in FIG. 3 in which a scan position determining unit 49 is added to the configuration of the first embodiment. Other configurations of the sixth embodiment are similar to those of the above-described embodiment. The object position detection unit 31 of the sixth embodiment recognizes a shape of an arbitrary organ inside the subject by using the shape recognizing technique explained in the first embodiment. For example, a shape of a predetermined part such as a lung, a liver or a head, for example, is stored in advance. By matching this shape with the transmission data obtained by scanning, it is possible to recognize the target organ. The object position detection unit 31 outputs the position data of the organ obtained to the scan position determining unit 49. The scan position determining unit 49 determines a scanning range necessary for photographing the organ, based on the position data inputted from the object position detection unit 31. The scan position determining unit 49 outputs the data indicating this scanning range to the system controlling unit 11. The system controlling unit 11 generates a scan position control signal for scanning a range in which the target organ exists, and outputs this scan position control signal to the gantry/couch controlling unit 13. The gantry/couch controlling unit 13 controls the movement of the couch or gantry, based on this scan position control signal. FIG. 25 shows a procedure of the operation carried out by the operator when an organ is to be photographed by using the sixth embodiment. At first, the operator lays a patient on the couch (step S61). Next, the operator inputs an instruction of a target portion (organ) of a subject to be photographed, by depressing a photographing part selection button, for example (step S62). When the instruction designating the part to be photographed has been input, according to the sixth embodiment, at first, the scanogram of the subject or the transmission data at a prescribed tube position acquired by the plane detector is acquired automatically. With the acquired transmission data used as an input, the object position detection unit 31 automatically detects a position of the target organ by using the shape pattern of the organ of the part designated by the operator (step S63). Based on the detected position data of the organ, the scan position determining unit 49 controls the scanning position of the target organ inside the subject to be photographed, through the system controlling unit 11, and then the transmission data of the slice including this target organ is collected in next scanning (step S64). According to the sixth embodiment, the following effects can be obtained. When the operator has inputted an instruction designating the target part (organ) inside the subject, the X-ray computerized tomography apparatus according to the sixth embodiment at first automatically photographs a scanogram of the subject or the like and then detects the position of the target organ by using the shape recognition, according to the image data of the scanogram. Based on the detected position of the organ, the X-ray computerized tomography apparatus according to the sixth embodiment further scans only the range including this organ. Therefore, the apparatus can automatically photograph the target organ (part), without requiring a decision of the operator. The first to the sixth embodiments can be structured by suitably combining them, in addition to the above-described configurations. In summary, according to the above-described embodiments, it is possible to detect easily and in real time a position of an object such as an insertion object or an organ, based on the transmission data acquired, without involving an image reconstruction. Therefore, it is possible to acquire or display in real time the slice in which a desired object exists, after the acquisition of the transmission data. Accordingly, an accurate and prompt navigation of an operation can be achieved. Further, by controlling the slice position so that X-rays are irradiated onto only the necessary slices at the next is scanning, based on the position data detected, it is possible to decrease unnecessary exposure of the subject to X-rays. Furthermore, it is possible to substantially decrease the time required for photographing the target organ inside the subject, as well as it is possible to substantially improve the efficiency of the operation of the photographing. It is to be noted that, besides those already mentioned above, many modifications and variations of the above embodiments may be made without departing from the novel and advantageous features of the present invention. Accordingly all such modifications and variations are intended to be included within the scope of the appended claims.
044407173
abstract
A liquid level sensing apparatus (32) senses the level of liquid surrounding the apparatus. A plurality of axially spaced sensors (36) are enclosed in a separator tube (86). The separator tube (86) tends to collapse the level of a two-phase fluid within the separator tube into essentially a liquid phase and a gaseous phase where the collapsed level bears a relationship to the coolant inventory outside the separator tube. The level of the liquid phase is sensed by level sensing apparatus (32). The separator tube (86) contains inlet-outlet ports (90,92) near the top and bottom thereof to equalize the liquid level inside and outside the separator tube (86) when the level fluctuates or the water within the separator tube (86) flashes to steam. Each sensor (36) is comprised of a heater (64), a heated thermocouple junction (68) and an unheated thermocouple junction (66) within an elongated heat conductive housing (62). The heated portion of housing (62) is enclosed in a splash guard (72) with inlet-outlet ports ( 78,82) near the top and bottom to equalize the liquid level inside and outside the splash guard (72) and to eliminate the spurious indications of liquid level change which may arise if water droplets contact the housing (62) in the region of the heater. To prevent steam bubbles entrained in a two-phase fluid cross flow from entering the lateral inlet-outlet ports (90,92) of the separator tube (86), the separator tube (86) is enclosed in support tube (100) which may in turn be enclosed in an otherwise unused control element assembly shroud (110). The lateral inlet-outlet ports (90,92) of separator tube (86) are axially offset from lateral inlet-outlet ports (102) of support tube 100 at least where support tube 100 is subjected to cross flow. The shroud (110) is open on the bottom and has lateral inlet-outlet ports (112) to facilitate liquid level fluctuations to equalize inside and outside shroud (110). An alternate embodiment permits the measurement of more than one liquid level.
claims
1. A forward flux channel x-ray source comprising:a flat metal x-ray anode plate with at least one x-ray target channel running through said anode plate, wherein said at least one channel is configured to utilize most of the electrons of an incoming electron beam from both primary and secondary impacts of the electrons on the walls of said at least one channel; andat least one cathode disposed opposite to said anode plate and emitting at least one accelerated electron beam that impacts the upper portion of the wall of at least one of said at least one channel; whereinsaid electron beam generating x-rays from both the primary impacts of the electrons at said upper portion of said wall and the secondary impacts of scattered electrons on said walls of the at least one channel. 2. The source of claim 1 in which the upper portion of the inside channel wall is flared out so as to increase the number of primary electron impacts from an incoming electron beam emitted from the cathode opposite the channel. 3. The source of claim 1 in which the upper portion of the inside channel wall is angled so as to increase the number of primary electron impacts from an incoming electron beam emitted from the cathode opposite the channel. 4. The source of claim 1 in which the cathode opposite the channel in the anode plate is offset from normal to said anode channel and the electron beam enters said channel at an angle so as to increase the number of primary electron impacts from the incoming electron beam from said cathode. 5. The source of claim 1 in which a conical electron beam is emitted from the cathode above an annular channel so as to increase the number of primary electron impacts from the said annular electron beam. 6. The source of claim 1 in which an accelerating grid structure is provided between the cathode and the channel in the anode plate and is operable with retarding potential at the bottom portion of said accelerating grid so as to cause the electron beam emitted from said cathode to spread as it enters the channel. 7. A open source of claim 1, wherein the source in enclosed in an actively pumped vacuum chamber. 8. A sealed source of claim 1, in which one or more cathodes are disposed on a cathode plate, a flux exit window is hermetically attached to an anode plate having one or more channels formed therein, said cathode and anode plates being separated by insulating side walls and hermetically sealed to said side walls, and the interior of the hermetically sealed enclosure thus formed evacuated to at least 10−5 Torr. 9. An array source of claim 1 comprising:an array of multiple, spaced apart, electrically isolated and individually addressable cathodes are disposed on a cathode plate;an anode plate with multiple, spaced apart channels disposed opposite said cathode plate, the channels each disposed so as to receive an electron beam from a cathode on said cathode plate, and a flux exit window hermetically attached to the anode plate;the cathodes in said array operable so as to emit individual electron beams to corresponding flux channels and generate x-rays on the inner walls of the channels in the anode plate, the flux then exiting the source; andinsulating side walls,said insulating side walls, anode plate and cathode plate hermetically sealed together to form the vacuum enclosure of the source; andthe interior of the enclosure thus formed evacuated to at least 10−5 Torr. 10. An x-ray imaging system using the source of claim 1.
abstract
A method for treating before calcination a nitric aqueous solution comprising at least one radionuclide and ruthenium is provided. The method comprises a step for adding to the solution a compound selected from lignins, lignocelluloses, optionally as salts and mixtures thereof.
abstract
A method for delivering therapeutic light ion radiation to a target volume of a subject, wherein the target volume is located at a predetermined depth from the skin, includes irradiating a surface of the skin with an array of light ion minibeams comprising parallel, spatially distinct minibeams at the surface in an amount and spatially arranged and sized to maintain a tissue-sparing effect from the skin to a proximal side of the target volume, and to merge into a solid beam at a proximal side of the target volume. A gap between the parallel, spatially distinct minibeams at the surface and a species of light ions forming the minibeams are selected such that the array merges into a solid beam at a predetermined beam energy, and across all energies for Bragg-peak spreading, at a proximal side of the target volume.
052590100
summary
FIELD OF THE INVENTION This invention pertains to an internal component of a nuclear reactor and more specifically to a new design for a spacer pin used for laterally supporting and/or restraining the thermal shield within the reactor vessel. BACKGROUND OF THE INVENTION In nuclear reactor vessels there is located a thermal shield which effectively separates or delineates the core of the nuclear reactor from the remainder of the vessel. The function of this thermal shield is as its name implies, i.e. to thermally shield the core from the outer walls of the reactor. For proper functioning, the thermal shield must be physically restrained within the vessel, but it must be restrained in such a manner that the shield can expand and contract as needed in accordance with the heat output of the core. Thus the function of the spacer pins. Generally, spacer pins are threaded through openings in the shield from the inside during the assembly of the reactor vessel internals. Each pin is screwed through its respective opening until contact is made with a spacer pad secured to the reactor vessel wall. After the numerous spacer pins are thusly installed and aligned (there usually being at least two levels or elevations of such pins), they are each backed off the pad about one sixteenth of an inch (1/16") before they are welded to the thermal shield. This will secure the pin in place and prevent it from coming loose or unscrewing. Afterwards, the inner tail of the pin is removed and then ground down so that the spacer pin will be flush with the inside surface of the thermal shield. As can be seen, such assembly requires a considerable number of operations which only increases the cost and the amount of labor required for each pin. Additionally, once the pin is welded in place, it cannot easily be removed or adjusted if needed. It is thus an object of this invention to provide a spacer pin that mechanically locks to the thermal shield so as to avoid the need for the pin to be welded in place. Another object of this invention is to provide a spacer pin that can be easily removed should such be desired. A further object of this invention is to provide a spacer pin that is adjustable to allow for the setting and resetting of the gap between the thermal shield and the reactor vessel if it becomes necessary to do so. Still another object of this invention is to provide a spacer pin that can be installed flush to the inside surface of the thermal shield so as to eliminate the time-consuming task of machining or grinding the pin flush as previously required. Another object of this invention is to provide a spacer pin that is reusable and one that has minimal void area in order to reduce neutron streaming. These and other objects and advantages will become apparent upon further investigation. SUMMARY OF THE INVENTION As disclosed herein, a spacer pin is provided for use in nuclear reactors that incorporates a main body having a central cavity therein and at least one side opening in communication with this cavity. Locking means are secured within this cavity and project outward through the side opening so as to wedge or jam the main body in place against the thermal shield.
summary
description
This invention pertains in general to nuclear powered electrical generation systems and, more particularly, to such systems that use the heat generated in the nuclear reactor to drive a turbine generator. Current nuclear powered electrical generation systems convert the heat generated in a nuclear reactor to steam which is used to drive turbine generators for the production of electricity in the form of alternating current. The alternating electric current is transmitted to an electrical distribution network and used to provide electrical power to consumers and industries. Using this process, the currently, most widely used nuclear technology, i.e., Light Water Reactors, can convert only about 30% of the total energy released by fission into usable electrical power. The reason for such a low efficiency stems from thermodynamic efficiency limitations imposed by the energy conversion path inherent in current reactor designs, i.e., thermal energy to mechanical energy, and by energy losses during the thermodynamic and mechanical energy conversions characterizing such a path. This low efficiency has a detrimental effect on the cost of the electrical power generated by existing nuclear power plants. It is an object of this invention to overcome some of those inefficiencies by using the byproducts of the fission process to directly increase the amount of electricity such systems can produce, through direct, auxiliary conversion processes. These and other objects are achieved, in accordance with this invention, by an electron generating panel having an outer sheathing of a low Z metal; an electron generator comprising a high Z metal disposed within the sheathing and forming an emitter with a positive output pin extending through and electrically insulated from the sheathing; an electron charge collector comprising a low Z metal, disposed between the emitter and the sheathing, with a negative output pin extending through the sheathing, spaced and electrically insulated from the positive output pin and the sheathing; and a layer of insulation material occupying spaces between the sheathing, the emitter and the collector. In one embodiment, the high Z metal comprises Lead or Tungsten and the low Z metal comprises Inconel or a Steel alloy. The layer of insulation may comprise aluminum-oxide or B-10 and, desirably, is approximately 1 mm thick. In an application to a nuclear reactor, the electron generating panel may be positioned between an outer perimeter of a nuclear reactor core and a surrounding structural member such as the baffle-former plate structure that surrounds the core, wherein the electron generating panel is fastened to a baffle plate with the screws that attach the baffle plates to the former plates. Preferably, the electron generating panel is approximately 3 mm thick and the screws that attach the electron generating panel to the baffle plates are electrically insulated from the electron generating panel. In another embodiment, the electron generating panel includes a layer of Co-59 between the sheathing and the emitter on a side of the emitter opposite that of the collector with the insulation positioned between the sheathing and the Co-59 and the Co-59 and the emitter, to enhance the electrical output when the reactor is shut down. In still another embodiment wherein the electron generating panel is being irradiated with gamma radiation on both sides of the panel, the collector may span the opposite sides of the emitter with the sides of the collector on opposite sides of the emitter in electrical communication with each other. In such an embodiment the collector may be a cylinder that surrounds the emitter. The electron generating panel may be supported on a wall of a fuel assembly cell of a modular fuel rack in a reactor core, in a spent fuel pool or in a spent fuel storage cask. In one embodiment of this invention the design of a nuclear power reactor system is enhanced by incorporating an additional energy conversion path, not relying exclusively on fission heat, which captures more of the energy released by the fission process. The approach taken by this invention will allow a significant increase in the electrical generation provided by a nuclear power plant and a corresponding reduction in the net cost of the electricity produced. In one such embodiment applied to a nuclear reactor electrical power generating system the apparatus used to convert fission gamma energy from the fuel pellets in a fuel rod to an electrical potential that can be used to produce electric power is illustrated in FIG. 1. FIG. 1 shows a cross-section of a preferred embodiment of a radiation energy harvesting device, also referred herein as an electron generating panel (10), contemplated by this invention. In the embodiment shown in FIG. 1, the Gamma Harvesting Panel (10) includes a central emitter (22) formed from a high atomic number (Z) material, such as Lead or Tungsten, with the emitter (22) surrounded by a first layer of high temperature electrical insulation (32a), such as aluminum-oxide or B-10. The emitter (22) has a positive output pin (24) that extends through and is electrically insulated from an outer sheath (26) that surrounds the entire Gamma Harvesting Panel (10) and forms an outer housing. Preferably, the sheath (26) is formed from a low Z metal. A charge collector (28), also formed from such a low Z material, such as Inconel or Steel alloy, is positioned within the sheathing (26) on a side of the emitter (22) facing away from the reactor core and a negative output pin (30) extends from the collector (28) through and is electrically insulated from the outer sheath (26). The collector (28) is also surrounded by a second layer of high temperature insulation (32b). The gamma radiation emitted during the fission process, and from the resulting unstable fission byproducts, produces Compton and photoelectrical electrons in the thin (˜0.1 mm) layer of the Emitter located on the outside of the reactor baffle plates (14, shown in FIG. 2), or around the faces of the fuel assemblies (not shown). The electrons that have sufficient energy to penetrate the thin (˜0.1 mm) cylindrical layer of aluminum-oxide insulation (32a) that surround the emitter layer (22) will be stopped in the collector (28) that is faced adjacent to the insulation layer (32a). This creates a substantial voltage difference between the emitter layer and the collector layer. This invention contemplates how this voltage difference may be used to produce significant electric power both during reactor operation and with the reactor shutdown. This concept will also be effective if applied to plate-style nuclear fuel assemblies, due to the increase in the surface to volume ratio of the fuel. The higher fuel enrichments used in a plate-style fuel assembly will also significantly enhance the relative amount of electric power that may be generated using this approach. FIG. 2 shows a cutaway of a reactor vessel (12) with a Gamma Harvesting Panel (10) bolted to a reactor vessel baffle (14) using the bolt penetrations (16) that connect the baffle (14) to the former hardware (18). The baffle-former hardware is used to transition from the inner circular surface of the core barrel (20) to the stepped perimeter of the peripheral fuel assemblies (not shown) in the core. This approach would allow simple removal of the Gamma Harvesting Panels (10) during baffle (14) inspections or reactor decommissioning. The Gamma Harvesting Panels (10) are attached to the baffle plates (14) using two insulated bolt penetration metal cylinders (36) constructed from the same material as the baffle bolts 14, such as stainless steel, and spaced along the Gamma Harvesting Panel. The cylinders (36) function as attachment sleeves that have a first layer of insulation (32c) and a second layer of insulation (32d), such as Aluminum Oxide, interposed between the sleeve and the Gamma Harvesting Panel. Essentially all of the gamma radiation from fission events and from unstable fission products exits the fuel pellet volume. Most of the gamma radiation will ionize the atoms in the Gamma Harvesting Panel that surround the reactor through Compton or photoelectrical interactions. The Compton and photoelectrical interactions will produce large numbers of electrons with kinetic energy high enough to penetrate the insulating material positioned between the Gamma Harvesting Panel emitter (22) and collector (28). The net result of this process is that the collector material has a large net negative charge and the emitter material has a large net positive charge. This produces a large voltage difference that may be used to produce an electric current that can be used to generate a useful amount of electric power. Measured performance data from Tungsten self-powered detector elements demonstrate that hundreds of kilowatts to megawatts of electric power can be generated. In another embodiment, a thin layer of Co-59 (34) can be placed on the reactor side of the emitter layer (22) to increase the power available when the reactor is shut down. The Co-59 will be converted to Co-60 when the reactor is operating at high power. The gamma radiation emitted by Co-60 decay will supplement the fission product gamma radiation emitted when the reactor is shut down. The Co-60 gamma radiation would then become a source of gamma radiation that produces useable electric power even when the reactor is shut down. FIG. 3 provides a schematic representation of a dual-sided Gamma Harvesting Panel device that is optimized to harvest gamma radiation energy from either side of the Gamma Harvesting Panel (10). The primary difference between the FIG. 1 and FIG. 3 design is the addition of collector configurations (28) on either face of the Gamma Harvesting Panel. The two collectors (28) are in electrical communication with each other and with the negative output pin 30 and are separated from both the emitter (22) by the first layer of insulation (32a) and the outer sheath (26) by the second layer of the electrical insulation (32b). This double cathode configuration will better suit a device subject to gamma radiation from all directions. FIG. 4 shows a lattice layout of a modular fuel assembly storage device section (38) for surrounding and containing either fuel in the reactor core, fuel assemblies in the spent fuel pool, or fuel assemblies placed in dry fuel storage. The walls of the lattice cells (40) in the device (38) are constructed of the dual-sided Gamma Harvesting Panel style shown on FIG. 3 and flow vents (42) are provided in the walls (40) of each of the cells to facilitate coolant flow. Each of the cells is sized to accept a fuel assembly. Significant useful electric power can be obtained in any of these applications. For spent fuel pool and dry storage cask applications, high density Boron may be used to replace the outer Aluminum-Oxide layer for neutron reactivity control. This configuration could also be made integral to the “can” surrounding boiling water reactor fuel pins in a boiling water reactor fuel assembly. Calculations were run for using this invention on the baffle plates of a four loop pressurized water reactor with the electron generating panels supported on the baffle plates opposite forty-four, face-adjacent, 17×17 fuel assemblies with active fuel 144 inches (3657.6 mm) long. The results show that the additional power added to the output of the reactor is approximately 50 MWe. While specific embodiments of the invention have been described in detail, it will be appreciated by those skilled in the art that various modifications and alternatives to those details could be developed in light of the overall teachings of the disclosure. Accordingly, the particular embodiments disclosed are meant to be illustrative only and not limiting as to the scope of the invention which is to be given the full breadth of the appended claims and any and all equivalents thereof.
052232085
description
OPTIMAL MODE TO REALIZE THE INVENTION This invention will now be described in more detail referring to the attached drawings. FIG. 1 is a schematic section of formations which mainly comprise permeable layers 1 and impermeable layers 2 which are faulted at fault-lines 3,4. The water is retained in the permeable layers 1, and flows along the impermeable layers 2 which forms the groundwater basin. As shown in FIGS. 1 and 2, a cutoff wall 5 is installed substantially vertical to the line of stratigraphic division of an impermeable layer. The cutoff wall 5 is built by driving a certain number of pipes into the ground, pouring in through the pipes cement grout which is a mixture of cement and water or a mixture of such cement grout and clay or water glass (sodium silicate) to fill up pores in the permeable layer. The reference numeral 6 denotes a concrete shield for biological shielding of a reactor installed on a bedrock 7 below the cutoff wall 5. A metal container 10 is placed within said concrete shielding 6, and further inside said container 10 is installed a reactor 8 which generates heat by the reaction of uranium oxide. The reactor 8 is provided with an inlet 12 for the primary cooling water for cooling the heat generated by the nuclear reaction and an outlet 14 for exhausting the primary cooling water evaporated by the heat from the reactor 8 so as to prevent the reactor 8 from being excessively heated. The steam let out from said outlet 14 of the reactor 8 is guided to a turbine 16 for generating power via piping means to actuate the turbine 16 for generation of power. It is subsequently exhausted from the turbine 16, condensed at a cooling tower 20 from gas to liquid, and recycled back to the reactor 8 via a water supply pump 18 and a cleaning apparatus 19. The primary cooling water is designed to circulate within a closed circuit as it is exposed to radiation in the reactor 8. The cooling tower 20, as shown in FIG. 3, has an air outlet port 22 at the top and an air inlet port 24 on one side thereof, and contains a spiral piping member comprising a thermally conductive tube to form a passage for the primary cooling water. A sprinkler 30 is provided above the piping member in order to sprinkle the water guided from the cutoff wall 5 via a pipe 26 and a tank 28. Further, a large-size fan 32 is installed near the air inlet port 24 for taking in the air from the surface as well as for exhausting the steam at the surface. This evaporation type cooling tower 20 is intended to absorb the energy of the primary cooling water in the form of heat by utilizing latent heat of the evaporating water, and is capable of absorbing a large amount of energy with less secondary cooling water. The reference number 34 denotes a stack which is connected to the air outlet port of the cooling tower 20 for letting out the steam to the surface, and 36 a stack connected to the air inlet port 24. The diameter of those stacks can be determined in relation to the output of the reactor 8, the amount of cooling water and the amount of air blowing, but is preferably relatively large. In FIG. 3, the reference numeral 38 denotes an overflow pipe which discharges overflow of the water caused by the difference between the amount of water seeping in the underground dam above the cutoff wall and the amount of water taken therefrom. Said overflow pipe is used to activate a turbine 40 of a hydrogenerator 41 for power generation which is installed 30-50 m below the water level of the dam. The water used for hydropower generation is discharged into a second underground dam formed with a cutoff wall 42 built in the groundwater basin located below said underground dam. The water of the second underground dam is pumped up to the first dam by a pump 43. The water is pumped up during the night by excess of the generated power. The second dam is designed to supply secondary cooling water by pumping up the water when the pondage of the first dam decreases to a level below the requirement. A water intake pipe 26 and an overflow pipe 38 for the secondary cooling water open into a water well 44 sunken near the cutoff wall 5 of the upper underground dam. Although a second dam is installed underground in this embodiment, the invention is not limited as such. Overflow of the water may be forced into the groundwater vein in the permeable layer. The reference numeral 39 denotes a repository for spent fuel installed 1,000 m or more below the surface. The amount of secondary cooling water necessary for an evaporation type cooling tower for the output of 500,000 Kw nuclear power generation is 33.6 m.sup.3 per minute loss, the heat Q.sub.2 necessary for the water of 15.degree. C. to evaporate in one day is calculated as below. ##EQU1## As the blown-in air is heated, thermal energy is further absorbed. The evaporation type cooling tower evaporates 30% of the secondary cooling water for cooling and uses 70% thereof for cooling in the form of liquid. The output of the underground nuclear power plant can be calculated from the total pondage of the groundwater, the volume of seepage and the necessary amount of water. It is preferable to set the total pondage at a level higher than necessary for safety consideration. Table 2 shows the amount of secondary cooling water required for the evaporation type cooling tower as relative to the required pondage of the underground dam at the outputs of 100,000, 500,000 and one million Kw. TABLE 2 ______________________________________ output amount of water required m.sup.3 total pondage Kw per min. per day required m.sup.3 ______________________________________ 100,000 6.7 9,677 970,000 500,000 33.6 48,384 4,830,000 1,000,000 67.2 96,768 9,670,000 ______________________________________ Required pondage is estimated as 100 times of the amount of daily intake, and the figures are obtained from formula above. If the output of the nuclear power generation is 500,000 Kw, and the amount of water seeping into an underground dam is normally 96,768 m.sup.3 per day, the hydroelectric output Q obtained by the use of this excess water would theoretically be calculated as follows: EQU Q=9.8.times.0.56 m.sup.3 /s.times.40 m (head) =219 Kw. Although in this embodiment, the overflow of the water in the underground dam is used for hydroelectric generation, use of excess groundwater is not limited to power generation but may be for irrigation for plants on the surface by pumping up the water. The plants may be used as indicator for acid rain measurement. INDUSTRIAL APPLICABILITY OF THE INVENTION As described in detail in the foregoing, the nuclear power generation system according to this invention is constructed to take in a necessary amount of groundwater which is abundant in the underground from an underground dam built nearby, and therefore the system may be installed wherever a suitable groundwater basin exists. As the system does not need pumping up of groundwater, the energy can be utilized at a higher efficiency. As the nuclear power generation system is built underground in this invention, the system is remarkably superior in earthquake resistance because the influence of S wave (transverse wave) is drastically attenuated compared to an aboveground system. As the system is built several tens meters below the surface, even if radiation is accidentally produced from the reactor, the concrete walls and impermeable layers in the formations would act as a biological shield, ensuring safety. Unlike the conventional system, as this system uses groundwater as the secondary cooling water instead of sea water, machines and equipments such as the pipings are less prone to erosion, ensuring a longer life. Moreover, this system recycles the groundwater to prevent depletion thereof without returning the same to the original groundwater vein after cooling, to prevent groundwater contamination.
summary
042141672
description
A device shown in FIG. 1 for protection of the gonads in x-ray diagnosis comprises a nearly rectangular retaining frame 1, advantageously made of a suitable plastic, as well as a support strip 3 which supports a plate 2. The plate 2 is made of a substance which is impermeable to light and x-rays, e.g. lead, while the support strip 3 is made of a material such as acrylic glass which is permeable to light and x-rays. Plate 2, having a configuration adapted to the female or male gonads to be protected, is embedded in support strip 3. Two retaining plates 4 are screwed onto retaining frame 1 at their ends while inwardly therefrom the plates are spaced at a distance corresponding to the thickness of the support strip from the retaining frame, forming two opposed retaining slots 5 in which support strip 3 can be inserted. By moving support strip 3, as indicated by arrows 6, with respect to retaining frame 1, when the collimator of the x-ray apparatus (not shown) is switched on, plate 2 will be brought to the place where the gonads are shielded from the x-rays. Two parallel insert strips are provided for fastening the retaining frame 1 to the x-ray instrument. The two parallel insert strips are inserted into grooves 8 provided for them in the collimator 9 of the x-ray instrument indicated by dashed lines in FIG. 2. The two insert strips 7 are disposed so that they are movable with respect to each other on retaining frame 1, as indicated by arrows 10 in FIG. 2. Two parallel slots 11 are provided on retaining frame 1, through which the shanks 12 of two set screws 13 for each strip 7 engage, turning in thread 14 on foot 15 of insert strips 7. The height of insert strips 7 can be so selected that the device can be clamped on an area-dose potentiometer. On foot 15 of insert strips 7, there are prismatic guide tips 16 that engage in slots 11. The said guide tips 16--see FIG. 2--are welded or hard-soldered on the U-profiled insert strips 7, which are made of sheet steel. The height of guide tips 16 is somewhat less than the thickness of retaining frame 1 so that, by means of a collar 18 on the underside of head 17 of set screws 13, there can be fixed clamping of insert strips 7 at the desired location. Two retaining bridge members 19 are also provided on retaining frame 1 between slots 11 so that support strips can be selectively inserted crosswise. While I have shown and described only one embodiment in accordance with the present invention, it is understood that the same is not limited thereto but is susceptible of numerous changes and modifications as known to those skilled in the art, and I therefore do not wish to be limited to the details shown and described herein but intend to cover all such changes and modifications as are encompassed by the scope of the appended claims.
summary
claims
1. A microelectronic electro-mechanical system (MEMS) comprising:a frame;a movable structure;a suspension supporting the movable structure and allowing its motion in a first direction; the suspension comprising:at least one structural beam disposed between the frame and the movable structure to suspend the movable structure, the at least one structural beam having a spring constant in the first direction; andat least one conductor routing beam disposed between the frame and the movable structure to provide a conductive path from the frame to the movable structure to electrically interconnect a component on the movable structure with the frame, wherein the at least one structural beam has a spring constant in the first direction that is more than ten times a spring constant of the at least one conductor routing beam in the first direction. 2. The MEMS of claim 1, wherein the movable structure comprises one of an accelerometer, a gyroscope, an electrical contact, a mirror, an optical switch, a add-drop multiplexer, an optical radiation modulator, a cantilever with AFM tip, a probe storage device, a micro-tweezers, a precision MEMS-based positioning stage, an electrostatic actuator, an electromagnetic actuator, a piezoelectric actuator, thermal actuator, and valve. 3. The MEMS of claim 1, wherein the at least one routing beam comprises a plurality of routing beams, wherein the at least one structural beam comprises a plurality of structural beams and wherein the spring constant is provided by the plurality of structural beams in the first direction is over ten times the spring constant provided by the plurality of conductor routing beams in the first direction. 4. The MEMS of claim 1, wherein the spring constant of the at least one structural beam in the first direction is over twenty times greater than the spring constant provided by the at least one conductor routing beam in the first direction. 5. The MEMS of claim 1, further comprising an actuator coupled to the movable structure. 6. The MEMS of claim 1, wherein the at least one structural beam is shaped in an L formation. 7. The MEMS of claim 1, wherein the at least one conductor routing beam is longer than the at least one structural beam. 8. The MEMS of claim 1, wherein the at least one conductor routing beam has a cross sectional area that is more than ten times smaller than a cross sectional area of the at least one structural beam. 9. A method comprising:fabricating a conductor on a first side of a substrate;removing material comprising portions of the substrate from the first side of the substrate; andremoving material comprising portions of the substrate from a second side of the substrate, the second side opposite the first side, wherein the removing the material comprising portions of the substrate from the first side and from the second side of the substrate form a frame, a movable structure capable of moving in a first direction and a suspension supporting the movable structure, allowing motion of the movable structure in the first direction, the suspension comprising at least one structural beam and at least one conductor routing beam, the at least one conductor routing beam comprising a conductor to electrically interconnect a component on the movable structure with the frame, wherein the at least one structural beam is disposed between the frame and the movable structure to suspend the movable structure and provides a spring constant in the first direction that is over ten times a spring constant provided by the at least one conductor routing beam. 10. The method of claim 9, wherein fabricating comprises depositing an insulating dielectric and a metal layer. 11. The method of claim 9, further comprising depositing a protective layer on the at least one structural beams. 12. The method of claim 9 wherein the fabricating a conductor on the first side of the substrate comprises one of, depositing a doped layer on the substrate, depositing a layer of silicide on the substrate, depositing a metal layer on the substrate, depositing a layer of poly-silicon on the substrate, depositing a layer of conductive material. 13. The method of claim 9, wherein removing material comprises utilizing one of the following a deep reactive ion etching process, a plasma etching process, a gas etching process, a wet anisotropic etching process, a wet isotropic etching process or a combination thereof. 14. The method of claim 9, wherein the at least one conductor routing beam is fabricated longer than the at least one structural beam. 15. The method of claim 9, further comprising fabricating a bridge between two suspension beams.
052884341
claims
1. A process for reducing the volume of a contaminated HEPA filter comprising dissolving the HEPA filter media in hydrofluoric acid solution of a strength required to have a minimal amount of free hydrofluoric acid at the end of the dissolution to provide that filter dissolution is complete, silica gel (SiO.sub.2) is minimized, and silicon fluoride (SiF.sub.4) is maximized. 2. The process of claim wherein the dissolution chemical reaction equations are: ##STR2## 3. The process of claim 2 wherein free HF at the end of the dissolution is less than 0.1M. 4. The process of claim 3 further includes complexing the excess HF leftover from the dissolution step with aluminum nitrite (AlNO.sub.3) solution to form water soluble aluminum fluoride salts. 5. The process of claim 4 wherein the fluoride-to-silicon ratio is 7:1 to provide water soluble aluminum fluoride salts. 6. The process according to claim 4 wherein the complexing chemical reaction is: EQU Al(NO.sub.3).sub.3 +nHF-AlF.sub.n.sup.+3-n +nHNO.sub.3. 7. The process of claim 1 further includes baking the HEPA filter at 550.degree. C. for a minimum of 3 hours, previous to the dissolution step, to sublimate any Kevlar.TM. reinforcement material that may be present in the filter. 8. The process of claim 4 further includes mixing the dissolved and complexed HEPA filter solution with both sodium and fluorinel waste to provide a ratio of HEPA filter solution and fluorinel waste:sodium waste of 4:1. 9. A process for dissolving and calcining a radioactive contaminated HEPA filter comprising: a. baking the HEPA filter; b. dissolving the HEPA filter media in a hydrofluoric (HF) acid solution of a strength required to minimize formation of both silica gel and precipitates, forming an HF/filter solution; c. complexing the HF/filter solution with an aluminum nitrate (AlNO.sub.3) solution forming a complexed filter waste solution; d. mixing the complexed filter waste solution with a radioactive solution forming a complexed filter/radioactive waste solution; e. performing a second complexing on the complexed filter/radioactive waste solution by addition of calcium nitrate (Ca(NO.sub.3).sub.2), forming a blended feed solution; and then f. calcining the blended feed solution, thereby forming a calcined particulate and fines. a. baking the HEPA filter; b. dissolving the HEPA filter media in a hydrofluoric (HF) acid solution in an amount that gives a molar ratio of fluoride-to-silicon of about 7:1, forming an HF/filter solution; c. complexing the HF/filter solution with an aluminum nitrate (AlNO.sub.3) solution forming a complexed filter waste solution; d. mixing the complexed filter waste solution with a radioactive solution forming a complexed filter/radioactive waste solution; e. performing a second complexing on the complexed filter/radioactive waste solution by addition of calcium nitrate (Ca(NO.sub.3).sub.2), forming a blended feed solution; and then f. calcining the blended feed solution, thereby forming a calcined particulate and fines. a. baking the HEPA filter at about 550.degree. C. for about 3 hours; b. dissolving the HEPA filter media in a hydrofluoric (HF) acid solution in an amount that gives a molar ratio of fluoride-to-silicon of about 7:1, forming an HF/filter solution; c. complexing the HF/filter solution with an amount of 2.2 molar aluminum nitrate (AlNO.sub.3) solution that gives a fluoride-to-aluminum molar ratio of between 20:1 and 40:1, forming a complexed filter waste solution; d. mixing the complexed filter waste solution with a radioactive solution forming a complexed filter/radioactive waste solution; e. performing a second complexing on the complexed filter/radioactive waste solution by addition of an amount of calcium nitrate (Ca(NO.sub.3).sub.2), to obtain a calcium-to-fluoride molar ratio of about 0.7:1, forming a blended feed solution; and then f. calcining the blended feed solution, thereby forming a calcined particulate and fines. 10. The process as recited in claim 9 wherein a baking temperature is about 550.degree. C. and a baking period is about 3 hours. 11. The process as recited in claim 9 wherein the hydrofluoric acid concentration is at a maximum of 2 molar solution and an amount of HF solution is added to obtain a molar ratio of fluoride/silicon of about 7:1. 12. The process as recited in claim 11 wherein an amount of 2.2 molar AlNO.sub.3 solution is added for complexing the HF/filter solution to obtain a fluoride-to-aluminum molar ratio of between 20:1 and 40:1. 13. The process as recited in claim 12 and wherein the radioactive waste comprises a mixture of fluorinel and a sodium waste. 14. The process as recited in claim 13 wherein an amount of calcium nitrate is added to the complexed filter/radioactive waste solution to obtain the blended feed solution having a calcium-to-fluoride molar ratio of about 0.70:1. 15. The process as recited in claim 14 wherein the blended feed solution has a maximum ratio of sodium waste-to-fluorinel waste and HF/filter solution of about 1:4 and a maximum ratio of HF/filter solution-to-fluorinel of about 1:5.5. 16. The process as recited in claim 11 wherein the filter dissolution is in the hydrofluoric acid at a temperature of about 70.degree. C. for about 1 hour and then in HF acid at 25.degree. C. for about 12 hours. 17. A process for dissolving and calcining a radioactive contaminated HEPA filter comprising: 18. The process as recited in claim 17 wherein a baking temperature is about 550.degree. C. and a baking period is about 3 hours. 19. The process as recited in claim 17 wherein the hydrofluoric acid concentration is at a maximum of 2 molar solution. 20. The process as recited in claim 19 wherein an amount of 2.2 molar AlNO.sub.3 solution is added for complexing the HF/filter solution in order to obtain a fluoride-to-aluminum molar ratio of between 20:1 to 40:1. 21. The process as recited in claim 20 wherein an amount of Ca(NO.sub.3).sub.2 is added to the complexed filter/radioactive waste solution to obtain a blended feed solution having a calcium-to-fluoride molar ratio of about 0.70:1. 22. The process as recited in claim 21 wherein the blended feed solution has a maximum ratio of sodium waste-to-fluorinel waste and HF/filter solution of about 1:4 and a maximum ratio of HF/filter solution-to-fluorinel of about 1:5.5. 23. A process for dissolving and calcining a radioactive contaminated HEPA filter comprising: 24. The process as recited in claim 23 wherein the filter dissolution is in the hydrofluoric acid at a temperature of about 70.degree. C. for about 1 hour and then in HF acid at 25.degree. C. for about 12 hours. 25. The process as recited in claim 24 wherein the blended feed solution has a maximum ratio of sodium waste-to-fluorinel and HF/filter solution of about 1:4 and a maximum ratio of HF/filter solution-to-fluorinel of about 1:5.5. 26. The process as recited in claim 25 wherein the overall HEPA waste volume reduction ratio is about 15:1.
048572632
description
DESCRIPTION OF THE PREFERRED EMBODIMENT The apparatus shown in the drawings is a rack 31 for storing spent fuel. The rack 31 consists of an array of storage locations 29, 33, 35 and 75 (FIG. 5) secured to a base 32. The rack 31 is supported on the floor 34 of a deep pool of water on studs 36 extending from pads 38. The pads 38 are of the type disclosed in application Ser. No. 282,991, filed July 14, 1981 to Machado et al. for Nuclear Reactor Spent Fuel Storage Rack and assigned to Westinghouse Electric Corporation (herein Machado). The pads 38 are secured to the underside of the base 32 and are provided with facilitates for levelling the rack as disclosed in Machado. Lugs 40 are provided intermediate the pads 38. The lugs 40 are engageable by lifting rods (not shown) extending from a crane (not shown) for raising and lowering the rack 31. The cells 29, and cells 75 at the corners of rack 91, are fabricated and are arranged so that the additional locations 33 and 35 are formed between the of the cells 29 and between the cells 29 and 75. Each additional storage location is abutted by a plurality of fabricated storage locations (FIG. 5). The general term "storage locations" is applied to the fuel-assembly-receiving members generally including fabricated members or storage locations such as 29, 75 as well as storage locations 33, and 35 formed between fabricated cells 29, 75 as boundaries. The storage locations 29, 33, 35 and 75 have substantially equal open transverse cross sectional areas and are so dimensioned as to accommodate the spent-fuel assemblies of the plant where the rack is installed. Each cell 29 is an elongated body 42 which may be of polygonal or circular or other transverse cross sectional shape. Typically, the body 42 is in the form generally of an elongated rectangular parallelopiped with open ends 37 and 39 at the top and bottom. The body is formed of long sheets 41 (FIGS. 12, 13), typically of type 304 stainless steel, pressed into a structure consisting of two sections 43 channel-shaped downwardly as seen in FIG. 13 joined by a center section 45 channel-shaped upwardly. The so-formed sheet is bent at right angles about the longitudinal center line 46 of the center section in the direction of the arrows 47 or 49 to form two sides or walls 48 or a half section 50 of the body (FIGS. 4, 4A). A pair of these halves are abutted along these edges 51 and welded along the abutted edges to form the body 42. The sides 48 of the body are reentrant along the channel sections 43. The welded joints along the edges 51 and the joint 53 at the right angle bends of the halves project outwardly forming the apices of the transverse cross section of the body. The sheets 41 which form the halves of the bodies each has a slot 55 near the end 56 which is just below the top 37. In a typical case the sheet 41; i.e., the body 42, may have a length or height of 162.00 inches. The width of the sheet 41 may be 20.883 inches and the thickness 0.062 inch. Another typical set of dimensions are length 188.87 inches, width 19.145 inches and thickness 0.075 inch. The cells 29 may be regarded as being joined in a group consisting of a central cell 29 (FIG. 5) from each of whose edges 51 and 53 a cell 29 extends radially. Each edge 51 or 53 of a central cell is contiguous to an edge 51 and 53 of a radially extending cell 29. The contiguous edges are joined by a series of welds 59 along their lengths forming by fusing a filler wire 61 (FIG. 7). The welds 59 are so spaced that the response of the rack to the anticipated seismic acceleration of the rack in the geographical region where the rack is installed is minimized. This feature can be understood from the graph shown in FIG. 11. The seismic vibration frequency of the rack, characteristic of the region of the plant, is plotted horizontally in Hertz and the acceleration in g, gravity constant, is plotted vertically. It is seen that the curve has a maximum at about 10 Hz. The welds 59 should be so spaced that the frequency is low. At low frequencies the acceleration is low. Seismic damage to the rack is precluded. The welds can also be spaced so that the seismic vibration frequency is high; i.e., the rack is stiff, where such a condition reduces acceleration. A wrapper plate 63 is welded externally to each side of the body 42. The wrapper plate 63 (FIGS. 14-16) is a thin sheet of type 304 stainless steel pressed so that it has the form of an elongated channel 65. The wrapper plate may have different dimensions for different purposes. Typical dimensions are shown in the following Table I in inches. TABLE I ______________________________________ Length or Height Perpendicular to Base 32 Width Thickness ______________________________________ 161.00 9.836 .062 187.87 9.050 .075 162.00 11.500 .062 188.87 10.650 .075 ______________________________________ The wrapper plate 63 is welded at its extending edges 67 to each outer wall 48 (FIG. 4) of the body 42 with the cavity formed by the channel 65 facing the reentrant cavity of the wall so that each wall 48 and each wrapper plate form a pocket 69. The pocket 69 may serve for the deposit of neutron-absorbing material should the need arise. The pockets 69 provide the option for readily including neutron poison in the rack 31 either before or after the rack is installed in the pool. The storage locations 33 are formed between each set of four cells 29. The four cells include a central cell, for example 29a (FIG. 5), two radial cells for example, 29b and 29c, welded to the central cell and a radial or central cell, for example 29d, of an adjacent group of welded cells 29. The walls of the storage locations 33 are the wrapper plates 63 welded to a wall 48 each of the adjacent cells 29. The storage location 33 has pockets 69 in common with each of the adjacent four cells 29 as shown in FIG. 5. Cell 29b is along the periphery of the rack 31. A number, usually most of the cells are formed between four internal cells 29a, 29b, 29c, 29d. There are four pockets 69 in each storage location 29 and 33. The pockets are interposed in each storage location on each side between a stored spent-fuel assembly (not shown) and the fuel assemblies in adjacent storage locations which have walls in common with the storage location. The pockets 69 maintain the required CTC spacing between assemblies without the need of spacers, such as grids, between storage locations. The pockets 69 also maintain the fuel-assembly-to-fuel-assembly separation. This permits closer spacing of assemblies limited by criticality concerns. The cells or storage locations 35 are along the periphery of the rack. Each cell 35 is formed between three adjacent cells, for example 29a, 29b, 75 (or an internal fabricated cell 29). The remaining side of each cell 35 is formed by a cover plate 71. The cover plate 71 is joined to the ends (corners) of the contiguous cells by welds 73 along the longitudinal joints between the cover plate 71 and the edges 53 (FIG. 9). The welds 73 may be spaced in the same way as the welds 59. The cells 75 in the corners (FIGS. 5, 8) of the rack are closed by half angle sections 77 (FIG. 8) similar to the half sections 50 which are combined to form the cells 29. The ends of the half sections are welded to the ends of the joints 51 of the adjacent cells by spaced welds 79 (FIG. 10). The storage locations 29, 33, 35 and 75 are secured to the base 32 by fillet welds 81 (FIGS. 4, 8) joining the lower ends of the walls 48 to the base. To the extent practicable the four walls 48 of each cell are welded to the base as shown in FIGS. 4 and 5. In cases in which one of the walls is not accessible, only three of the walls are welded to the base 32 as shown in FIGS. 4A and 5. Water is circulated through holes 83 and 85 in the base 32, upwardly along the cells, and through the pockets 69. The fuel storage rack 31 is subdivided into Region 1, a smaller region, and Region 2, a larger region. Region 1 accommodates temporarily off-core spent-fuel assemblies. Region 2 accommodates assemblies with a specified minimum burn-up. The assemblies in Region 1 must be spaced substantial distances to avoid a critical condition. For this purpose, certain cells in Region 1 are provided with caps 101 (FIG. 17) to prevent inadvertent deposit of assemblies at too close a spacing. The caps 101 close the top openings of cells in Region 1 in a checkerboard or honeycomb pattern. However, instead of uncapped cells being encircled by single capped cells, an uncapped cell may be encircled by groups of several capped cells. Each cap 101 has a head 103 and a stem 105. The head 103 is of generally rectangular transverse cross section and longitudinally tapers from an opening 107 at the top to a flat frame-like surface 109. The stem 105 is of generally rectangular transverse cross section. From opposite faces 111 of the stem, fingers 113 extend inwardly at an angle. The cap 101 is placed on a cell 29, 33, 35 or 75 with the stem penetrating into the cell and the flat surface 109 spanning the pockets 69 and in engagement with the upper rims of the walls forming the cell and the upper rims of the wrapper plate 65. The cap 101 is composed of stainless steel or other suitable metal so that the fingers 113 are resilient. When the cap 101 is thrust into the opening in a cell, the tips of the fingers 113 are sprung and penetrate into the slots 55 and lock the cap 101 in the cell. The cap can only be removed by a special tool which penetrates into the pocket 69 and retracts the fingers 113. While preferred embodiments of this invention have been disclosed herein, many modifications thereof are feasible. This invention is not to be restricted except insofar as is necessitated by the spirit of the prior art.
summary
description
The present invention relates to devices and methods for protection against exposure to electromagnetic radiation and more specifically to a stand alone device that generates protective frequencies by inducing the oscillation of a polymer at frequencies that counter adverse effects associated with electromagnetic radiation. Every operating electrical and electronic device emits electromagnetic radiation (EMR). The power of this emission varies depending on the size and electrical strength of the device and the electrical current it carries. High voltage power lines are significant emitters, and their field strength is sufficiently high to cause adverse effects on humans, animals and plants even hundreds of feet away. Smaller devices, such as computers, television sets, cellular phones and microwaves, emit lesser quantities of EMR, but the effect on humans can still be significant because people are in much closer proximity to such devices. Adverse effects on the health of humans that have been reported as attributable to long-term EMR exposure include occurrence of certain cancers, multiple sclerosis, headache, and sleep disruption, impairment of short term memory, autism, and significant increases in the frequency of seizures in epileptic children. Reported adverse effects on animals have included stillbirths of young and reduction of milk production in cattle. Although the effects of electromagnetic radiation on human health are not always easily quantifiable it is the desire of many prudent people to eliminate or reduce their exposure to EMR. There exist various methods of protecting from EMR that rely on reducing the magnitude (or strength) of the radiation that enters human body. Most commonly these utilize some sort of protective shield that enclosures the emitting apparatus hereby reducing the energy that is radiated by the EMR emitting device. Alternative approach is disclosed by Smirnov (U.S. Pat. No. 6,369,399), which describes an EMR shielding material that is composed of an electromagnetic radiation optimum neutralized polymer (MRET-Shield). MRET-Shield material does not reduce the power of electromagnetic fields. It “shields” the cellular structures of the body against the harmful biological effects of EMR. The radiation is still entering the body but the neutralizing effect of MRET-Shield material reduces the adverse effects associated with exposure. However, MRET-Shield required the design of a protective shell that encloses the EMR emitting device. While personal communications devices, such as cell phone or Blackberry, can be easily adapted to fit into such protective shell as a part of their design, enclosing larger electric appliances (inter alia personal computers, game consoles) is often impractical. Further, replacement of current EMR sources such as power lines would be cost prohibitive. Therefore, there remains a need to protect against EMR emitted from devices that do not provide a protective shell. The present invention addresses deficiencies in current methods for the protection against electromagnetic radiation and provides related benefits. In one aspect of the present invention a device for protecting a subject against exposure to electromagnetic radiation emitted from a remote source is provided. The device includes a housing, a solenoid operably connected to a driver and a polymer. The solenoid generates incident radiation which results in the polymer emitting electromagnetic oscillations at frequencies that counter adverse effects associated with the subject's exposure to the electromagnetic radiation. In one embodiment, the polymer includes a polar matrix, an oxidated hydrocarbon emulsifier, a galvanic salt, a dye or stain, and a polysaccharide. The solenoid is provided in various configurations. In some embodiments, the solenoid includes a two frequency mode that generates at least one carrier frequency of incident radiation. In other embodiments, the solenoid includes a two frequency mode that generates two carrier frequencies of incident radiation, wherein the carrier frequencies are at higher frequencies than the oscillation frequencies. Carrier frequencies may independently or collectively induce oscillation of the polymer materials. In some embodiments, the solenoid is positioned circumferentially around an inner cylinder that houses the polymer. The device of the present invention will have particular utility for the protection against radiation emitted from electronic devices such as a computer, a computer peripheral, a cellular telephone, a television, an audio system, a household appliance, and the like. Further, the device may protect against any intentional or unintentional sources of electromagnetic radiation with Effective Radiation Power (ERP) limited in compliance with FCC regulations. In another aspect of the invention, methods of protecting a subject against exposure to electromagnetic radiation from a remote source are provided. The methods include providing a device as provided by the present disclosure within an effective radius of the subject, and operating the device, such as by activating the solenoid driver. In some embodiments, the device is positioned within a same room as the subject. In other embodiments the device is placed from about five feet to about twenty feet from the subject. In other embodiments, a plurality of devices is provided within a plurality of locations. The present invention addresses deficiencies in current technologies for the protection against electromagnetic radiation and provides related benefits. It is an object of the present invention to provide a device that protects against exposure to electromagnetic radiation. It is another object of the present invention to provide a device that is portable, which allows placement in an area that includes an increased or deleterious amount of EMR. Thus the device may be continuously operated for continued protection against EMR from remote sources. The present invention protects against remote sources of EMR and is therefore protective against any EMR emitting device operating in frequencies as devices disclosed herein. Non-limiting examples include a computer, a computer peripheral, a cellular telephone, a personal communications device, a television, an audio system, or any household appliance that may intentionally and/or unintentionally emit electromagnetic radiation. The term “remote source” refers to a source of EMR that is remote from the device of the present invention. The present invention may protect against EMR emitted from indoor or outdoor power lines. Accordingly, the device of the present invention may be placed in proximity to the user when operating or exposed to devices that emit EMR. In some embodiments, the device is positioned within the same room as the EMR emitting device and in some embodiments the device protects against EMR emitting devices from different rooms or outdoors. In some embodiments, the device is positioned within about twenty feet from the subject requiring protection; however, the protective distance can be significantly higher when using carrier frequencies discussed herein and the like. Though operable outdoors, the present invention may have particular use indoors to provide protection within an indoor room, such as within a 12×12 square foot room or a 40×20 square foot room. The number of subjects that may be protected with the present device is only limited by the protected area. Thus, a single device may protect a plurality of subjects within the operating area. The area of operation can be increased by increasing the amplitude of the emitted frequencies. The present invention operates by generating incident radiation, which induces oscillation of a polymer. The oscillation frequencies emitted by the polymer are demonstrated herein to protect users against remote sources of EMR. These emitted protective frequencies prevent or reduce the ordinarily deleterious effect from EMR exposure, such as high frequency EMR, on biological processes. Among the deleterious effects protected against may include changes in viscosity, pressure or water content of bodily fluids such as interstitial fluid, blood, and the like. The present invention may also protect against changes in body pH, oxygen content, hydration, mitochondrial activity, hormone levels and the like. The present invention may prevent or reduce the accumulation of free radicals in response to exposure to EMR. The incident radiation acts as a carrier frequency, which carries oscillations emitted by the polymer; thus delivering protective frequencies to the intended recipient. Alternatively, protective frequencies are generated separate from the incident radiation. In one aspect of the present invention a device for protecting a subject against exposure to electromagnetic radiation emitted from a remote source is illustrated in FIGS. 1A and 1B. The MRET Random Field Generator device 5 comprises a housing 10 constructed of a plastic material permeable to appropriate frequencies; a solenoid 20 operably connected to a driver circuit 30 and capable of generating incident radiation; and a polymer 40 that upon exposure to the incident radiation emits electromagnetic oscillation frequencies that counter adverse effects associated with the subject's exposure to the electromagnetic radiation. Preferably, the polymer 40 is provided in cylindrical form, such as within a cylindrical shell 50 constructed of a material, preferably plastic, permeable to appropriate frequencies that fits inside the inner circumference of the solenoid 20 as illustrated in FIG. 1A. Although the amount of polymer is non-limiting, a range from about 5 g to about 100 g may be desirable. In a preferred embodiment 34 g of polymer was used. Preferably, the MRET Random Field Generator device is powered by a 12V wall DC power supply 60 as shown in FIG. 1B; however, one skilled in the present art would be able to substitute a suitable power source or power supply. Referring to FIG. 1A, the solenoid 20 operates at an appropriate current rating to provide suitable incident radiation. As an exemplary embodiment, a solenoid may be constructed from multiple turns of thin wire and in some embodiments may have a current rating of about 300 mA and may have a frequency response adequate for operating in the frequency range between about 7.0 Hz and 15.0 Hz. The experimental data provide evidence of the peak interference spectra for Calcium and Sodium ion transportation for the following applied frequency “windows” of 7.8 Hz and 15 Hz. The housing 10 is constructed of a plastic material permeable to the appropriate incident frequencies. The protective features of the device 5 may act by targeting or affecting Calcium and/or Sodium ions in the user's body by emitting and optionally carrying the protective frequencies. The device 5 and methods may affect localization of Calcium and/or Sodium ions in the body; ion pumps and/or ion channels; chemical or biological reactions involving the interaction, binding or transfer of Calcium and/or Sodium; and the like. Calcium is very important for the function of the organism. Ca2+ ions contribute to the activity of many enzymes, synaptic transfer, secretion, muscular contraction, proliferation, growth and development by interaction with cells or proteins, such as calmodulin and troponin. Sodium ions (Na+) provide for a naturally balanced acid-alkaline medium in the organism and excitation signal transfer processes along the nerve cells. Na+ ions are involved in the function of the “ion pumps” that produce an electrical potential difference across the cell membranes by increasing the density of Sodium in the extracellular medium. The protective effects of the instant invention may affect the localization of ions such as Sodium or Calcium, activity of ion pumps or ion channels, and the like. The protective features of the device 5 may act by affecting or organizing clathrate structures of the cellular fluids within the body that leads to support of normal biochemistry of intracellular water. By affecting or organizing clathrate structures the instant invention prevents adverse reactions from exposure to EMR. The solenoid driver 30 is a microprocessor controlled drive circuit, such as illustrated in FIG. 2, which provides voltage of varying amplitude and frequency to the Solenoid Polymer Assembly. The circuit 30 comprises a microcontroller 100, nonvolatile memory module 105 for storing the operating code, triple DIP switch 110 that controls functions of the solenoid driver, pre amplifier 115, dual power amplifiers 125 and 130 that are operably connected to a driver to solenoid 20, power mode feedback control circuit 120, and status three color LED 150. The user controls the operation of the solenoid driver 30 preferably by setting individual switches in triple-row DIP switch bank 110. The switch functions are as follows. The first row of DIP switch 110 has two states RUN and RESET. The RESET is the initial startup mode to ensure the proper initialization of the Solenoid Driver circuit. This function is also used to reset the internal Time of life counter. To reset the counter typically the unit is powered down and powered back up with the switch #1 of the DIP switch bank 110 in the RESET position. RUN is the normal operation mode and is enabled by setting the switch # 1 into RUN position. Once the unit is taken out of the RESET and put into RUN mode the microcontroller will ignore the RESET switch state, except during the power-up period. The second row of the DIP switch 110 controls current draw of the unit. The LO and the HI switch states correspond to the 140 mA low-current draw and 280 mA high current draw settings respectively. To ensure proper operation of the unit this switch must be left in its state during operation. If current mode change is desired typically the unit is first powered down in order to change the switch state. The third row of the DIP switch 110 controls frequency mode of operation. The 2F setting denotes the dual-frequency mode of operation. When dual-frequency mode of operation is selected the LOW and the MID frequency components of the incident radiation are being generated by the driver circuit. In the preferred embodiment, the solenoid driver module features a LED assembly 150; comprising of the three color RGB LED 155, green LED 160 and red LED 165 for providing visual feedback during its operation to the user. The RGB LED 155 operates as follows: green color indicates that the low frequency of the incident radiation is being generated; yellow color indicates that the MID frequency of the incident radiation is being generated. Red color state of the RGB LED 155 indicates calibration failure. During the power up stage the microcontroller performs calibration procedure where it checks, inter alia, the presence of solenoid, power mode and frequency mode switch settings. If calibration failure occurs, the unit is typically powered down and reset. Once the unit has successfully passes the calibration sequence, the green LED 160 is illuminated for the duration of the unit operation. The microcontroller records the period of time that the solenoid driver circuit is in operation in a ‘Time of Life’ counter. The lifetime of useful operation will vary depending on factors such as the particular polymer used and the like. As general guidance, it is estimated that the lifetime of useful operation of the MRET-Shield polymer is approximately 17,000 hours. Accordingly, upon the completion of 17,000 hours the microcontroller may issue a warning to the user by flashing (periodically turning on and turning off) the red LED 165. After an additional 200 hours of operation the red LED may stop blinking and stay illuminated. This indicates ‘end of life’ of the MRET-Shield polymer and the circuit will stop operating. The times provided are exemplary only. In the preferred embodiment the polymer of the present invention is the MRET-Shield polar polymeric material disclosed in Smirnov, U.S. Pat. No. 6,369,399 which is incorporated by reference herein in its entirety. In its most basic form the preferred substance is a polymeric material in which the polymeric substance contains small quantities of several components: an oxidized hydrocarbon emulsifier, a galvanic salt, an alkaloid, a dye or stain, and a polysaccharide. Collectively the components form a unique composition that displays a characteristic behavior, when activated by exposure to EMR, to generate its own electromagnetic oscillations at frequencies that resonate with living cellular structure and effectively counteract the harmful aspects of the EMR. The polymer that forms the matrix of the preferred MRET-Shield polymer material can be any polar thermosetting or thermoplastic polymer that has a high value of relative permittivity (dielectric constant). The polymer can be cast into a mold consistent with configurations discussed in the present disclosure. The EMR shielding material MRET-Shield was proven to produce the biological protective effect. This polar polymer material was tested by Underwriters Laboratories and received a UL recognition mark in March 2001. EMR shielding material does not reduce the intensity (power) of electromagnetic fields. It “shields” the cellular structures of the body against the harmful effects of EMR. The radiation still enters the body but the neutralizing effect of this polar polymer reduces harmful effects associated with EMR. The EMR shielding polar polymer can neutralize negative effects of EMR by changing the quality or effect of the electromagnetic field rather than reducing its power, which provides benefits over alternative approaches. In contrast, devices that claim to reduce the power of electromagnetic fields create distortion of transmitted signals and worsen the reception of cellular phones, because these devices are based on ferromagnetic materials or high density metals. Besides they reduce the radiation only by 15-20%. They also can create even worse problems for the cellular structures of the body because electromagnetic processes in the cells are thousand times weaker then electromagnetic fields generated by any electronic appliances. Taking into consideration that most of the appliances (cellular phones, computers, etc.) are usually located in a very close proximity to the human body, it is reasonable to admit that shielding devices, which reduce electromagnetic fields, first of all will suppress and disturb electromagnetic processes in living cells. EIS analysis was conducted at an independent testing facility to assess the biological effects of electromagnetic radiation from a cellular telephone on the human body and whether effects would differ if providing a MRET random field generator (MARNF) within about 3.3 meters (10 feet) from the subject during cellular telephone use. The color legend for EIS color model images is as follows: grey indicates normal; yellow indicates elevated conductivity, reduced viscosity or acute inflammation; blue indicates decreased conductivity, increased resistance, increased viscosity, organ hypoxia or chronic inflammation. The legend for EIS data provided in tables is as follows: Il-intensity; iR—resistance; iC—conductivity; ipH—interstitial fluid pH; icpH—intercellular pH; tVO2—tissue oxygen volume; tO2—tissue oxygenation; tCO2—tissue CO2; ATP—Mitochondrial production ATP; A.C.H. pressure—arterial capillary hydrostatic pressure; and I oncotic forces—interstitial oncotic pressure; and M.A. Pressure—mean arterial pressure FIG. 3A shows the EIS color model images and corresponding data for Control 1 vs. RF phone without MARNF (labeled TREO—NO MARNF). FIG. 3B shows the EIS color model images and corresponding data for Control 2 vs. RF phone in combination with EMR protective device of present invention MRET Random Field Generator or MARNF (labeled TREO—with MARNF). FIG. 3C shows EIS images and data from the heart corresponding to Control 1 vs. RF phone without MARNF (labeled TREO—NO MARNF). FIG. 3D shows EIS images and data from the heart corresponding to Control 2 vs. RF phone in combination with EMR protective device of present invention MRET Random Field Generator or MARNF (labeled TREO—with MARNF). The test results in FIG. 3A show that the subject displays hyperactivity of the right frontal lobe during Control 1. After 10 minutes exposure to radiation of TREO 650 cellular phone (referred as TREO RF), all parameters demonstrate negative changes (opposite from normal range): intercellular pH (icpH) begins to drop, as interstitial fluid pH (ipH) rose due to the influx of potassium from within the cellular membranes. ATP increased with the applied EMR field, as edema increases and hydrostatic pressure similarity increases to remove the excess of water in the blood vessels. Overall there are negative effects due to proximity to a non-ionizing RF phone. While the hypothalamic region remains mostly within the normal parameters it is becoming hypo-functional in respect to the changes in the function of the sympathetic nervous system (C8-L4). The state of sympathetic nervous system changed from normal to reduced function. Overall there are indications of negative cerebral effects due to exposure to RF phone. The subject's right frontal lobe showed abnormal values along with the abnormal values from hypothalamus, and they were pictorially displayed as yellow and blue colors respectively. Yellow color corresponds to elevated conductivity, reduced viscosity or acute inflammation and blue color corresponds to decreased conductivity, increased resistance, increased viscosity, organ hypoxia or chronic inflammation. Thus, after the exposure to electromagnetic radiation of a cellular phone all parameters deteriorated. The test results shown on FIG. 3B were taken following the 15 minutes break down. The image and data for Control 2 indicate that the values for the right front lobe began to normalize as a result of rest in the absence of EMR exposure. Based on the previous experience it was expected that this positive trend will be interrupted or reversed upon the re-application of EMR. However, scans taken after 10 minutes exposure to the EMR of TREO RF while simultaneously exposure to MARNF (MRET Random Field Generator) indicate continuing normalization of all parameters within the tissue. Additionally, enervation to the sympathetic nervous system (C8-L4) is improved after exposure to MARNF, despite relative proximity of the TREO RF to the subject's right temporal and frontal lobes. The positive effects were detected also in blood pressure, blood viscosity, ATP values and mitochondrial activity, oxygen levels and carbon dioxide levels when using protective MRET Random Field Generator of the present invention. Results of these scans suggest that MARNF has a measured positive cerebral effect. Another example shown in FIG. 3C provides pictorial evidence of the measured negative effect of RF phone on the cardiovascular system of the subject. The EIS Control 1 scan indicates reduced function in the inferior vena cava and depressed venous pressure. All remaining cardiac tissue appears normal. After exposure to RF phone, proximal to the subject's right temporal lobe, his mean arterial pressure (MAP) begins to rise, activating the baroreceptor reflex, as shown in yellow (right image). MAP increases from 72.5 for the Control 1 and to 76.2 for TREO—NO MARNF and cardiac rate frequency dropped by 25%. It indicates measured negative cardiovascular effect following the RF phone exposure. The scan images shown in FIG. 3D provide evidence that the exposure to EMR of RF phone in combination with MRET Random Field Generator (MARNF) has no negative effect on the cardiovascular system of the tested subject. The second control scan of the subject was performed after the fifteen minute rest period. The baroreceptor reflex returned to normal values and all cardiac tissues except for the inferior vena cava appear normal. The image labeled TREO—with MARNF shows the scan after ten minutes exposure to RF phone in combination with MRET Random Field Generator. Mean Arterial Pressure remains stable and identical to Control 2 scan. It indicates positive cardiovascular effect of MRET Random Field Generator and cardiac rate frequency drops insignificantly by only 7.5% (compare to 25% drop without MARNF protection). Materials and Methods The experiments detected changes in brain chemistry using Electro Interstitial Scanning (EIS). EIS gives a comprehensive overview of the reactions of the body. 3D models of the full body and various different parts of the body are created based on the electro interstitial gram (EIG). The models are color coded to indicate where areas of imbalance are hyper-functioning or hypo-functioning. In essence, EIS provides a functional assessment of the main organs, with report screens that show interstitial biochemical values and an evaluation of body composition including lean mass, fat mass and hydration data. Measurements are further extrapolated to provide report screens with hormone, electrolyte, neurotransmitter and oxidative stress analyses. More specifically, the EIS system operates as a biosensor, which analyzes the interstitial fluid locally in vivo by application of a D.C. current between cutaneous zones using electrodes. In use, the EIS introduces electric signals of low intensity (1.28V D.C.) through the human body via 6 electrodes. This is painless and has no negative effects to the patient. About 22 measurements are taken. The scanning results are recorded by EIS software, which analyzes and interprets the test results and produces a variety of informative models, graphs and data for interpretation by a medical practitioner. A TREO 650 cellular telephone (referred to herein as TREO RF), which operates at frequencies of about 1851.25-1908.75 MHz (PCS and CDMA frequencies) was used as a typical source of EMR. The MARNF (MRET Random Field Generator) included a housing, a solenoid operably connected to a driver and a polymer. The polymer included a polar matrix, an oxydated hydrocarbon emulsifier, a galvanic salt, a dye or stain, and a polysaccharide. The present example used 34 g of polymer. The subject was scanned at four time points. First scan (Control 1) was conducted before any exposure to EMR. Second scan (NO MARNF) was performed after the subject was exposed to the TREO RF, which was placed next to the right ear of the subject. The EIS scan was performed after 10 minutes of exposure to the TREO RF. The thirds scan (Control 2) was conducted after the subject rested for approximately 15 minutes as a second control. The last scan (with MARNF) was taken after the subject was exposed to the same TREO 650 cellular telephone for 10 minutes while simultaneously being exposed to the MARNF protective generator, placed about 3.3 meters (10 feet) away. The example (depicted in FIGS. 4A and 4B) demonstrates the protective qualities of the present invention by measuring Specific Absorption Rate (SAR) values of electromagnetic radiation absorbed by a “phantom head,” which mimics the human head muscle and brain tissue composition. The SAR values were measured and “hot spots” localization of electromagnetic radiation generated by RF phones was investigated. The results in FIG. 4B show a measured reduction of the absorption of electromagnetic radiation by muscle and brain tissue, but no significant shift in localization of “hot spots” which indicates that MRET Random Field Generator successfully reduces potential harmful effects on brain chemistry following the electromagnetic radiation exposure. The study was performed using a variety of wireless RF phones and is described in more details below. To assess the protective effects of the present invention against exposure to radiation, a “phantom head” was used to mimic the brain and muscle composition within the head. The “phantom head” was produced using a combination of hydroxyethylcellulose (FEC) gelling agent and saline solution. The mixture was calibrated to obtain proper dielectric constant (permittivity) and conductivity of the simulated tissue. The dielectric constant at about 835 MHz was about 40 and at about 1900 MHz, was about 39. The conductivity at about 835 MHz was about 0.88 mho/m, and the conductivity at about 1900 MHz was about 1.43 mho/m. An APREL Laboratories ALSAS system with a dosimetric E-field probe E-020 was used for measurements. The dipole was oriented parallel to the body axis. The investigation was conducted on cellular phones including Qualcomm Model QCP-2035a, Kyocera Wireless Model 2325 and Samsung Model SCH-A670. Wireless mobile phones were evaluated in this experiment for localized specific absorption rate (SAR) for controlled environment/occupational exposure limits specified in ANSI/EEE Std. C95.1-1992 and had been tested in accordance with the measurement procedures specified in IEEE 1528-2003 and OET Bulletin 65. The RF phone was placed into simulated transmit mode using the manufacturer's test codes. Such test signals offer a consistent means for SAR and are recommended for evaluating of SAR data. Each SAR measurement was taken with a fully charged battery. In order to verify that each phone was tested at full power, conducted output power measurements were performed before and after each SAR test to confirm the output power. SAR measurement results were obtained, analyzed and compared to provide the scientific conclusion of the experiment: These measurements are taken to simulate the RF exposure effects under worst-case conditions. Precise laboratory measures were taken to assure repeatability of the tests. The tested device complies with the requirements in respect to all parameters subject to the test. The installation and function of MRET Random Field Generator at the distance of 7 feet from the “phantom head” does not significantly affect the air measurements of the RF phone signals and subsequently does not lead to any significant distortion of transmitted RF signals. In each experiment, SAR values were measured in 242 points around the phone within the “phantom head.” The effect of MRET Random Field Generator on the “phantom head” showed that the “hot spots” remained in the same location as without MRET Random Field Generator and the amplitudes decreased in 80% of the data points. In 65% of the data points there was observed a significant decrease of SAR values in the range of 10% to 40%. The installation and function of MRET Random Field Generator at the distance of 7 feet from the “phantom head” leads to the reduction of the majority of SAR values.
claims
1. A method for treating a tumor, within healthy tissue of a patient, with positively charged particles, the tumor comprising a tumor surface area and a tumor central volume, the method comprising the steps of:accelerating the positively charged particles with a synchrotron to a first energy level;rotating the patient on a rotational platform;using a controller linked to said synchrotron and said rotational platform to target the tumor surface area with the positively charged particles, wherein said surface area of the tumor comprises at least one of:an outer perimeter of the tumor;portions of healthy tissue contacting the tumor; andhealthy tissue proximate the tumor; andunder control of said controller, delivering a therapeutic dosage of the positively charged particles to the tumor surface area of the tumor and a sub-therapeutic dosage of the charged particles to the central volume of the tumor. 2. The method of claim 1, further comprising the step of:sealing the tumor surface area with a first sealing layer, the first sealing layer resisting nutrient flow to the tumor and resisting waste removal from the tumor, the first sealing layer formed through said step of delivering the therapeutic dosage of the positively charged particles to the tumor surface area of the tumor. 3. The method of claim 2, further comprising the step of:forming a reinforcement sealing layer by treatment of voxels with the positively charged particles, said voxels comprising at least one of:voxels overlapping the first sealing layer; andvoxels contacting the first sealing layer. 4. The method of claim 2, further comprising the step of:said step of sealing indirectly treating the tumor by limiting oxygen flow to the tumor. 5. The method of claim 1, wherein said step of delivering further comprises the steps of:delivering the charged particles to a subsection of the tumor surface area of the tumor along a first charged particle delivery axis;delivering the charged particles to the subsection of the tumor along a second charged particle delivery axis, said first and said second charged particle delivery axes forming an angle of between 45 and 135 degrees, wherein said first charged particle delivery axis and said second charged particle delivery axis form an x/y-treatment plane. 6. The method of claim 5, wherein said step of delivering a therapeutic dosage further comprises the step of:delivering the charged particles to the subsection of the tumor surface area of the tumor along a third charged particle delivery axis, said third charged particle delivery axis forming an angle between 45 and 135 degrees off of the x/y-treatment plane. 7. The method of claim 6, further comprising the step of:repeating said step of delivering the therapeutic dosage to additional subsections of the tumor surface until greater than ninety-five percent of the tumor surface area is treated. 8. The method of claim 1, wherein said method of cancer therapy treats greater than fifty percent of the tumor with a sub-therapeutic dosage of the positively charged particles. 9. The method of claim 8, wherein said step of rotating further comprises the step of:rotating the patient through multiple complete revolutions with treatment of the tumor in each full rotation of said multiple complete revolutions. 10. The method of claim 8, further comprising the step of:repetitively scanning the positively charged particles along a radial tumor axis across the surface area of the tumor. 11. The method of claim 10, wherein the positively charged particles comprise C6+. 12. An apparatus for treating a tumor, within healthy tissue of a patient, with positively charged particles, the tumor comprising a tumor surface area and a tumor central volume, the apparatus comprising:a synchrotron configured to accelerate the positively charged particles to a first energy level;a rotational platform configured to hold and rotate the patient;a controller linked to said synchrotron and said rotational platform, said controller configured to target the tumor surface area through rotation of the patient on the rotational platform and control of the positively charged particles from said synchrotron,wherein said surface area of the tumor comprises at least one of:an outer perimeter of the tumor;portions of healthy tissue contacting the tumor; andhealthy tissue proximate the tumor; andsaid controller configured to deliver a therapeutic dosage of the positively charged particles to the tumor surface area of the tumor and a sub-therapeutic dosage of the charged particles to a central volume of the tumor. 13. The apparatus of claim 12, further comprising:a set of dynamic image data, delivered to an electronic storage medium, of information on location of the tumor in the patient, said controller configured to adjust said first energy level to selectively target a portion of the tumor surface area. 14. The apparatus of claim 13, further comprising:a support configured to dynamically adjust the patient in a reclined position between five and forty-five degrees off of a horizontal axis, said controller configured to target different sections of the tumor surface area as a function of the reclined position. 15. The apparatus of claim 12, further comprising:an extraction foil, said extraction foil configured in a beam path of the apparatus after said synchrotron and prior to a final Lambertson extraction magnet, said extraction foil comprising a thickness of twenty-five to two hundred twenty-five micrometers, said positively charged particles passing through said extraction foil during use. 16. The apparatus of claim 15, wherein said extraction foil further comprises:a set of at least two foils, said controller configured to select which of said set of at least two foils to place in the charged particle beam path based on the first energy level.
description
The present invention relates generally to process control networks and, more particularly, to a method of statistically determining an estimate of a process control loop parameter over a predetermined segment of travel or operation. Large scale commercial manufacturing and refining processes typically use a process controller system to control the operation of one or more process control devices such as valves, based on feedback from one or more sensors, such as position, flow, temperature or other types of sensors. Each set of such process control devices, valves, and sensor devices form what is generally referred to as a process control loop. Furthermore, each valve or other device may, in turn, include an inner loop wherein, for example, a valve positioner controls a valve actuator to move a control element, such as a valve plug, in response to a control signal and obtains feedback from a sensor, such as a position sensor, to control movement of the valve plug. This inner loop is sometimes called a servo loop. In any event, the control element of a process control device may move in response to changing fluid pressure on a spring biased diaphragm or in response to the rotation of a shaft, each of which may be caused by a change in the command signal. In one standard valve mechanism, a command signal with a magnitude varying in the range of 4 to 20 mA (milliamperes) causes a positioner to alter the amount of fluid and thus, the fluid pressure, within a pressure chamber in proportion to the magnitude of the command signal. Changing fluid pressure in the pressure chamber causes a diaphragm to move against a bias spring which, in turn, causes movement of a valve plug. Process control devices usually develop or produce a feedback signal, indicative of the response of the device to the command signal, and provide this feedback signal (or response indication) to the process control system or to the valve actuator for use in controlling the process or the valve. For example, valve mechanisms typically produce a feedback signal indicative of the position (e.g., travel) of a valve plug, the pressure within a fluid chamber of the valve or the value of some other phenomena related to the actual position of the valve plug. While a process control system generally uses these feedback signals, along with other signals, as inputs to a highly tuned, centralized control algorithm that effects overall control of a process, it has been discovered that poor control loop performance may still be caused by poor operating conditions of the individual control devices connected within the control loop including, for example, instabilities within the process control loop. A system experiences “instability” when it cannot reach an equilibrium point during operation. Plant personnel often refer to these instabilities as cycling, hunting, or swinging which is in contrast with normal operation in which the system reaches an equilibrium point or “lines-out.” In many cases, problems associated with one or more of the individual process control devices cannot be tuned out of the control loop by the process control system and, as a result, the poorly performing control loops are placed in manual or are detuned to the point where they are effectively in manual control. In some cases, plant personnel can track down individual loops that are cycling and will detune the associated controller or place the faulty loop into manual. If the system settles down, they know that it is a tuning problem, not a hardware problem. In a similar fashion, if the process has well known, fast dynamics (such as a flow loop), operators will correlate the controller output with the process variable. If the output of the controller is a triangle wave and the process variable is a square wave, they will often conclude that the control valve is sticking. These ad-hoc procedures are used by many plant operators, but include several limitations. For example, the first procedure requires the operator to put the system into manual control, which may not be allowed, especially on runaway processes. The second procedure is good for identifying limit cycles induced by the process control loop but is not capable of tracking down instabilities in the servo loop. Moreover, correlation between a command signal and a process variable is not always straightforward due to complications such as integrating process dynamics, nonlinear process dynamics, cross-coupled process dynamics, and process disturbances. Instabilities in the servo loop can be particularly difficult to discern because plant personnel do not have access to the internal state variables of a control valve. Additional problems arise when instabilities are influenced by the process fluid, as is the case with negative gradients. In these situations, a valve can oscillate when in service, but becomes well behaved when it is taken off line. Poor control loop performance can usually be overcome by monitoring the operational condition or the “health” of each of the process control devices connected within the loop, or at least the most critical process control devices connected within the loop, and repairing or replacing the poorly performing process control devices. The health of a process control device can be determined by measuring one or more parameters associated with the process control device and determining if the one or more parameters is outside of an acceptable range. One of the problems that may be monitored is the detection of instabilities in a process loop or a control device. In the past, it was not easy to determine the source or cause of an instability within a process control loop without having a technician review and diagnose the system, which could be time consuming and costly. In some cases these persons had to remove a process control device from a control loop to bench test the device or, alternatively, the control loops themselves were provided with bypass valves and redundant process control devices to make it possible to bypass a particular process control device to thereby test a device while the process is operating. Alternatively, operators have had to wait until a process is halted or is undergoing a scheduled shut-down to test the individual process control devices within the process which might be the source of instability. Each of these options is time consuming, expensive, and only provides intermittent determination of instabilities in a system. Still further, none of these methods is particularly suited to determine the source or cause of instability while the process is operating on-line, i.e., without disturbing or shutting the process down. There have been some attempts to collect data from a process control device on-line and to obtain an indication of characteristics of a device therefrom. For example, U.S. Pat. No. 5,687,098 to Grumstrup et al. discloses a system that collects device data and constructs and displays the response characteristic of the device. Likewise, U.S. Pat. No. 5,966,679 to Latwesen et al. entitled “Method of and Apparatus for Nonobtrusively Obtaining On-Line Measurements of a Process Control Device Parameter” discloses a system that collects device data on-line and uses this data to directly calculate certain device parameters, such as dead band, dead time, etc. The entire disclosure of the '679 patent is hereby expressly incorporated by reference herein. Additionally, the entire disclosure of the U.S. Pat. No. 6,466,893, entitled “Statistical Determination of Estimates of Process Control Loop Parameters,” is also hereby expressly incorporated by reference herein. The '893 patent discloses a method of statistically determining estimates of one or more process loop parameters, such as friction, dead band, dead time, oscillation, shaft windup or backlash of a process control device. In particular, the method involves collecting signal data related to an output parameter and to an input parameter, storing the signal data as a series of discrete points, eliminating some of the points in the series according to a predefined algorithm, and performing a statistical analysis of the reduced series to obtain an average value of one or more process control parameters. The method allows estimating average actuator friction for a sliding stem valve, for example. However, the method discussed in the U.S. Pat. No. 6,466,893 is limited to determining an average value of a process control parameter over an entire range covered by the available data. For example, the method can yield an overall value of friction associated with a complete range of motion of a moveable element. Meanwhile, there may be a section, a sub-range, or segment of travel where friction is significantly higher or lower than in the other sections. As one of ordinary skill in the art will recognize, high friction in a particular section may indicate that the valve is “sticking” and responding poorly to the control signal or unable to provide proper shutoff. On the other hand, low friction may indicate that the valve packing, which may used as a sealant between the stem and a wall of the valve, is degrading. In either case, the segments where friction significantly deviates from the norm or from the neighboring sections may require maintenance, replacement or, at the very least, close inspection. Unfortunately, the average friction value effectively masks aberrant friction values of the potentially problematic sections. Understandably, if travel covers a range substantially wider than a problem region, the average value may filter out the unusually high or low values. Thus, the average value may simply fail to reflect that one or more segments is faulty. Moreover, even when the average value properly indicates that a problem exists, this value alone cannot point to the specific segment of travel or range of operation that may require attention. For example, based on an unsatisfactory average value of friction of a sliding stem valve, one may decide that an entire component of the valve (or even the entire valve) may need to be replaced. Meanwhile, it may be desirable in many cases to know the precise or relatively precise location of the problem. This information may help to conduct a partial repair or, at least, to collect statistical data related to failures in order to determine which components are least reliable and possibly improve the design of these components in the future. A method of estimating a process control parameter of a device over a segment of travel of a moveable component of the device allows an operator or engineer to determine whether the moveable part operates improperly in one or more sections within the full range of operation of the moveable part. In particular, the method obtains measurements of a signal inside a process control loop, stores the measured signal as signal data, partitions the signal data into several sections corresponding to various segments of travel or operation, and performs an analysis on some or all of the sections of the signal data to determine parameter estimates for one or more segments. In one aspect, the sections of data correspond to non-overlapping segments of equal size to facilitate visual and statistical comparison between segments. In another aspect, the signal data may be partitioned into segments according to a specified percentage of travel, to a desired number of segments, or to a predefined amount of data contained in an individual segment. In one embodiment, the method unobtrusively measures a signal within the process control loop while the process control loop is connected on-line within a process environment. In at least some of the embodiments, the method can be applied in real time as data is collected from a process control loop. Additionally or alternatively, the method can be applied to offline data. In one embodiment, the parameter estimate corresponding to each segment is rendered graphically on a display. In another embodiment, software running on a device inside or outside the process control loop automatically processes parameter estimates for some or all of the segments and detects aberrant parameter values. In another aspect, the method may be implemented within a software package for configuring, monitoring, and displaying parameters related to valve operation, such as AMS ValveLink® from Fisher Controls International LLC of Marshalltown, Iowa. In another embodiment, the method may be implemented on a device collecting on-line process control data. In another aspect, the method may be applied to signal data stored offline. The method may also include comparing historical data for the same segment in order to measure the trend over time of a selected process parameter. In particular, a certain parameter may be estimated for one or more selected segments at predefined intervals, such as once per week, for example. In accordance with this embodiment, the weekly estimates may be rendered on a single graph or processed automatically to detect a change in value over time. In another aspect, the method may utilize statistical techniques such as calculating the standard deviation, mean and average values for the entire range of travel, and other parameters useful in comparing individual segments of travel to other segments or the entire range of travel. The parameter estimate may be an estimate of the friction of a device (such as a valve or other device) having an actuator (which may be any moveable part of the device) that moves in response to actuator pressure. In this case, the method measures a first signal indicative of actuator pressure, measures a second signal indicative of actuator position and then stores a series of data points, each data point having an actuator pressure component derived from the actuator pressure signal and an actuator position component derived from the actuator position signal. For each segment, the method may create a reduced data set from the corresponding section of the series of data points and determine the friction estimate from the reduced data set. To create the reduced data set, each of the series of data points in the section is analyzed to determine if the data point is outside of a friction zone of the device and is placed within the reduced data set if the point is outside of the friction zone. To determine if a data point is outside of the friction zone, the difference between the actuator position components of two data points may be compared to a threshold, the difference between the actuator pressure components of two data points may be compared to a threshold or the slope at a data point may be compared to a slope threshold. Thereafter, the reduced data set may be detrended to remove linear trends, the actuator pressure components of the detrended data set may be histogrammed and a pressure difference based on the results of the histogram may be used to determine the friction estimate. The parameter estimate may also be a dead band estimate which can be determined from the friction estimate for a particular segment and the open loop gain associated with the process control loop. Likewise, the parameter estimate may be a dead time estimate which can be developed by performing a cross-correlation analysis or a sum squared error analysis on the stored signal data for a particular segment and selecting a time delay associated with the cross-correlation analysis or the sum squared error analysis as the dead time estimate. The parameter estimate may also be a segment-specific shaft windup estimate of a device that has an actuator. In this case, the stored signal may be an indication of the actuator position and the shaft windup estimate for each segment may be determined by identifying a plurality of consecutive data points that lie within a shaft windup span at a particular position, computing the shaft windup based on a difference between the actuator position of the end points of the plurality of consecutive data points, and repeating the procedure at the next position within the same segment of travel, and calculating the shaft windup value for a particular segment by averaging shaft windup measurements for all positions within the segment. If desired, the plurality of consecutive data points that lie within a shaft windup span may be also determined by computing the slope at each of the consecutive data points and comparing the computed slope to a slope threshold. Referring to FIG. 1, a single-input, single-output process control loop 10 is illustrated as including a process control system 12 that sends, for example, a 4 to 20 mA command signal to a process control device 13 such as a control valve assembly. The process control device 13 is illustrated as including a current-to-pressure transducer (I/P) 14 that (typically) sends a 3 to 15 psig pressure signal to a valve pneumatic stage 15 such as a pneumatic positioner and actuator or a pneumatic relay and/or an actuator which, in turn, pneumatically controls a valve 18 with a pressure signal (air). Operation of the valve 18 controls the articulation of a movable valve member disposed therein (not shown) which, in turn, controls a process variable within a process 20. As is standard, a transmitter 22 measures the process variable of the process 20 and transmits an indication of the measured process variable to a summing junction 24. The summing junction 24 compares the measured value of the process variable (converted into a normalized percentage) to a set point to produce an error signal indicative of the difference therebetween. The summing junction 24 then provides the calculated error signal to the process control system 12. The set point, which may be generated by a user, an operator or another controller is typically normalized to be between 0 and 100 percent and indicates the desired value of the process variable. The process control system 12 uses the error signal to generate the command signal according to any desired technique and delivers the command signal to the process control device 13 to thereby effect control of the process variable. While the process control device 13 is illustrated as including a separate I/P unit 14, pneumatic stage 15 and valve 18, the process control device 13 may include any other type of valve mechanisms or elements instead of or in addition to those illustrated in FIG. 1 including, for example, an electro-pneumatic positioner having an I/P unit integrated therein. Additionally, an electro-pneumatic positioner may also integrate an array of one or more sensors, and/or a memory, and/or a parameter estimation unit therein. Furthermore, it should be understood that the process control device 13 may be any other type of device (besides a valve controlling device) that controls a process variable in any other desired or known manner. The process control device 13 may be, for example, a damper, etc. A parameter estimation unit 30 is coupled to the process control device 13 or to any other part of the process control loop 10 using known sensors. The parameter estimation unit 30, which may be a computer such as a microcomputer having a memory and a processor therein, collects data pertaining to the condition of the devices within the process control loop 10 and statistically determines from the collected data one or more process control loop parameters, such as friction, dead time, dead band, etc. using, for example, a computer program or algorithm. For example, as illustrated in FIG. 1, the measurement unit 30 may detect one or more of the command signal delivered to the I/P unit 14 using a current sensor 32, the pressure output from the I/P unit 14 using a pressure sensor 34, the actuator command signal output by the pneumatic stage 15 using a pressure sensor 36, and the valve position at the output of the valve 18 using a position sensor 37. If desired, the estimation unit 30 may also or alternatively detect the set point signal, the error signal at the output of the summing junction 24, the process variable, the output of the transmitter 22 or any other signal or phenomena that causes or indicates movement or operation of the process control device 13 or process control loop 10. It should also be noted that other types of process control devices may have other signals or phenomena associated therewith that may be used by the parameter estimation unit 30. As will be evident, the parameter estimation unit 30 may also read an indication of the controller command signal, the pressure signal, the actuator command signal, or the valve position if the process control device 13 is configured to communicate those measurements. Likewise, the estimation unit 30 may detect signals generated by other sensors already within the process control device 13, such as the valve position indicated by the position sensor 37. Of course, the sensors used by the estimation unit 30 can be any known sensors and may be either analog or digital sensors. For example, the position sensor 37 may be any desired motion or position measuring device including, for example, a potentiometer, a linear variable differential transformer (LVDT), a rotary variable differential transformer (RVDT), a Hall effect motion sensor, a magneto resistive motion sensor, a variable capacitor motion sensor, etc. It will be understood that, if the sensors are analog sensors, the estimation unit 30 may include one or more analog-to-digital converters which samples the analog signal and stores the sampled signal in a memory within the estimation unit 30. However, if the sensors are digital sensors, they may supply digital signals directly to the estimation unit 30 which may then store those signals in memory in any desired manner. Moreover, if two or more signals are being collected, the estimation unit 30 may store these signals as components of data points associated with any particular time. For example, each data point at time T1, T2, . . . Tn may have an input command signal component, a pressure signal component, an actuator travel signal component, etc. Of course, these data points or components thereof may be stored in memory in any desired or known manner. Furthermore, while the estimation unit 30 has been indicated as being separate from the process control device 13 (such as, for example, being located in a host device), this unit can instead be internal to the process control device 13 or any other process control device (e.g., field device) in a process control network. If the process control device 13 is a micro-processor based device, the estimation unit 30 can share the same processor and memory as that already within the process control device 13. Alternatively, the estimation unit 30 may have its own processor and memory. Thus, it is contemplated that the statistical analysis may be performed in the device in which the measurements are made (such as in any field device) with the results being sent to a user display or to a host device for use or, alternatively, the signal measurements may be made by a device (such as a field device) with such measurements then being sent to a remote location (such as a host device) where the statistical analysis is performed. In one embodiment, the parameter estimation device 30 determines the friction, dead band, dead time or other process control loop parameter of one or more segments of travel of the process control device 13 (or other device within the process control loop 10) using a statistical analysis based on measurements taken while the process control device 13 is operating on-line within a process environment. In general, to develop a parameter estimate, the estimation unit 30 samples one or more signals within, for example, the process control device 13 and stores the sampled data in memory 44. If desired, the estimation unit 30 may manipulate the data to eliminate unneeded data, outliers, etc. either before or after storing the collected data in memory. After collecting enough data to be able to determine a statistical estimate of a desired process parameter for at least two segments of travel, the estimation unit 30 uses a statistical analysis routine, which may be stored in the memory 44 associated with the estimation unit 30 and implemented on a microprocessor within the estimation unit 30, to calculate an estimate of the process parameter for each of the segments. Of course, the estimation unit 30 may use any desired statistical analysis routine or procedure. Some example statistical analysis routines for certain parameters which may be implemented using an appropriately written computer program or algorithm stored within and implemented by the estimation unit 30 will be discussed in more detail herein. After calculating a set of parameter estimates, the estimation unit 30 may display the estimates on a display device 38 which may be, for example, a CRT screen, a printer, a voice generator, an alarm, or any other desired communication device. Of course, the estimation unit 30 may alert the user to the values of the estimates in any other desired manner. A user input unit 40 may be connected to the estimation unit 30 in a wired or wireless manner. The user input unit 40 may be a full or a limited keyboard, a pointing device such as mouse, or any other means of entering data known in the art. By using the input unit 40, an operator or a technician may specify such parameters as the number of segments of travel, the size of a segment, the time interval for periodic signal capture, and the duration of a period during which signal data is collected by the parameter estimation unit 30. Additionally or optionally, an operator, a technician, or an otherwise authorized user may use a computer workstation 42 to enter one or more parameters listed above (number of segments, segment size, etc). The computer workstation may be connected to the parameter estimation 30 and may include an inputting means such as a keyboard and a display. It will be appreciated that the analysis of the data and other work related to processing data collected from the sensors 32-37, sensor 22, as well from process 20 may be carried out by the parameter estimation unit 30 in cooperation with the workstation 42, or by one of the parameter estimation unit 30 or the workstation 42. In those embodiments where the estimation unit 30 takes measurements of the required data while the process control device 13 is operating on-line, the estimation unit 30 does not require the process control device 13 to be taken off-line or out of the normal operating environment. In these embodiments, because the estimation unit 30 is connected to the process control loop 10 and measures the signals necessary to make the statistical estimation of certain process parameters during normal operation of the process control loop 10, the estimation unit 30 determines the process control device parameters continuously without interfering with the operation of the process 20 or the process control loop 10. Alternatively, the estimation unit 30 may estimate segment-specific process parameters by processing online data in post-time, or even by processing offline data. For example, the estimation unit 30 may process data corresponding to the measurements of one or several parameters of the control loop 10 collected over a period of time and stored in a memory. To this end, some of the embodiments of the estimation unit 30 may include additional interface with such peripheral devices as a CD/DVD drive, for example, in order to enable the estimation unit 30 to efficiently access offline data. Further, the estimation unit 30 may cooperate with a persistent storage device such as the memory unit 44. Because some of the contemplated embodiments of the estimation unit 30 may include little or no internal memory, the memory unit 44 may store real time and/or historical data related to the operation of the control loop 10. In another embodiment, the parameter estimation unit 30 may reside inside a computer host such as a stationary or portable workstation which, in turn, may be disposed inside or outside the single-output process control loop 10. For example, the parameter estimation unit 30 may be a software application stored in a memory of a workstation receiving measurement data from the transmitter 22 and at least some of the sensors 32-37 via any suitable communication means, including those known in the art. More specifically, some or all of the transmitter 22 and the sensors 32-37 may report measurements related to the operation of the process control loop 10 via a digital bus, a wireless link, or a combination thereof. In some embodiments, the parameter estimation unit 30 may include both software and hardware components such as dedicated processors or memory, for example. In yet another embodiment, the parameter estimation unit 30 may be a software application distributed over several hardware components. While the parameter estimation unit 30 may be programmed or configured to determine any desired process or device parameter using any desired statistical analysis, particularly useful statistical approaches for determining a friction estimate, a dead band estimate, a dead time estimate, and a shaft windup estimate are described in detail herein. However, the present invention is not limited to the use of any of these approaches and, furthermore, is not limited to the determination of segment-specific estimates for only these specific parameters; it being understood that other statistical approaches can by used to determine these or other device or process parameters according to the present invention. One approach to determining a friction estimate for a process control device, such as a sliding stem valve or a rotary valve, is to have the estimation unit 30 collect data pertaining to the actuator travel or position (sensed by, for example, the position sensor 37 of FIG. 1) and the actuator pressure (sensed by, for example, the pressure sensor 34 or 36 of FIG. 1) for the valve over a particular time period. Typically, the collected data will be stored in memory as a series of data points, wherein each data point has an actuator pressure component derived from the measured actuator pressure signal and an actuator position component derived from the measured actuator position or travel signal. Of course, it will be understood that the actuator pressure and actuator position components of any data point should relate to the same time. Thus, it is preferable, when using two or more measured signals, to sample those signals at the same time to thereby produce time correlated data. FIG. 2 illustrates a plot 100 of actuator pressure versus actuator position for a typical sliding stem valve. In particular, each point of the plot 100 corresponds to a concurrent measurement of actuator pressure and actuator position. The plot 100 corresponds to a single cycle of operation during which the actuator operates through a friction zone. Those skilled in the art will appreciate that upon a reversal of direction, the moveable element of the valve operates through a friction zone in which the applied pressure increases or decreases a significant amount with little or no resulting movement of the moveable valve element. This friction zone, which is caused by friction within the valve, is generally indicated by the more vertical lines 110 in FIG. 2. Upon exiting the friction zone, the moveable valve member then moves a significant amount with relatively little change in the applied pressure. This operation is generally indicated by the more horizontal lines 114 in FIG. 2. Of course, other methods of representing the relationship between actuator pressure and actuator position are also available. For example, actuator pressure and actuator position can be plotted separately versus time. By aligning the two resulting plots along the same timeline, the plots can be simultaneously analyzed to detect the amount of pressure required to enable the movement of the actuator. Thus, one of ordinary skill in the art will appreciate that the exemplary plots discussed herein are presented by way of illustration only. One of ordinary skill in the art will further appreciate that a single cycle of operation generally fails to provide sufficient indication of how well a sliding stem valve operates. In particular, the location of the friction zone of a valve depends upon the position of the moveable valve element with respect to the operating range of the element. Referring to FIG. 3, a plot 120 represents the operation of the moveable valve element through 80% of the range of operation during a plurality of cycles. As in FIG. 2, the plot 120 includes multiple vertical lines, each corresponding to a friction zone for a particular cycle of operation. Also as in FIG. 2, the more horizontal lines of the plot 120 correspond to those regions of travel where the stem moves due to a relatively small change in pressure. As discussed above, the known methods of estimating process variables fail to indicate that the sliding stem of this valve does not encounter the same force of friction at different segments. For example, estimating the average friction for the entire 0% to 80% range of travel illustrated in FIG. 3 effectively filters out the difference between the friction in the 0-10% range of travel and the friction in the 10%-20% range. In other words, estimating the average friction value based on the data illustrated in FIG. 3 by the known means can only produce a single value. This single value fails to reflect the existence of one or more localized problems, much less the location of these problems within the available range of travel. In one of the disclosed examples, these deficiencies are overcome by logically dividing the range of travel into several regions, each region having a particular size. It will be further understood that the size of a region may correspond to a number of data points associated with the region, percentage of travel associated with the region, an absolute measurement (e.g., degrees of rotation) associated with the region, amount of time during which process measurements were collected for the particular region, as well as other methods of dividing a range of travel known in the art. As illustrated in FIG. 3, the exemplary plot 120 includes the regions 121-128. In this particular example, the 0%-80% range of travel is divided into eight non-overlapping segments of equal size. However, some of the possible embodiments may involve regions having different sizes. For example, it is contemplated that in some applications, certain ranges of travel may be associated with a higher probability of failure. For example, operators or manufacturers may observe, based on either theoretical or empirical data, that a certain sliding stem valve is more likely to break in the 40-50% range of travel after a prolonged use. The operator may then decide to define segments in such a way that the 40-50% range of travel has more segments than all other ranges. Thus, the operator may partition the 40-50% range of travel in 5 separate regions while defining only 1 region for the 30-40% range of travel. Further, the operator may define at least partially overlapping segments to improve the reliability of certain statistical inferences, for example. Although the examples discussed below refer only to embodiments relying on non-overlapping, equal-sized segments, it is contemplated that in certain applications, the range of travel may include overlapping segments, segments of variable size, or both. Meanwhile, the range of travel of a typical rotary valve may be divided into a plurality of regions based on a fixed or variable number of degrees. FIG. 4 illustrates a plot 150 of actuator pressure versus actuator position for a typical rotary valve. Similarly to a sliding stem valve, the moveable element of a rotary valve operates, upon a reversal of direction, through a friction zone in which the applied pressure increases or decreases a significant amount with little or no resulting movement of the moveable valve element. As is also the case with a sliding stem valve, the plot of actuator pressure versus radial position of the actuator includes several substantially vertical lines. These lines correspond to friction zones in which there is little or no movement of the actuator in response to a change in pressure applied to the actuator. In accordance with the available method of measuring valve friction, the data points associated with the entire available range of motion are processed to estimate the average friction. However, the rotary valve may encounter friction in the range between 10 and 12 degrees, illustrated as region 152 in FIG. 4, which is significantly different from friction encountered in the range between 14 and 16 degrees, for example. Moreover, each of the regions 152-158 may have a friction value significantly different from the average friction value associated with the plot 150. For example, the friction in the region 152 may be too low while the friction in the region 156 may be too high. Meanwhile, the average friction of the rotary valve, as obtained from the plot 150 viewed as a single undivided set of data, may be equal or acceptably close to a value indicative of a healthy status of the valve. To properly detect localized problems, calculate a difference between various segments of travel, and obtain other indications of valve operation, the parameter estimation unit 30 may separately calculate such parameters as friction, dead band, shaft windup, etc. for some or all of the segments 121-128 or 152-158. In some embodiments, an authorized user may configure the parameter estimation unit 30 with the number of segments associated with the available range of travel. Optionally, the user may specify the size and the boundaries of each segment. In some embodiments, the user may also specify whether one or more segments to which the calculation should be restricted. However, it is expected that most operators would generally prefer to process the entire available set of data to reduce the probability of inadvertently overlooking a segment or sub-range of the travel in which a problem has developed. The parameter estimation unit 30 may iteratively step through the data corresponding to each of segments 121-128 or 152-158 to detect each segment-specific value of friction, dead band, dead time, shaft windup, etc. Referring again to the data points forming the plots 100 and 120, the more vertical sections of the plots represent regions of little or no actuator movement in response to a substantial change in actuator pressure. Thus, for the given segment of travel, the data points within the friction zone are discarded to thereby leave data generally corresponding to that which borders or to that which is outside the friction zone. The approach for selecting the reduced data set differs slightly depending upon whether the valve is a sliding stem valve or a rotary valve. For a sliding stem valve, the sliding stem is typically stationary within the friction zone. As a result, for a these types of valves, the reduced data set may be formed by including only those points at which actuator movement is actually occurring. A point may be included in this set, for example, if the difference between the actuator position of the point and the actuator position of the previous point exceeds a predetermined threshold. For example, when the difference between the actuator position of consecutive points exceeds 0.01% of full travel, the second point may be selected as a point within the reduced data set (i.e., wherein the actuator is actually moving). Of course, any other desired threshold may apply and any other method of determining actuator movement may be used instead to generate the reduced data set. For a rotary valve, the data that outlines or borders the friction zone may be determined by evaluating one or more conditions. First, the slope of the actuator pressure versus actuator position may be computed at each point and then compared to a threshold. The slope at a point may be computed by determining the point-to-point slope at a given point (i.e., the slope of a line drawn between the point in question and the previous or next point), by taking the slope of a best fit line (such as a line developed using a least squared error analysis) determined from the point in question and two or more surrounding points, or in any other desired manner. If the computed slope at a point is less than the threshold, then the point may be chosen for the reduced data set because that point is outside of the friction zone. On the other hand, if the slope is greater than the slope threshold, then the data point is within the friction zone and may discarded. If desired, the slope threshold may be predetermined or predefined (e.g., by an operator) or may be defined as a function of the slope of a best fit line (e.g., a least squared error line) through all of the collected data. Next, the change in pressure between consecutive points may be calculated and, if the pressure change is greater than a predetermined threshold of, for example, 0.05%, then the point is considered to be within the friction zone. It will be understood that one or both of these conditions may be used to determine if a point is within the friction zone or not. Thus, for example, if either the slope threshold or the pressure threshold of a point is exceeded, then the point may be considered to be within the friction zone and discarded. Alternatively, a point may be considered to be within the friction zone only when both the slope and the pressure threshold are exceeded. Furthermore, if desired, either one or both of these approaches may be used with sliding stem valves. After generating the reduced data set as described above, the remaining data points typically fall within one of two clusters bordering the friction zone of the valve device. After the reduced data set is formed, it is beneficial to detrend the data, that is, remove any linear trends caused by, for example, the actuator spring force (which varies over the range of the actuator movement). There are many approaches to estimating the best fit line to the data for detrending the data. For example, one approach is to calculate the best fit line through all of the data within the reduced data set. In other cases, it may be preferable to fit a separate line to the data on each side of the friction zone, i.e., use a separate line fit routine for each of the clusters. One of ordinary skill in the art will further appreciate that various alternative methods of reducing data sets, detrending data, calculating the best fit line, as well as other statistical techniques may be used to analyze the data. After the data associated with one or more segments is reduced and/or detrended, the parameter estimation unit 30 may estimate the segment-specific value of a process parameter. For example, the estimation unit 30 may obtain the friction of a sliding stem valve in the 20%-30% range of travel by applying the method disclosed in the U.S. Pat. No. 6,466,893, or by applying any other method to the complete or reduced data set. The estimation unit 30 may then transmit the results of calculating one or segment-specific estimates to the display 38 or to any other output device. FIG. 5 illustrates a histogram 200 which includes bars 201-208. Each of the bars 201-208 corresponds to one of the segments 151-158 of the plot 150 illustrated in FIG. 3. In particular, the height of each bar may represent the value of friction associated with one of the travel segments. It will be appreciated that the histogram 200 visually communicates segment-specific friction of the segments 151 to 158 in a clear, easy-to-understand manner. By quickly looking over the histogram 200, the operator may immediately suspect that the valve 18 may be sticking in the segment 204 or that the packing of the valve 18 may have degraded in regions 201-203 and 208. The operator may then physically inspect the valve, compare each of the potentially faulty segments to a predefined value, or take other suitable action to confirm or disprove the suspicion. Additionally, the display unit 38 may display the plot 120 along with the histogram 200 in order for the operator to visually confirm the preliminary results he or she reaches by noticing a particularly high or low histogram bar. To continue with the example discussed above, the operator or engineer may wish to confirm that the histogram bar 204 in fact corresponds to that portion of the plot 150 where the clusters of data points forming the more horizontal sections of the graph are spaced farther apart than in the other segments. Of course, the plot 150 illustrates the complete data set prior to exclusion of the more points associated with friction zones and prior to detrending the set. However, as evidenced by the overall shape of the plot 150, the operator may nevertheless recognize that the clusters of points that appear stretched in a vertical dimension tend to indicate higher values of friction (when the axis of ordinates is selected for pressure and the abscissa is selected for travel). In another embodiment, the estimation unit 30 stores threshold values associated with some or all of the friction, dead band, dead time, or shaft windup. Preferably, the estimation unit 30 stores a low threshold value and a high threshold value to detect both excessive and insufficient values of these process parameters. For example, the estimation unit 30 may store a high threshold friction value of 1100 lbf and a low threshold friction value of 300 lbf. In operation, the estimation unit 30 may identify each of the histogram bars 201-208 having a height outside the 300-1100 lbf range. By analyzing the example histogram 200 illustrated in FIG. 5, the estimation unit 30 may thus determine that the regions corresponding to the bars 201, 202, 204, and 207 have unacceptable friction values. In response to detecting one or more such values, the estimation unit 30 may trigger an alarm, create an entry in an even log, initiate a message to the operator, or perform a similar operation. In some embodiments, the estimation unit 30 may additionally calculate the overall average associated with the range of travel including all segments 121-128 of a sliding stem valve and the segments 152-158 of a rotary valve. It is contemplated in some applications, it may be desirable to identify which sections of travel of a moveable part, if any, differ from the overall average by a certain number of standard deviations, for example. To this end, the estimation unit 30 may obtain the average friction value for the relevant range of travel, compare the friction of each individual segment to the average friction value to calculate the standard deviation, and identify those segments which significantly differ both from the average and from the rest of the segments. This approach may be useful in investigating the wear and tear characteristics of a device, for example. In yet another embodiment, the display unit 38 may render the highest and lowest histogram in a color different from the rest of the histogram 200. In this manner, the display unit 38 may direct the operator's attention to the relevant (i.e., potentially problematic) sections of the histogram. Thus, an operator responsible for a large number of control loops 10, valves 18, or other devices having moveable components, may quickly notice the potential problems even when paying relatively little attention to a console monitor. Of course, the estimation unit 30, the display unit 38, and other components may also provide other visual or audio means of attracting human attention to values significantly deviating from a predefined norm or from the average associated with a particular device. As indicated earlier, the method discussed above with respect to estimating friction may be also applied to calculate other process variables such as shaft windup, for example. FIG. 6 illustrates two plots of the actuator pressure versus actuator travel for movement of a rotary valve in one complete cycle through the friction zone. In particular, the plot 230 includes data points corresponding to approximately 10.2 to 10.7 degrees of travel of a particular rotary valve. Meanwhile, the plot 250 illustrates the movement of the same valve between approximately 11.2 and 11.7 degrees of travel. As illustrated in FIG. 6, shaft windup is the movement of the valve element which occurs before the actuator pressure reaches a maximum (or minimum) value which causes continued movement of the valve element. As one will easily notice through a cursory inspection of FIG. 6, the plots 230 and 250 form different geometric shapes. Specifically, the plot 250 includes a steeper slope of the section in which the shaft windup occurs. Thus, an operator may recognize that the shape which appears more stretched out in the horizontal dimension corresponds to a greater windup value. Of course, as illustrated in FIG. 4, the estimation unit 30 preferably collects or otherwise obtains data for multiple cycles of operation. Thus, after collecting actuator pressure versus actuator travel data for a valve, the estimation unit 30 may compute the shaft windup at any particular occurrence and then estimate the shaft windup associated with a particular segment of travel as the average or median of all shaft windup occurrences within this segment. To determine the shaft windup in any particular instance, the estimation unit 30 may monitor the slope of the actuator pressure versus the actuator travel curve. Shaft windup generally starts where the magnitude of the slope increases significantly (or becomes greater than a certain amount) and ends where the magnitude of the slope decreases significantly (or becomes less than a certain amount). As will be understood, the actuator travel movement (typically expressed as a percent of full travel) between the start and end points is the shaft windup for the particular occurrence. Upon estimating shaft windup values for one or more segments of travel, the display unit 38, the computer workstation 42, or other unit having a display may represent the results graphically, as in a histogram 270, for example (FIG. 7). Each of the bars 272-278 may correspond to a certain amount of travel. In the example illustrated in FIG. 7, the bar 276 appears significantly lower that the bars 272, 274, and 278. By inspecting the histogram 270, an operator may immediately determine that, at the very least, shaft windup of the rotary valve is not the same for each section of travel. The operator may then manually check whether the bar 272 points to an unacceptably low windup in the section of travel between 14 and 16 degrees, or whether the bars 272, 274, and 278 indicate excessively high windup values. In a similar manner, the method may be applied to dead time and dead band estimates for sliding stem valve, a rotary valve, or other device having a component which moves through a predefined range of travel. For example, the estimation unit 30 may calculate the dead band associated with a particular section of travel by assuming that the dead band is mostly caused by friction and dividing the friction estimate for the segment by the open loop gain of the device. Segment-specific estimates of the dead band of the device may be similarly displayed in form of a histogram, automatically compared to one or more thresholds, and/or statistically processed to determine the average value and the standard deviation. Further, the estimate unit 30 may trigger an alarm for one or more segments significantly deviating either from the predefined values or from the calculated average. Additionally, the estimation unit 30 may generate segment-specific dead time estimates for the valve 18 or other moveable component of a device operating in the control loop 10. To this end, the estimation unit 30 may perform cross-correlation analysis of the input signal (such as that produced by the controller 12 and measured by the current sensor 32 of FIG. 1) and the actuator travel or position signal (such as that measured by the position sensor 37 of FIG. 1). The estimation unit 30 may perform this or other type of statistical correlation analysis for a given segment travel of travel to determine a time shift between the output and the input signals. In addition to calculating segment-specific process parameters of the control loop 10 for a particular set of measurements, the estimation unit 30 may also generate trend data for one or more of these parameters. More specifically, the estimation unit 30 may store measurements related to one or more of friction, shaft windup, dead time, and dead band over a substantially long period of time (days, months, years, etc.). In some contemplated embodiments, the estimation unit 30 includes or cooperates with a persistent memory such as the memory unit 44. Upon obtaining a segment-specific measurement, the estimation unit 30 may store the measurement along with a timestamp in the persistent memory. Alternatively, the estimation unit 30 may periodically generate and store “snapshots” of some or all of the calculated segment-specific process parameters. Preferably, the estimation unit 30 stores friction and other parameters for each segment of travel to enable trending of multiple segments over time. The estimation unit 30 may then plot trend data for one or more segments in response to receiving a request from the operator via the input unit 40, for example. Alternatively, the estimation unit 30 may plot trend data upon timer expiration and according to some predefined schedule. It will be appreciated that average data for a particular segment may yield such non-obvious information about the valve actuator or some other moveable part as, for example, the average rate of deterioration over a predefined period, unexpectedly low or high changes over a period of time, etc. Additionally, trend data for several segments may be used to identify one or segments which may deteriorate at a faster rate than the rest of the segments or a predefined target rate. Referring to FIG. 8, the plot 300 illustrates the measurements of friction for two segments of actuator travel trended over a six month period. In this example, the symbol 302 marks average measurements corresponding to a segment of travel between 30% and 40%, and the symbol 304 represents average measurements of the 20% to 30% travel for a certain sliding stem valve. It will be appreciated that the example plot 300 illustrates a faster loss of friction in the 30%-40% segment of travel. As illustrated in FIG. 8, the initial measurement of friction for this segment appears to be significantly higher than the friction of the 20%-30% segment of travel. However, the friction of this segment drops from approximately 1900 lbf in January to about 500 lbf in July, whereas the decrease in friction associated with the 20%-30% segment of travel drops from about 1500 lbf to about 700 lbf. By observing the trend illustrated by the plot 300, an operator or engineer may conclude, for example, that the wear of the moveable component (or of the static component interacting with the moveable component) is more pronounced in the 30%-40% segment of travel. One of ordinary skill in the art will further appreciate that engineers may apply this data to improve the future design of the device or of the moveable components used in the device. On the other hand, trend data collected and plotted for a single segment of travel may also yield important information, such as an approximate measurement of the rate at which a parameter of a device changes over time. To continue with the example of friction measurements illustrated in FIG. 8, the estimation unit 30 may calculate the best fit line (not shown) for all measurements marked with the symbol 302 in FIG. 8. The slope of the best fit line will yield an estimate of the rate of loss of friction associated with the 30%-40% segment of travel. Of course, the estimation unit 30 may also apply other statistical methods to trend data associated with an individual segment to determine the rate of change and other characteristics of the corresponding trend. FIG. 9 illustrates a block diagram of a software routine 330 that may be executed on the estimation unit 30 to estimate friction for each of several travel segments of a valve actuator. One of ordinary skill in the art will also appreciate that the software routine 330 may also run on a workstation 42, on a computer communicatively coupled to the control loop 10, or on a standalone computer or other intelligent device capable of receiving process measurement data via a memory drive, a wired or wireless modem, or via any other communication or storage means known in the art. As indicated above, the software routine 330 may also be a part of a software package responsible for other control and maintenance functions of the control loop 10 or of the valve 18. For example, the AMS ValveLink® software package, available from Emerson Process Management, may include the software routine 330 as a component. It will be further appreciated that the software routine 330 may process data arriving from the control loop 10 in substantially real time or, alternatively, may perform post-time processing of data received from the sensors 32-37 and stored in the memory unit 44, for example. Moreover, the software routine 330 may interact with an external storage device during operation to obtain measurement data. In general, the software routine 330 may retrieve actuator pressure and position data from an array or a linked list. In one contemplated embodiment, the estimation unit 30 or the memory unit 44 may store this data in a two dimensional array indexed by a relative or absolute time counter. For example, the array may store a plurality of tuples, each tuple including a measurement of actuator pressure and actuator position collected at the same point in time. As illustrated in FIG. 9, the software routine 330 may step through the array and update a corresponding segment-specific friction estimate with the information stored in the current array element. In particular, the software routine 330 may initialize an array counter, k, at a block 332. Next, the software routine may iterate through some or all of the blocks 334-348 as many times as the number of elements in the array storing the pressure in position data. In a block 334, the routine 330 may check whether the current value of the counter k has exceeded the size of the array. Next, the routine 330 may read the pressure/position tuple from a single or multiple arrays in a block or step 336. In the example routine illustrated in FIG. 9, actuator position data is stored in an array travel and actuator pressure data is stored in a similarly indexed array differential_pressure. However, both pressure and actuator data could also be stored in a single two-dimensional array. Preferably but not necessarily, each tuple retrieved in the step 336 corresponds to the actuator position and actuator pressure measured after a constant interval relative to the previous tuple retrieved in the step 336. In other words, the estimation unit 30 preferably samples position and pressure data at a constant rate. In a block 338, the routine 330 initializes the segment counter s to 0. The procedure 300 then steps through the segments to determine to which segment the array element indexed by the current value of k belongs (blocks 340-344). In one possible embodiment of the procedure 330, each segment includes the same number of pressure/position tuples. Alternatively, the routine 330 may retrieve the definition of segments, including the number of segments and/or boundaries of each segment, from a persistent or volatile memory as part of configuration data. As yet another alternative, the routine 330 may receive the definition of segments as input parameters. A user may enter these parameters via the input device 40 or workstation 42. Once the routine 330 matches the pressure/position tuple with one of the segments, the routine 330 may update the average segment-specific friction value with the data included in the tuple (block 346). The procedure 330 may apply of the methods discussed above in reference to FIGS. 2-5, for example. The routine 330 may then increment the value of k in a block 348 and return to the block 334 for the next iteration. When the counter k exceeds the size of the array or when the number of segments exceeds a predefined, predetermined, or otherwise specified limit, the routine 330 exits (blocks 350 and 352). It will be also appreciated that the estimation unit 30 may calculate segment-specific values for dead band, dead time, and shaft windup by executing logic similar to the routine 330. To this end, the estimation unit 30 may include additional routines or may include the logic for estimating the dead band, dead time, and shaft windup as part of the routine 330. While the present invention has been described with reference to specific examples, which are intended to be illustrative only and not to be limiting of the invention, it will be apparent to those of ordinary skill in the art that changes, additions and/or deletions may be made to the disclosed embodiments without departing from the spirit and scope of the invention.
058621962
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 1 shows a boiling water reactor fuel assembly 1 which comprises an elongated tubular container having rectangular cross section, referred to as fuel channel 2. The fuel channel 2 is open at both ends so as to form a continuous flow passage that the coolant of the reactor flows through. The fuel assembly 1 comprises a large number of equally elongated tubular fuel rods 3, arranged in parallel in a bundle, in which pellets 4 of a nuclear fuel are arranged. The fuel rods 3 are retained at the top by a top tie plate 5 and at the bottom by a bottom tie plate 6. The fuel rods 3 are kept spaced from each other by means of spacers 7 and are prevented from bending or vibrating when the reactor is in operation. FIG. 2 shows a pressurized-water reactor fuel assembly 1 which comprises a number of elongated tubular fuel rods 3 and control rod guide tubes 8 arranged in parallel. The fuel rods 3 contain pellets 4 of a nuclear fuel. The control rod guide tubes 8 are retained at the top by a top nozzle 5 and at the bottom by a bottom nozzle 6. The fuel rods 3 are kept spaced from each other by means of spacers 7. FIGS. 3a-b show, in perspective views, a spacer 7 with an orthogonal latticework. FIG. 3 shows a spacer 7 composed of sleeves 9. Each sleeve 9 is intended to position an elongated element extending therethrough, for example a fuel rod 3 or a control rod guide tube 8. FIG. 3b shows a spacer 7 composed of cells 9. Between the fuel rods 3 arranged in the cells 9 and the sleeves 9, respectively, channels 10, referred to as secondary channels, are arranged. FIGS. 3c-d show, in a top view and a side view, respectively, a latticework of sheet-metal strips 9a, 9b. The strips are arranged crosswise and standing on edge, and are surrounded by a frame 9c. FIGS. 4-13 illustrate several alternative embodiments of guide vane trees according to the present invention. FIGS. 4-8 show an example with two, three or four branches arranged at a trunk in the form of a substantially rectangular plate arranged parallel to the substantial coolant flow. FIGS. 9-13 show alternative embodiments of the guide vane tree. FIG. 4a shows a blank for a guide vane tree 11 with a first and a second folding line 12a, b. The guide vane tree also include a center line 13 where the angle of the folding lines 12a, b to the center line 13 is designated .mu..degree. and shown to be 45.degree.. A first tab 14a to the left of and above the first folding line 12a is intended to be folded 90.degree. around the first folding line 12a in a direction towards the viewer. A second tab 14b to the right of and above the second folding line 12b is intended to be folded 90.degree. around the second folding line 12b and in a direction away from the viewer. When the first and second tabs 14a, b are folded down, an appearance of the guide vane tree 11 from the side as shown in FIG. 4b is obtained. FIG. 4c shows a top view of the guide vane tree 11 arranged between four fuel rods 3. The tree 11 is attached to a structure comprised by the spacer 7. The structure consists of sheet-metal strips, arranged crosswise and standing on edge, which form cells through which the fuel rods 3 run. FIG. 5a shows a blank for a guide vane tree 11 with a first, a second and a third folding line 12a, b, c and a center line 13. The blank is provided with a slit 15a arranged between the second 12b and third 12c folding lines and the center line 13. A first tab 14a to the left of and above the first folding line 12a is intended to be folded 90.degree. around the first folding line 12a, in a direction towards the viewer. A second tab 14b to the right of and above the second folding line 12b is intended to be folded 90.degree. around the second folding line 12b, in a direction away from the viewer and 90.degree. around the center line 13, in a direction towards the viewer. A third tab 14c arranged to the right of and above the third folding line 12c is intended to be folded 90.degree. around the third folding line 12c and in a direction away from the viewer. When the first, second and third tabs 14a, b, c are folded to their final positions, an appearance of the guide vane tree 11 from the side as shown in FIG. 5b is obtained. FIG. 5b also shows a fourth and fifth folding line 16a, b on each side of the center line 13. On each outer side of the fourth 16a and fifth 16b folding lines, respectively, a first 17a and a second 17b attachment tab are folded around the fourth 16a and fifth 16b folding lines, respectively, in a direction towards the viewer. The angle for the folding is adapted to the available space. Further, the blank is folded around the center line 13 in a direction away from the viewer so as to form an angle .differential..degree. of 120.degree. in FIG. 5c, between the fourth 16a and fifth 16b folding lines. FIG. 5c shows the guide vane tree 11 in a view from above arranged between four fuel rods 3. The tree 11 is attached to a structure comprised by the spacer 7. The structure consists of sheet-metal strips, arranged crosswise and standing on edge, forming cells through which the fuel rods 3 are running. FIGS. 6a-c show a guide vane tree of a particularly advantageous design. FIG. 6a shows a blank for a guide vane tree 11 with a first, a second, a third, and a fourth folding line 12a-d and a center line 13. The blank includes with a first slit 15a arranged between the second 12b and third 12c folding lines and the center line 13. The blank also includes a second slit 15b arranged between the third 12c and fourth 12d folding lines and the center line 13. A first tab 14a to the left of and above the first folding line 12a is intended to be folded 90.degree. around the first folding line 12a, in a direction towards the viewer. A second tab 14b to the right of and above the second folding line 12b is intended to be folded 90.degree. around the second folding line 12b, in a direction away from the viewer and 90.degree. around the center line 13, in a direction away from the viewer. A third tab 14c is arranged to the right of and above the third folding line 12c and is intended to be folded 90.degree. around the third folding line 12c and in a direction away from the viewer and 90.degree. around the center line 13, in a direction towards the viewer. A fourth tab 14d arranged to the right of and above the fourth folding line 12d is intended to be folded 90.degree. around the fourth folding line 12d, in a direction away from the viewer. When the first, second, third, and fourth tabs 14a-d are folded to their final positions, an appearance of the guide vane tree 11 from the side as shown in FIG. 6b is obtained. FIG. 6c shows the guide vane tree 11 in a view from above arranged between four fuel rods 3 in a structure of sleeve spacers 9 of the type shown in FIG. 3a. FIG. 6b also shows a fifth 16a and a sixth 16b folding line on each side of the center line 13. FIG. 6d shows that, on the outer side of the fifth folding line 16a, a first attachment tab 17a is folded around the fifth folding line 16a in a direction away from the viewer. On the outer side of the sixth folding line 16b, a second attachment tab 17b is folded around the sixth folding line 16b in a direction towards the viewer. FIG. 6d shows the guide vane tree 11 in a view from above arranged between four fuel rods 3. The guide vane tree 11 is attached in a structure comprised by the spacer 7. The structure consists of sheet-metal strips, arranged crosswise and standing on edge, forming cells through which the fuel rods 3 run. The somewhat twisted attachment of the guide vane tree 11 at an angle .beta..degree., in FIG. 6d shown to be 20.degree., gives an optimum cooling of the surrounding fuel rods 3. The location of the guide vane tree 11, rotated through an angle of .beta..degree., may also be used for spacers 7 of the type indicated in FIG. 3a. FIGS. 7a-e show a guide vane tree 11 with four guide vanes 14a-d, as shown in FIG. 6, but where two of the guide vanes 14a, b are placed at the same level. FIG. 7a shows a blank for a guide vane tree 11 with a first, a second, a third, and a fourth folding line 12a-d as well as a center line 13. The blank includes with a first slit 15a arranged between the second 12b and third 12c folding lines and the center line 13. The blank also includes a second slit 15b arranged between the third 12c and fourth 12d folding lines and the center line 13. The first tab 14a to the left of and above the first folding line 12a is intended to be folded 90.degree. around the first folding line 12a, in a direction towards the viewer. The second tab 14b to the right of and above the second folding line 12b is intended to be folded 90.degree. around the second folding line 12b, in a direction away from the viewer and 90.degree. around the center line 13, in a direction away from the viewer. The third tab 14c is arranged to the right of and above the third folding line 12c and intended to be folded 90.degree. around the third folding line 12c and in a direction away from the viewer and 90.degree. around the center line 13, in a direction towards the viewer. The fourth tab 14d arranged to the right of and above the fourth folding line 12d is intended to be folded 90.degree. around the fourth folding line 12d, in a direction away from the viewer. When the first, second, third, and fourth tabs 14a-d are folded to their final positions, an appearance of the guide vane tree 11 from the side as shown in FIG. 7b is obtained. FIG. 7c shows the guide vane tree 11 in a view from above arranged between four fuel rods 3 in a structure of sleeves 9, also shown in FIG. 3a. FIGS. 8a-d show a side view of the attachment of a guide vane tree 11 of the same type as that shown in FIG. 6b. FIG. 8a shows an attachment where the guide vane tree 11 is intended to project outside the upper edge of the spacer 7, this upper edge being arranged at the reference numeral 18. FIG. 8b shows an attachment where the guide vane tree 11 is intended to be arranged fully immersed into the spacer 7. This is a particularly advantageous embodiment when the guide vane tree is fully integrated into the structure. The immersed location gives the tree good protection against external influence during, for example, mounting of fuel rods. FIG. 8c shows a side view of how the guide vane tree 11 may be attached on one side to the spacer 7. This one-sided attachment is also clear from FIG. 8d, showing a view from above. The guide vane tree 11 may, of course, also be attached on three or four sides, although this is not explicitly shown in any figure. FIG. 9a shows a side view of a guide vane tree 11, the trunk of which consists of a sheet-metal strip twisted into a helical spring 19. Four guide vanes 14 are attached to the outwardly facing surfaces of the helical spring 19, at different levels and with an angular pitch of 90.degree.. The guide vanes 14 consist of square plates folded 90.degree. around their respective diagonals and attached to the helical spring 19 by one plate edge 14e. FIG. 9b shows a top view of the guide vane tree 11 shown in FIG. 9a. FIG. 10a shows in a view from the side a guide vane tree 11, the trunk of which consists of a sheet-metal strip twisted into a helical spring 19, as in the embodiment shown in FIG. 9. In the surfaces of the helical spring 19, along three out of four sides, four square tabs are punched out at different levels and with a 90.degree. angular pitch. The non-punched side 20 is parallel to a conceived center line 13 through the helical spring 19. The square tabs are then folded 90.degree. around a line through the non-punched side 20 and 90.degree. around a diagonal through the square tab. FIG. 10b shows a top view of the guide vane tree 11 shown in FIG. 10a. FIG. 11a shows a guide vane tree 11, the trunk of which consists of a solid pin 21 to which are attached guide vanes 14 in the same way as in FIG. 9. FIG. 11b shows a top view of the guide vane tree 11 shown in FIG. 11a. FIG. 12a shows a guide vane tree 11, the trunk of which consists of a tube 22 to which are attached guide vanes 14 in the same way as in FIGS. 9 and 11. FIG. 12b shows a top view of the guide vane tree 11 shown in FIG. 12a. FIG. 13a shows a guide vane tree 11, the trunk of which consists of a tube 22 to which are attached guide vanes 14 in the same way as in FIG. 10. FIG. 13b shows a top view of the guide vane tree 11 shown in FIG. 13a. FIGS. 14a, b show a guide vane tree 11 corresponding to that shown in FIG. 6 but where the guide vanes 14a-d exhibit an arched shape. FIG. 14a shows a side view of the guide vane tree 11 with arched guide vanes 14a-d . FIG. 14b shows a top view of the guide vane tree 11 arranged between four fuel rods 3, each surrounded by a spacer cell 9. FIG. 15 is a sketch showing the principle of how the guide vane trees 11 direct the coolant flow towards the fuel rods 3, arranged around them, in part of a fuel assembly according to FIG. 1 or 2. All the guide vane trees 11 are adapted to direct the flow in a counterclockwise direction. FIG. 16 is a sketch showing the principle of how the guide vane trees 11 direct the coolant flow towards the fuel rods 3, arranged around them, in part of a fuel assembly. The guide vane trees 11 are alternately adapted to direct the flow in a counterclockwise and a clockwise direction, respectively. Common to the exemplified guide vane trees is that the coolant, flowing up from and below, is diverted in several stages. When the coolant reaches the lowermost tab, the coolant changes direction and is deflected away from the tree. The tab arranged immediately above is reached by coolant which has already, at least partially, been diverted with the aid of the change of the direction of the coolant caused by the tabs located below. By connecting in series tabs which are arranged axially displaced, the coolant is deflected upon passage of the guide vane tree. By this series connection of axially displaced tabs, an increased cooling capacity and a reduced pressure drop are obtained in comparison with tabs positioned in a single plane. In cases where the guide vane tree is arranged immersed in the spacer structure and the spacer structure consists of a sleeve structure corresponding to that shown in FIG. 3a, part of the upwardly flowing coolant is guided by the sleeve walls and towards the guide vanes. By arranging the guide vane tree inside the spacer, the effect of the spacer is increased. The shape of the guide vane tree may be varied in many ways with respect to the size, shape, number, deflection in relation to the trunk, and location of the vanes along the trunk. In all the figures, the deflection members 14 are folded 90.degree. around the folding lines 12. For optimum function, however, an arbitrary magnitude of this angle may be chosen. The same applies to the angle .mu. of the folding lines 12 to the center line 13. In all figures the .mu. is shown as 45.degree. but may be chosen arbitrarily to achieve an optimum function. In the embodiments according to FIGS. 9-13, it may be of interest in certain cases to arrange more than four axially spaced-apart deflection members 14 along the guide vane (19, 21. 22). It is possible to construct the guide vane trees of Inconel or of a zirconium alloy.
description
This application is a national phase of International Application No. PCT/EP2007/054088, entitled “CONTAINER-LOADING CASK FOR AT LEAST ONE NUCLEAR FUEL BUNDLE, A GRIPPING DEVICE AND LOADING PROCEDUE”, which was filed on Apr. 26, 2007, and which claims priority of French Patent Application No. 06 51499, filed Apr. 27, 2006. The present invention mainly relates to a container-loading cask with at least one nuclear fuel assembly notably of fuel rods containing MOX (mixture of uranium oxide and plutonium oxide) pellets, to a device for loading at least one nuclear fuel assembly into a transport container, to a gripping means cooperating with the cask and to a loading method applying said cask and said gripping means. Nuclear fuel assemblies are formed by assembling tens of fuel rods with a small diameter relatively to their length; these fuel rods are claddings filled with nuclear fuel for example MOX pellets. These assemblies have a rectangular section with sides of several tens of centimeters, and measure several meters in length. These assemblies are generally made in the factory manufacturing the pellets and have to be transported to the nuclear power stations where they will be placed in reactors. The transport of these assemblies is carried out in secured containers, in which one or more fuel assemblies are sealably confined. During their unloading in the nuclear power plants, the containers may either be immersed or not in a pool. When the assemblies exit the containers, water enters the container. At the end of the unloading, the container is taken out from the pool. The external portions of the container are cleaned so as not to include radioactive contamination traces beyond an authorized threshold. Next, the container is sent back, closed, to the assembly-manufacturing factory for a next transport. Now, the inside of the container may contain traces of contamination. Thus, during the next filling and opening of the container, if no step is taken for protecting the outside environment from the inside of the container, the latter will be subject to contamination. The plugs of the container used for sealably plugging the cells for receiving assemblies, may also include radioactive particles on their lower face in contact with the inside of the cell. Therefore, an object of the present invention is to provide a device for loading a container with radioactive fuel assemblies, providing protection of the external environment against the inside of the container. An object of the present invention is also to provide a method for loading a container with radioactive fuel assemblies providing improved confinement. The objects stated earlier are achieved by a loading cask capable of being sealably positioned on the upper portion of the container on which are mounted the plugs sealing the storage cells, said cask including an aperture for letting through a means for removing a plug from a cell and a housing inside the cask in order to store the plug during loading. In other words, all the components in contact with the inside of a cell are confined in the cask, thereby avoiding any risk of polluting the external environment. The subject-matter of the present invention is therefore a cask for loading at least one fuel assembly in a transport container, including a body with a longitudinal axis capable of sealably covering an upper end of a container, at least one aperture for letting through a fuel assembly, and at least one means capable of maintaining a means for sealing a chamber of the container inside the cask and at a distance from an inlet of said chamber during loading. The cask may include a means for letting through a pneumatic tool intended to be connected to the container and a housing for receiving a plug when the tool is connected, said plug being intended to sealing the connecting orifice of the pneumatic tool. With the pneumatic tool, it is possible to actuate shoes for maintaining the assemblies in the cells. In a particular embodiment, the maintaining means includes a first arm rotationally mobile around a first axis and a second arm attached to the first arm and rotationally mobile relatively to the first arm, said cask also including external means for controlling the arms, and wherein the second arm includes a housing for receiving the sealing means. Advantageously, the cask includes control means for the first arm and control means for the second arm, the second arm being able to be displaced independently of the first arm. Further, the control means may be manually actuated and include assistance means for displacing the arms according to predetermined trajectories. In particular, the control means may be formed by first and second handwheels firmly attached to first and second connecting shafts respectively, said first and second connecting shafts being mechanically connected to the first and second arms respectively, a mechanical connection between the second handwheel and the second arm being positionable inside the first arm. For example, the assistance means include a fixed flange and a rotationally mobile flange with a connecting shaft, the flanges being superimposed, a flange including an imprint delimiting two extreme positions of the associated arm and an abutment borne by the other flange, so as to limit the angle of rotation between both flanges, the abutment may be formed by a movable peg placed in an orifice in the other flange facing the imprint. The flanges are for example disks. Advantageously, the mobile flange associated with the second arm is formed by the handwheel. In an exemplary embodiment, the mobile flange is distinct from the control handwheel of the first arm and jointly rotatable with the latter, and the fixed flange for the second arm is attached on the upper surface of the cask. The assistance means in an advantageous embodiment include several imprints in order to define several extreme positions. The imprints for example are circular arcs centered on the centre of the flanges. Further, the control means may include means for indexing the position of each arm. In particular, the locking means may include a peg capable of cooperating with notches made on the periphery of the mobile disk, each notch being aligned with an end of an imprint along a radius of the disk. Advantageously, the peg is elastically brought back into contact with the disk by means of a spring. Preferably, the housing is placed at a free end of the arm and includes a sealed bottom so as to collect dusts and/or debris borne by the plug. Further, the arm may also include the housing for the plug of the orifice for connecting the pneumatic tool. In a particular embodiment, the cask includes several apertures each intended to face a respective chamber of the container. The cask may include means for angularly indexing the cask relatively to the container so that an aperture of the cask is facing a chamber of the container. The indexing means may include a rib capable of cooperating with a groove. The rib for example protrudes from the inner surface of the cask and the groove is made in the outer surface of the container. Additionally, the cask may also include visual marks in order to assist with placing the cask on the container. The cask may also include at its lower end, intended to come into contact with the upper end of the container, first and second annular bearing faces, intended to be respectively supported by first and second support faces of the container, the second bearing face being positioned radially towards the inside of the cask relatively to the first bearing face, both bearing faces being connected through a sleeve extending along the longitudinal axis. In particular, the second bearing face includes an annular gasket capable of coming into contact with an upper face of the container. The gasket is an O-ring gasket for example. The cask may also include rings for allowing gripping and displacement of the cask. The cask also includes a plug for the entrance of the passage, advantageously. The passage for the pneumatic tool for tightening the assemblies is also provided with an aperture sealed by a plug. Advantageously, the plugs are in Kyowaglass® providing radiological protection and allowing the inside of the cask to be visible during operations. Kyowaglass® is a transparent methacrylic resin made by Kuraray and used in the nuclear and medical fields as a shield against radiations. For example, the cask may also include access hatches for accessing the inside of the cask. The body of the cask for example includes a wall with a polygonal shape, formed with Kyowaglass® panels, providing radiological protection and visibility of the inside of the cask, and an upper bottom of polygonal shape. The upper bottom is also in a protective material, for example in borated polyethylene plaster (BPP). The panels may be connected to each other through a component including two faces tilted relatively to each other by the desired angle between two panels and a face orthogonal to both first faces forming a support for the upper bottom of the body, the component also including a stay rod for stiffening it, a gasket being positioned between the panels and the connecting components. The cask advantageously includes as many passages as there are cells of the container for loading assemblies, for example eight of them. The first arm may also include an access hatch for accessing the mechanical connection. The cask in a particular embodiment includes a borolene crown. An subject-matter of the present invention is also a device for loading at least one nuclear fuel assembly in a transport container including a cask as described earlier and dynamic confinement means intended to be connected with a channel communicating with the inside of the chambers and capable of causing an air flow from the outside to the inside of the cask, and then to the inside of the chambers during the whole opening period of a chamber. The dynamic confinement means may include a pneumatic suction means intended to be connected to a means for collecting particles sucked up into the container. The subject-matter of the present invention is also a means for gripping a plug of a container chamber in order to place it in the cask maintaining means according to the present invention, including radially mobile fingers. Advantageously, the fingers sealably emerge from a casing including a mechanism for controlling said fingers. Further, the gripping means may include a device for detecting an abnormal force on the fingers, for example a spring with determined stiffness. Additionally, the gripping means advantageously includes at an end opposite to the one provided with gripping fingers, a ring for hooking up to a post. The subject-matter of the present invention is also a method for loading a container with a nuclear fuel assembly, including the following steps: a) placing the cask described earlier on the upper end of a container, b) connecting dynamic confinement means to the container, c) removing the plug for the pneumatic tool and placing the pneumatic tool, d) removing the plug from the first cell, e) placing an assembly in the first cell, f) replacing the plug of the first cell, g) repeating steps d) to f) if necessary, for loading other assemblies in the other cells, h) removing the pneumatic tool and replacing the plug for the pneumatic tool, i) disconnecting the dynamic confinement means, j) removing the cask. Removal of the pneumatic plug of the container and connecting-up of the pneumatic tool instead of the pneumatic plug, are performed during step c). Further, the handling of the pneumatic plug of the container and of the cell plugs is carried out with a gripping means according to the present invention. Advantageously, before removing the plug from the first cell, dynamic confinement means are actuated. In a particular embodiment, prior to removing the pneumatic plug of the container or the plugs of the cells, removal of a plug from an associated passage of the cask is carried out. Advantageously, following step d), provision may be made for placing a throat on the contour of the aperture of the chamber. In FIGS. 1-3, a cask 2 according to the present invention may be seen, including a body 4 with a longitudinal axis X, provided with a wall 6 and an upper bottom 8. In the illustrated example, the cask 2 has a substantially octagonal shape, the wall 6 is therefore with an octagonal section and the upper bottom 8 is also octagonal. The bottom 8 includes apertures 10 for letting through fuel assemblies (not shown) and sealed by removable plugs 12. The apertures are for example of a rectangular, advantageously square shape. In the illustrated example, the cask includes eight apertures and allows eight container cells A1-A8 (not shown in FIG. 1) to be filled. The references A1-A8 are placed in FIG. 1, in order to associate a particular cell with each passage 10. The bottom 8 also includes an aperture 14 also provided with a removable plug 16 in order to allow connection to a means for controlling pneumatic tightening of the shoes (not shown), also called a pneumatic tool. With this tightening control, the assemblies may be maintained in the housings after their loading in the container. The roof is advantageously made in borated polyethylene plaster (BPP) and the wall 6 is made with panels 7 in Kyowaglass® for example. The plugs 12 and 16 are also advantageously made in Kyowaglass®. Kyowaglass® is a neutrophage material, which like BPP provides biological protection. Kyowaglass® is a transparent methacrylic resin manufactured by Kuraray and used in the nuclear and medical fields as a shield against radiations. The body 4 also includes, at a lower end of the wall 6, means 20 for positioning the cask 2 on a container 18. These means 20 are stepped and include first 22 and second 24 rings connected through a cylinder 26 of axis X. The first ring 22 extends radially towards the outside of the cylinder 26 and the second ring 24 extends radially towards the inside of the cylinder 26. The first 22 and second 24 rings are orthogonal to the cylinders. Rings which are tilted relatively to the X axis, do not depart from the scope of the present invention. The cylinder may also be replaced with a cone, the section of which increases when moving away from the cask. The first ring 22 includes an upper face 28 fixedly bearing against a lower end 30 of the wall 6, and a lower face 32 intended to come into contact with a first upper end annular face 34 of the container 18. Advantageously, the upper face 28 includes, at a radially internal end, a protruding crown 36 with suitable dimensions so as to cooperate with the inner periphery of the lower end 30 of the wall 6, ensuring that the first ring 22 is transversely maintained relatively to the wall 6. The second ring 24 includes a lower face 38 intended to bear against a second upper end annular face 40 of the container 18 placed below the first annular face 34. Advantageously, a crown 42 extends from one radially internal end 44 of the second ring 24 away from the cask and is dimensioned in order to cooperate with an inner periphery of the container. In the illustrated example, the positioning means 20 are made in one piece in stainless steel. The crown provides complementary radiological protection. A sealing means 46 is also provided on the lower face 38 of the second ring 24 and is intended to come into sealed contact with the annular face 40. The gasket in the illustrated example is formed by an O-ring gasket mounted on an annular groove made in the lower face 38. The cask also includes (FIGS. 4 and 5) means 48 for angularly indexing the cask relatively to the container so as to align along a vertical axis an aperture 10 with an entrance 14 of a cell. The means 48 are in the illustrated example formed by a groove 50 cooperating with a rib 52. The rib 52 may be borne by the container and the groove 50 by the cask or vice versa. The rib is for example formed by a bar with a square section, fixed in a groove made in a cylindrical wall 53 connecting the first 34 and second 40 end faces of the container. The groove 50 is made in the cylinder 26. The cask and the container 18 also advantageously include visual marks 54 at right angles to the groove 50 and to the rib 52 and visible from the outside by an operator in order to assist the latter in aligning the groove 50 and the rib 52, facilitating the placing of the cask on the container. The cask also includes means 56 (FIG. 3A) for maintaining plugs 58 of the cells A1-A8 inside the cask in a confined space 59 between the upper bottom 8 and the container, when the latter are removed from the entrance of the cells in order to allow assemblies to be placed. In the illustrated example, the means 56 include a first arm 60 rotationally mobile relatively to the upper bottom 8 around an axis X1 parallel to the X axis and a second arm 62 rotationally mobile relatively to the first arm 60 around an axis X2 parallel to the X1 axis and distinct from the latter. The first arm is jointly rotatable with a shaft 64 mounted so as to be freely rotatable in a passage 66, made in the upper bottom 8, by means of bearings 67. The shaft 64 is rotationally displaceable from the outside of the cask by means of a handwheel 68. The second arm 62 is advantageously attached so as to be freely rotatable, by a first end 70 to an end 72 of the first arm away from the shaft 64. The second arm 62 includes at a second end 74, a housing 76 for receiving a plug 58. The second arm 62 includes at its first end 70, a shaft 80 mounted in a bore 82 made towards the end 72 of the first arm 60 and capable of pivoting around the X2 axis by rotation via bearings 84. The second arm 62 is positioned underneath the first arm 60 on the side of the open end of the cask. The second arm 62 may be positioned above the first arm 60 and close to the upper bottom 8. The displacement of the second arm 62 is also controlled via a handwheel 86 positioned outside the cask 2, and independent of the handwheel 68 controlling the displacement of the first arm 60. The second arm 62 is connected to the handwheel 86 through connecting means 88 which are advantageously positioned inside the first arm 60 and the shaft 64. The connecting means 88 include a shaft 90 with an axis X1 coaxial with the shaft 64 and mounted inside the latter, the shaft 64 therefore is made as a tube in this embodiment. The means 88 also include a transmission component 92 connecting the shaft 90 and the shaft 84 so as to transmit the displacements of the handwheel 86 to the arm 62. The handwheel 86 may for example include, as this is illustrated in FIG. 3A, a displacement handle 95 protruding from the handwheel. The displacement of the first arm 60 is limited by abutments (not shown) in order to avoid any impact between the arm 60 and the panels forming the wall 6 of the cask. Advantageously, the second arm 62 is positioned sufficiently low along the X axis, so as not to risk coming into contact with the panels forming the wall 6. Indeed, it is found at the connecting component 20. However, provision may also be made for abutment means for the second arm 62. A first and a second arm, both located at the panels forming the wall 6, do not depart from the scope of the present invention. The second arm 62 also includes a second receiving housing 94 for receiving the plug of the pneumatic connector of the container 18. The latter is advantageously positioned substantially in the middle portion of the second arm 62 between the housing 76 for receiving the cell plug and the end 70 connected to the first arm 60. The housing 94 advantageously is of a rectangular shape substantially identical to that of the plug 16. The housings 76 and 94 advantageously include closed and sealed lower bottoms in order to ensure collection of the possible dusts and debris which may have been deposited on the lower surface of the plugs, this surface being in contact, when the plugs are in place, with the interior volume of the cells and of the pneumatic connector respectively. The plugs 58 as illustrated in FIGS. 3 and 3A are lifted by a gripping device which will be described subsequently, and moved away from the entrance of the cells. The second arm 62 is then placed underneath the plug 58 so that the housing 76 is positioned exactly facing the bottom of the plug 58 in order to be able to receive the latter. The arm 62 is then displaced in order to free the trajectory between the passage 10 of the upper bottom of the cask 8 and the entrance of the associated cell and to let through a fuel assembly. Advantageously, substantially transparent areas are provided in the cask so that the movements of the arms in the cask are visible to the operator. Advantageously, means for assisting the displacement of the first 60 and the second arm 62 are also provided in order to allow rapid placing of the arms 60 and 62 for receiving the plug 58. The first arm 60 and the second arm 62 include displacement-assisting means 98 and 100, respectively. As the assisting means 98 and 100 are of substantially equivalent structure and operation, we shall describe in detail the assisting means 100 of the second arm 62. The assisting means 100 include a first flange 102 and a second flange 104, the first flange 102 being positioned above the flange 104 in the direction of the arrow F, the flanges 102 and 104 being illustrated in FIGS. 7 and 8 respectively. Advantageously, the first flange 102 is formed by the handwheel 86, but a flange distinct from the handwheel 86 and jointly rotatable with the latter may be provided. The flange 102 has the shape of a disk including, along a radius, a succession of orifices 106.1 to 106.8 and 106.P, the number of which is equal to the total number of plugs to be displaced, the plugs being plugs for closing the cells which are to receive a fuel assembly and the pneumatic connection plug. In the example illustrated, there are therefore nine orifices (eight for the cells and one for the pneumatic connector) made in the flange 102. The flange 104 is fixed and the flange 102 is mobile relatively to the flange 104. The flange 104 is also disk-shaped and includes grooves 108 in the form of a circular arc centered on the centre of the disk forming the flange 104. The grooves 108 include a first end 110 and a second end 112, all the ends 110 being aligned along a radius and the ends 112 being each positioned along a distinct radius. Each groove 108 corresponds to a distinct trajectory 108.1, 108.2, 108.3, 108.4, 108.5, 108.6, 108.7, 108.8 and D of the arm 62 in order to properly position it in order to fetch each of the nine plugs. The orifices 106 of the first flange 102 and the grooves 108 and D of the second flange 104 are positioned on the flanges 102 and 104 respectively, so that when the flange 102 is positioned above the flange 104, the latter are aligned along a direction parallel to the X1 axis. The device 100 also includes a connecting component between an orifice 106 and a groove 108 formed in the example, illustrated by a removable peg 114, including an end with a diameter equal to the diameter of the orifices 106. It should be specified that the width of the grooves 108, i.e. their dimension along a radius of the disk forming the flange 104, is sufficient for receiving the end of the peg 114. The corresponding cell is indicated facing each of the orifices 106.1 to 106.8 and the orifice 106.P. We shall now explain the operation of this assisting means 100. When the operator wants to displace the second arm 62, so as to put it in a position for receiving the plug of the cell A1, he/she will place the peg 114 in the orifice 106.1, the interior end of the peg 114 will then penetrate into the circular arc groove 108.1. The operator will cause the handwheel 86 to rotate from the extreme position 110 to the second extreme position 112 delimited by the groove 108.1. Thus the second arm will be displaced between a first position, a so-called <<rest>> position, and a second position in which the housing 76 will be placed just underneath the plug 58 held by the gripping system. Thus the operator does not himself/herself have to direct the arm 62, which would be relatively tedious and long-lasting, but the displacement is entirely guided by the groove 106.1. Also, by placing the peg 114 in another orifice 102.2 to 106.8 or the orifice 106.P, the trajectory of the arm will in the same way be guided for fetching the plugs of cells A2-A8 or the pneumatic plug, respectively. The arm 62 may also be indexed in position, in the so-called <<waiting position during the filling>>. During the filling of one of the cells, the arm contains the plug of the corresponding cell in its housing 76 and is set in a so-called <<parking>> position while waiting for the filling of the cell to be carried out, this parking position should be such that it does not interfere with the placing of the fuel assembly. In order to be sure that the arm 62 does not move during the filling and will not be interposed on the filling trajectory, a means is provided for indexing the position of the arm 62 in this parking position. This indexation means 116 is capable of immobilizing the first flange 102 relatively to the second flange 104, i.e. immobilize the rotating handwheel 86. The indexation means 116 is formed for example by a peg 118 mounted on the handwheel 86 and capable of being displaced axially, parallel to the X1 axis and capable of penetrating into orifices 120.1 to 120.8, and 120.P, so as to immobilize the arm in one of the parking positions corresponding to the filling of the cells A1-A8 or to connecting the pneumatic tool, and in a rest position R of the arm when the latter is not used and does not contain any plug. The parking position and the rest position may coincide. The orifices 120.1 to 120.8, 120.P and R are borne by the second flange 104 facing the orifice in which the peg 118 is slidably mounted. Advantageously, the peg 118 is elastically returned towards the second flange 104 so that it automatically penetrates into the orifices 120.1 to 120.8, or 120.P or R. Advantageously, the peg 114 is tied to the handwheel 86, for example by a cord, so that it is not lost. It may also be provided that the peg 118 of the position indexation means 116 is a peg which is identical to the peg 114 or of a shape close to that of the latter; provision may also be made for the use of the same peg for guiding the trajectory of the arm 62 on the one hand and for immobilizing the arm 62 on the other hand. As regards the guiding means 98 for the first arm 60, as described earlier, the latter are close in structure and operation to the means 100 for controlling the second arm 62. However these means 98 are distinguished from the means 100 in that the orifices are borne by the lower flange and the grooves are borne by an upper flange 124. The lower flange 122 is attached onto an upper face of the upper bottom 8 and the flange 124 is distinct from the control handwheel 68 of the first arm 60, but jointly rotatable with the latter. For example, the flange 124 is attached onto the arm 64 underneath the handwheel 68. Thus, guiding the flange 124 will also guide and limit the angular displacement of the handwheel 68 between two positions for each cell. Like for the guiding means 100 for the second arm 62, the flange 124 includes nine grooves, eight for each cell and one for the pneumatic connector, and the flange 122 as for it includes nine orifices in an equivalent way to the first flange 102. The device 98 also includes a peg 126 which is of a structure identical to that of the peg 114 used by the device 100. The device 98 also includes means 128 for indexing the position of the first arm 60, these means 128 being distinguished from the means 116 in that they include a peg 130 capable of sliding substantially perpendicularly to the X1 axis. An end of said peg 130, is able to cooperate with the notches 132.1 to 132.8, 132.P and R′ made on a slice of the disk 122, so as to define a parking position for each of the positions that the arm should adopt when filling a cell, when at rest, or when connecting the pneumatic connector. As described earlier, the device 98 also includes indications on the flange 124 so as to locate the corresponding grooves at each of the filling steps or the steps for connecting the pneumatic connector. Both handwheels are intended to be displaced in rotation one after the other. Provision may also be made for the use of identical means 98 and 100. In the described embodiment, the first and the second handwheel are capable of rotating around an identical X1 axis, but having two distinct axes of rotation may be contemplated. Advantageously, the handwheels are manually displaced by an operator. But providing actuation means, for example of the electric motor type, for displacing the first and the second handwheel does not depart from the scope of the present invention. The peg 126 of the means 98 may also, as illustrated, be tied up to the handwheel or to the shaft 64 by a cord in order to avoid losing it. A means for maintaining the plugs during the loading of the container including a single arm or two arms moving together by means of a single control, or more than two arms, does not depart from the scope of the present invention. We shall now describe in connection with FIG. 10, the apertures 10 intended to receive the plugs 12 of the cask. The apertures 10 are of a rectangular shape and receive a plug 12, for example made in Kyowaglass®, also of a rectangular shape. These plugs 12 are maintained in the aperture 10 via a ring 133, the outer diameter of the ring 133 being less than the inner diameter of the passage 10. The ring 133 includes a base 134 intended to cooperate with the upper end of the passage 10. Advantageously, the base 134 includes a frustro-conical external wall which will be applied on a chamfer formed at the upper end of the passage 10. The ring 133 also includes at its lower end, a surface 135 extending radially towards the inside of the ring and forming an annular support for the lower end of a plug 12. It must be understood that the contact between the upper bottom 8 and ring 133 is sealed, and that the contact between the ring 133 and the plug 12 may also be sealed. For example, the ring 133 is made in stainless steel. The aperture 14 also includes a ring similar to the ring 133. In FIG. 6, a construction detail of the wall 6 of the body 4 of the cask, in particular the connection between both panels 7 forming the octagonal wall, illustrated in the example, may also be seen. Each panel 7 includes at one end, a cut-out, for example a saw-toothed cut-out in the illustrated example, in order to penetrate into the matching saw-toothed cut-out of an end of another panel so as to allow proper positioning of each panel relatively to each other. The panels 7 are connected to each other at said ends through a support 140, to which an end of a first panel and an end of a second panel are attached, for example by a system 142 of screws. A gasket (not shown) is advantageously provided between two panels. The support 140 includes a first 143 and a second 145 face forming a determined angle for properly positioning one panel relatively to the other. The support 140 also includes a substantially planar supporting component, perpendicular to both previous tilted faces 143, 145 and coming into contact with the upper bottom 8 thereby forming a stiffening system. The support 140 also includes a stay rod 146, improving the stiffness of the whole of the cask. In the illustrated example, in which the cask has an octagonal shape, the body 4 includes eight supports 140. Advantageously, the cask also includes rings 148 on the upper face of the upper bottom 8 allowing displacement of the cask 2 by hooking it up via these rings to slings not shown. The cask may also include, on its side walls for example, hooking-up systems for a ladder so as to allow the operator to access the handwheel 68 and 86 and/or handrails in the case of intervention of an operator on the cask. We shall now describe the means for gripping the plugs 12 and 16 from the passages 10 and 14 of the cask and the plugs 58 of the container 18. The means for gripping the plugs 12 and 16 are for example formed with a suction cup, since the plugs 12 and 16 are formed in Kyowaglass® in the presented example. This suction cup may be handled manually and put into contact with a plug, in order to withdraw it. The means for gripping the plugs 58 of the containers are hooked up to a sling and brought to the level of the plugs, for example via a bridge. The gripping means are formed, for example, by radially mobile fingers, which will cooperate with an annular groove made in the upper surface of the plug 58. These fingers are sealably mobile relatively to an actuation body. The fingers pivot around a vertical axis so as to be able to approach and move away from the centre of the actuation body and to penetrate into the annular groove. The gripping means advantageously include a system (not shown) for detecting an abnormal force exerted on the actuation body and on the fingers. This system includes for example a spring with a determined stiffness, an indicator attached to a free end of the spring, to which is attached the actuation body provided with the fingers and visual marks positioned on a casing fixed relatively to the spring. When no load is applied to the spring, the indicator is facing a first visual mark. When the load increases and exceeds the stiffness of the spring, the indicator moves. When the load exerted on the fingers become abnormally large, the indicator is positioned in an area marked as critical. The operator then knows that there is a problem for the displacement of the relevant plug 58. Storage systems for the different components used for filling the containers are also provided, this time in the workshop in which the filling has to be carried out or in a room adjacent to the workshop. In particular for the cask 2, the latter may be placed on a rack containing a lower lid in which the cask is sealably deposited, so that any contamination which may have exited the container and been placed in the space 59, is confined in the cask closed by the lower lid. Hooks may also be provided on the surface of the Kyowaglass® plugs 12 and 16, thereby avoiding use of suction cups, however this reduces visibility through the plugs. The cask also includes, on the upper face of the upper bottom, a means for maintaining a pneumatic pipe intended to be connected to the pneumatic connector of the container. As explained earlier, the container may notably be contaminated because of the unloading of the assemblies in a pool, and therefore include waste which may escape from the container during its loading. Further, the operators directly intervene on the cask, e.g. for removing the Kyowaglass® plugs, so it is not possible to provide an isolation room for the cask and the container upon its loading. Dynamic confinement means are then provided, intended to prevent contaminating particles from exiting the container during its loading. A diagram of the loading device including such confinement means is illustrated in FIG. 11. The dynamic confinement means 200 include a suction means 202 of the fan type, connected to the bottom of the container through an orifice 203 made in the bottom of the container. In the illustrated example, the container includes a lower channel 204 into which all the chambers A1, A2, A3, A4, A5, A6, A7, A8 open out. This channel opens outwards through the orifice 203. The confinement means 200 are connected to a ventilation network 206. A filter 205 may be provided downstream from the fan upstream from the ventilation network 206. Thus, when the fan 202 is actuated, a depression occurs in all the chambers. Thus, when a plug is removed from a cell, a flow of air occurs along the arrows F, the air flows from the outside towards the inside of the cask, and then towards the bottom of the container, preventing contaminating particles from exiting through the apertures 10 of the cask. We shall now explain the method for filling a container 18 by means of a cask according to the present invention. An exemplary method according to the present invention includes the following steps: a) placing the cask on the container, b) connecting dynamic confinement means 200 to the container, c) removing the plug for the pneumatic tool and placing the pneumatic tool, d) removing the plug from the first cell, e) placing an assembly in the cell, f) replacing the plug of the first cell, g) repeating steps d) to f), if necessary, for loading other assemblies in the other cells, h) removing the pneumatic tool and replacing the plug for the pneumatic tool, i) removing the dynamic confinement means, j) removing the cask. We shall now describe in detail the method for filling a container according to the present invention. During step a), the cask is placed on the container by means of angular indexation mechanical means formed by the groove and the rib as described earlier and by the visual marks 54 present on the outer walls of the cask and of the container. In step b), the suction means 202 is connected to the bottom of the container. In step c), in order to place the pneumatic tool, the operator brings a suction cup so as to catch the plug 16 of the pneumatic connector. The plug 16 is removed from the passage 14 and deposited in a housing provided on the upper face of the upper bottom 8. Next, the pneumatic plug of the container is gripped by the gripping means or gripper as described earlier, and then raised relatively to the container. The pneumatic tool is connected, and the shoes are opened so as to allow placement of the assembly. The operator then places the peg 114 in the groove of the flange 124 corresponding to the displacement of the arm for removing the pneumatic plug. The peg 126 also penetrates into the corresponding orifice of the flange 122. The operator then turns the handwheel 68, which causes a determined angular displacement of the first arm 60. The operator then blocks the arm 60 in this position by means of the device 128. The operator then places the peg in the orifice 106.P of the flange 102 of the device 100 in order to displace the second arm 62, the lower end of the peg 114 also penetrates into the corresponding groove 108.P. and then the operator turns the handwheel 86 causing a determined angular displacement of the arm 62. The housing 94, for the pneumatic plug, is then positioned vertically just underneath the suspended pneumatic plug. The pneumatic plug is then moved down and deposited in the housing 94. The pneumatic plug will remain stored in the housing 94, during the whole time for filling the cells with the containers. The operator places the arm 62 and the arm 60 in a parking position as earlier, by placing the pegs in the orifices of the adequate grooves. During step d), the operator will repeat the previous operations by using the corresponding orifices and grooves for removing the plug from the first cell A1 and depositing it in the housing 76 of the second arm 62 with the help of the gripping means 150. Advantageously, following step d), provision is made for placing a mouth on the contour of the aperture of the cell in order to facilitate insertion of the assembly. During step e), the operator brings an assembly by means of a handling bridge, at right angles to the aperture of the cask corresponding to the first cell and to the aperture of the first cell, and then moves the assembly down into the cell until it rests on the bottom of the first cell. During step f), the operator replaces the plug of the first cell, so as to seal the first cell and to confine the assembly loaded in the cell. For this, he/she will displace in this order, the first, and then the second arm, by placing the pegs in the orifices and grooves corresponding to this operation in order to place the housing 76 above the first cell. By means of the grippers, he/she will catch the plug placed in the housing 76, and raise it relatively to the housing 76 without taking it out of the cask. The operator then displaces the first and second arms for placing them in the rest position. Next, he/she moves the plug down until it is placed in the upper end of the first cell. During step g), which only occurs if more than one assembly has to be loaded, the operator executes steps d) to f) for all the cells or a portion of them. During step h), when the assemblies are in place and the cells are in a sealing state, the shoes are applied against the assemblies in order to immobilize them in the cells. The operator disconnects the pneumatic tool and replaces the pneumatic plug by displacing the first and second arms, as this was explained earlier. During step i), the dynamic confinement means are disconnected. The container is depressurized. During step j), the cask is lifted from the container and deposited on a receptacle. The container is then ready to be loaded, for example on a truck, for its transport to a nuclear power station. Advantageously, a cleaning step, for example by suction, is provided before removing the cask from the container. With the cask as illustrated, provision is made for disconnecting the pneumatic tool before loading the first chamber A1, and for then replacing the pneumatic tool. Indeed, the illustrated embodiment does not provide the position combinations of both arms for the eight positions without having to remove the pneumatic tool.
summary
description
This application claims the benefit of Korean Patent Application No. 10-2014-0066513, filed on May 30, 2014, in the Korean Intellectual Property Office, the disclosure of which is incorporated herein in its entirety by reference. 1. Field of the Invention One or more exemplary embodiments relates to a magnetic jack type in-vessel control element drive mechanism, and more particularly, to a magnetic jack type in-vessel control element drive mechanism which makes a control element assembly move up and down through magnetic force, minimize the loss of magnetic force, simplify the assembly process and be operable under high-temperature, high-pressure and high-radiation conditions. The present invention is derived from research conducted as part of the Nuclear Power Core Technology Development Program by the Ministry of Trade, Industry & Energy [Project Serial Number: 20131510101680, Title of Research Project: Development of Top-Mounted ICI System and In-Vessel Control Element Drive Mechanism for Severe Accident Mitigation Design. 2. Description of the Related Art A control element drive mechanism is provided to control the power of a nuclear reactor and is classified as, for example, a magnetic-jack type control element drive mechanism, a ball-screw type control element drive mechanism, and a hydraulic type control element drive mechanism. The present invention relates to a magnetic jack type control element drive mechanism. FIG. 1 is a conceptual installation view of a conventional control element drive mechanism, and FIG. 2 is a schematic cross-sectional view of the conventional control element drive mechanism. As shown in FIG. 1, a nuclear fuel assembly 2 and a control element 3 are placed in a nuclear reactor 1. The control element 3 controls the fission of the nuclear fuel by adjusting the number of neutrons absorbed by a nuclear fuel. The control element 3 is vertically driven up and down by the control element drive mechanism 5. For the installation of the control element drive mechanism 5, a nozzle 4 is placed on a top portion of the nuclear reactor 1. The control element drive mechanism 5 includes four coils, i.e., an upper lifting (UL) coil 510, an upper gripper (UG) coil 520, a lower lifting (LL) coil 530, and a lower gripper (LG) coil 540, and controls the vertical movement of the control element 3 by controlling magnetic force generated by the coils. However, the conventional control element drive mechanism has a drawback of requiring a cooling system which provides cooling air to remove the heat of the coils during operation. Moreover, a motor assembly is located inside a motor housing 560, and at the center of the motor assembly, a drive shaft 6 connected to the control element 3 is placed. The considerable amount of magnetic flux generated by the above-mentioned driving coils is not transferred to the motor assembly but bypasses through the motor housing 560, thereby causing a reduction in magnetic force. To compensate for such reduction in magnetic force, grooves 561 are formed on an outer circumferential surface of the motor housing 560. However, the grooves 561 may not compensate enough for the loss of magnetic force. Also, small nuclear reactors, which have drawn much attention recently, often require control element drive mechanisms installed inside the nuclear reactor according to system requirements. Under these circumstances, usability of the control element drive mechanism under the conditions of much higher temperature, pressure and radiation is more required than in conventional nuclear reactors. Therefore, there is a demand for a new control element drive mechanism which is different from conventional control element drive mechanisms. One or more exemplary embodiments include a magnetic jack type in-vessel control element drive mechanism which minimizes loss of magnetic force, simplifies the assembly process and is operable under high-temperature, high-pressure and high-radiation conditions. Additional aspects will be set forth in part in the description which follows and, in part, will be apparent from the description, or may be learned by practice of the presented embodiments. According to one or more exemplary embodiments, a magnetic jack type in-vessel control element drive mechanism includes: an upper coil assembly which comprises a first sleeve configured to coaxially wrap a control element drive shaft, a first coil, and a first coil housing which is externally coupled to the first sleeve so as to have a first reception portion that receives the first coil; a lower coil assembly which comprises a second sleeve configured to coaxially wrap the control element drive shaft, a second coil, and a second coil housing which is externally coupled to the second sleeve so as to have a second reception portion that receives the second coil, wherein the lower coil assembly is located under the upper coil assembly; a connecting member which connects the upper coil assembly and the lower coil assembly; a support tube which extends downward from the lower coil assembly; a motor assembly which is located between the control element drive shaft, and the first and second sleeves; and an anti-separation cap which prevents separation of the motor assembly, has a through-hole penetrated by the control element drive shaft, and is connected to a top portion of the upper coil assembly. In some embodiments, the first and second sleeves and, the connecting member, and the support tube have an identical inner diameter. In some embodiments, the first and second sleeves and, the connecting member and the support tube contact together to constitute a pipe. In some embodiments, each of the first coil and the second coil is a mineral-insulated coil. In some embodiments, each of the first and second coil housings is formed of martensitic stainless steel, and each of the first and second sleeves is formed of martensitic stainless steel, austenitic stainless steel, or nickel alloy. Reference will now be made in detail to embodiments, examples of which are illustrated in the accompanying drawings, wherein like reference numerals refer to the like elements throughout. In this regard, the present embodiments may have different forms and should not be construed as being limited to the descriptions set forth herein. Accordingly, the embodiments are merely described below, by referring to the figures, to explain aspects of the present description The present invention relates to a magnetic jack type control element drive mechanism. A control element drive mechanism according to an embodiment of the present invention is provided and driven as an in-vessel type. Hereinafter, exemplary embodiments of the present invention will be explained in detail by referring to the attached drawings. FIG. 3 is a conceptual view of an in-vessel control element drive mechanism installed in a nuclear reactor, FIG. 4 is a cross-sectional view of a control element drive mechanism according to an embodiment of the present invention, FIG. 5 is a cross-sectional view of an upper coil assembly, and FIG. 6 is a cross-sectional view of a lower coil assembly, FIG. 7 is a cross-sectional view of a motor coil assembly, and FIG. 8 is a schematic cross-sectional view of a shock transfer path when the control element drive mechanism is driven. As shown in FIG. 3, a control element drive mechanism 100 may be installed inside a reactor 300. Inside the reactor 300, a nuclear fuel assembly 600 is placed, and a control element 200 is connected to a control element drive shaft 110. The control element 200 adjusts the number of neutrons so as to control the fission of the nuclear fuel. The control element drive shaft 110 is configured to be driven vertically by the control element drive mechanism 100 to move the control element 200 up and down. Inside the reactor 300, a reactor internal structure 400 is placed to install the control element drive mechanism 100. As necessary, a separate support member (not shown) may be installed additionally onto an upper part of the control element drive mechanism 100 to prevent the vibration caused by external force. A cable 500 for providing power supply to the control element drive mechanism 100 is connected to a control system 700 configured outside the reactor 300 while penetrating a reactor head. In some embodiments, the cable 500 may be designed to penetrate the side of the reactor 300, as needed. As shown in FIG. 4, the control element drive mechanism 100 according to an embodiment of the present invention includes an upper coil assembly 10, a lower coil assembly 20, a connecting member 30, a support tube 40, a motor assembly 50, and an anti-separation cap 60. The upper coil assembly 10 includes a first sleeve 11, a first coil 12 and a first coil housing 13. The first sleeve 11 coaxially wraps around the control element drive shaft 110. The space between the control element drive shaft 110 and first sleeve 11 provides the space to which a motor assembly 50 is accepted. The motor assembly 50 coaxially wraps around the control element drive shaft 110. The first sleeve 11 receives the first coil 12 together with the first coil housing 13, and an inner circumferential surface of the first sleeve 11 guides the motor assembly 50. The first coil housing 13 is externally coupled to the first sleeve 11 outside the first sleeve 11. The first coil housing 13 and the first sleeve 11 constitute a first reception part 15 that receives the first coil 12. The first coil 12 includes a first lifting coil 121 which is located in an upper portion of the upper coil assembly 10 and a first latch coil 122 which is located in a lower portion of the upper coil assembly 10. The first reception part 15 includes a first upper reception part 151 which receives the first lifting coil 121 and a first lower reception part 152 which receives the first latch coil 122. The first lifting coil 121 and the first latch coil 122 respectively correspond to an upper lifting (UL) coil and an upper gripper coil (UG) coil of a conventional 4-coil type magnetic jack type control element drive mechanism. Therefore, a detailed explanation on operations of the first lifting coil 121 and the first latch coil 122 is not provided herein. The first coil 12 according to an embodiment of the present invention may be a mineral insulated (MI) coil. The conductor and insulation material of the MI coil are wrapped by sheath made of a nickel-alloy steel or stainless steel. Therefore the MI coil may be used without requiring sealing. The MI coil may be any MI coil that is publicly known. Therefore, a detailed explanation thereof is not provided here. As shown in FIGS. 4 and 5, the first coil housing 13 includes a first upper housing 131, a first middle housing 132, and a first lower housing 133. The first upper housing 131 and the first middle housing 132 are coupled together bottom to top, to form the first upper reception part 151 which receives the first lifting coil 121. Although in the present embodiment of the present invention, the first coil housing 13 includes the first upper housing 131, the first middle housing 132, and the first lower housing 133, the number of those pieces may be altered. In some embodiments, the first middle housing 132 and the first lower housing 133 are coupled together bottom to top, to form the first lower reception part 152 which receives the first latch coil 122. In other words, when the first upper housing 131, the first middle housing 132, and the first lower housing 133 are coupled together, the first upper reception part 151 and the first lower reception part 152 may be formed in the form of a groove, and an inner circumferential surface of the resultant structure forms a first coupling surface 134 that is to surface-contact an outer circumferential surface of the first sleeve 11. The first coupling surface 134 includes a first upper coupling surface 135 which is formed on the first upper housing 131, a first middle coupling surface 136 which is formed on the first middle housing 132, and a first lower coupling surface 137 which is formed on the first lower housing 133. The lower coil assembly 20 is located under the upper coil assembly 10, and is similar to the upper coil assembly 10 in configuration. In detail, the lower coil assembly 20 includes a second sleeve 21, a second coil 22 and a second coil housing 23. The second sleeve 21, like the first sleeve 11, coaxially wraps around the control element drive shaft 110. The space between the control element drive shaft 110 and the second sleeve 21 provides the space to which the motor assembly 50 is accepted. The control element drive shaft 110 is located inside the motor assembly 50. The second sleeve 21, like the first sleeve 11, receives the second coil 22 together with the second coil housing 23, and an inner circumferential surface of the second sleeve 21 may guide the motor assembly 50. The second coil housing 23 is externally coupled to an outer surface of the second sleeve 21. The second coil housing 23 and the second sleeve 21 constitutes a second reception part 26 that receives the second coil 22. The second coil 22 includes a second lifting coil 221 which is located in an upper portion of the lower coil assembly 20 and a second latch coil 222 which is located in a lower portion of the lower coil assembly 20. The second reception part 26 includes a second upper reception part 261 which receives the second lifting coil 221 and a second lower reception part 262 which receives the second latch coil 222. The second lifting coil 221 and the second latch coil 222 respectively correspond to a LL coil and a LG coil of the aforementioned conventional 4-coil type magnetic jack type control element drive mechanism. Therefore, a detailed explanation on operations of the second lifting coil 221 and the second latch coil 222 is not provided herein. Like the first coil 12, the second coil 22 used in an embodiment of the present invention may be a mineral-insulated coil. As the mineral-insulated coil has been explained above, a specific explanation thereof is not provided herein, to avoid redundancy. As shown in FIGS. 4 and 6, the second coil housing 23 includes a second upper housing 231, a second middle housing 232, and a second lower housing 233. The second upper housing 231 and the second middle housing 232 are coupled together bottom to top, to form the second upper reception part 261 which receives the second lifting coil 221. Although in the present embodiment of the present invention, the second coil housing 23 includes the second upper housing 231, the second middle housing 232, and the second lower housing 233, the number of those pieces may be altered. In some embodiments, the second middle housing 232 and the second lower housing 233 are coupled together bottom to top, to form the second lower reception part 262 which receives the second latch coil 222. In other words, when the second upper housing 231, the second middle housing 232, and the second lower housing 233 are coupled together, the second upper reception part 261 and the second lower reception part 262 may be formed in the form of a groove, and an inner circumferential surface of the resultant structure forms a second coupling surface 234 that is to surface-contact an outer circumferential surface of the second sleeve 21. The second coupling surface 234 includes a second upper coupling surface 235 formed on the second upper housing 231, a second middle coupling surface 236 formed on the second middle housing 232 and a second lower coupling surface 237 formed on the second lower housing 233. In some embodiments, the first coil housing 13 and the second coil housing 23 may be formed of martensitic stainless steel, and the first sleeve 11 and the second sleeve 21 may be formed of martensitic stainless steel, austenitic stainless steel or nickel alloy. These materials have more excellent corrosion resistance than carbon steel; therefore, when a housing formed of these materials is installed inside the reactor 300, it may endure harsh conditions of high-temperature, high-pressure and reactor coolant chemistry. In terms of magnetic force, because austenitic stainless steel and nickel alloy are non-magnetic materials, loss of magnetic flux which occurs with the conventional motor housing 560 may be completely eliminated. In other words, the magnetic flux is fully transferred to the motor assembly 50 without being lost to the first and second sleeves 11 and 12 increasing magnetic force compared to a conventional system. Martensitic stainless steel, austenitic stainless steel and nickel alloy are publicly known materials; therefore, a detailed explanation thereof is not provided herein. In some embodiments, the first and second sleeves 11 and 21 may have a thickness of 0.2 mm to 1.0 mm in thickness. When their thickness is less than 0.2 mm, they may be deformed by external load; when their thickness is more than 1.0 mm, there may be less space allotted to the first and second coils 12 and 22 and the first and second coil housings 13 and 23. The connecting member 30 connects the upper coil assembly 10 to the lower coil assembly 20. According to the embodiment of the present invention, the connecting member 30 is interlocked to the upper coil assembly 10 and lower coil assembly 20 in a screw manner. The connecting member 30 may have a top end that is interlocked with a first insert groove 14 which is located on a lower end of the first lower housing 133, and a bottom end that is interlocked with a second insert groove 25 which is located on an upper end of the second upper housing 231. The respective interlocking portions are processed to have screw crests, and are interlocked in a spiral way, providing a strong bond after interlocking. According to the embodiments of the present invention, the connecting member 30 is interlocked with the upper coil assembly 10 and the lower coil assembly 20 in the screw manner; however, the interlocking method may vary, including using a flange method or a welding method. For example, when a flange method is used, a separate flange is formed on upper and lower portions of the connecting member 30, and then, connected to the first lower housing 133 and the second upper housing 231 by using bolts. The support tube 40 extends downward from the lower coil assembly 20. The support tube 40 supports the weight of the entire control element drive mechanism which includes the upper coil assembly 10, the connecting member 30, and the lower coil assembly 20, and the control element. An upper end of the support tube 40 is interlocked with a support groove 24 which is located on a lower portion of the bottom of the second lower housing 233. The support tube 40 may be interlocked with the support groove 24 by using various methods including a screw type method, a flange type method or a welding type method. The motor assembly 50 is located in the space between the control element drive shaft 110 and the first and second sleeves 11 and 21. The motor assembly 50 includes an upper motor assembly 51 and a lower motor assembly 52. As shown in FIG. 7, the upper motor assembly 51 includes a first stationary magnet 511, a first lifting magnet 512, a first latch magnet 513, and a first latch arm 514. There is a space between the first stationary magnet 511 and the first lifting magnet 512, and between the first lifting magnet 512 and the first latch magnet 513. The space provides room for movement for the first lifting magnet 512 and the first latch magnet 513 when the first coil 12 generates magnetic force; and transfers driving force to the control element drive shaft 110 through the first latch arm 514. The lower motor assembly 52 includes a second stationary magnet 521, a second lifting magnet 522, a second latch magnet 523, and a second latch arm 524. The lower motor assembly 52 works the same way the upper motor assembly 51 does. There is a space between the second stationary magnet 521 and the second lifting magnet 522, and between the second lifting magnet 522 and the second latch magnet 523. The space provides room for movement for the second lifting magnet 522 and the second latch magnet 523 when the second coil 22 generates magnetic force; and transfers driving force to the control element drive shaft 110 through the second latch arm 524. In some embodiments, the first latch arm 514 is connected to the first latch magnet and the second latch arm 524 is connected to the second latch magnet 523. The first and second latch arms 513 and 514 engage or disengage with the control element drive shaft 110 through the grooves provided on an outer circumferential surface of the control element drive shaft 110. This driving manner is performed according to a conventional 4-coil magnetic jack-type control element drive mechanism; therefore, a detailed explanation is not given. The anti-separation cap 60 is connected to the upper portion of the upper coil assembly 10 to prevent the motor assembly 50 from separating. The anti-separation cap 60 has a through-hole 61, through which the control element drive shaft 110 penetrates. The motor assembly 50 receives a shock load along its axis triggered by the magnetic force of the first and second coils 12 and 22 during operation, but the anti-separation cap 60 prevents the motor assembly 50 from thrusting upward over the upper coil assembly 10. As shown in FIG. 8, the shock load that has been transferred to the anti-separation cap 60 when the control element drive mechanism 100 is under operation, is transferred to the reactor internal structure 400 along the arrow direction. The anti-separation cap 60 and the upper coil assembly 10 may be coupled to each other through various methods such as a screw method, a flange method or a welding method. Meanwhile, according to an embodiment of the present invention, the first sleeve 11, the second sleeve 21, the connecting member 30, and the support tube 40 have the same inner diameter. In some embodiments, the first and second sleeves 11 and 21, the connecting member 30, and the support tube 40 constitute a connected pipe while in contact when assembled, as shown in FIG. 4. As shown in FIG. 4, the motor assembly 50 is located among the first and second sleeves 11 and 21, the connecting member 30, and the support tube 40 and the control element drive shaft 110. The first and second sleeves 11 and 21, the connecting member 30, and the support tube 40 have the same inner diameter, making it easier to design and assemble the motor assembly 50. In some embodiments, the first and second sleeves 11 and 21, the connecting member 30, and the support tube 40 constitute a single pipe while in contact, reliably guiding the motor assembly 50 when the control element drive mechanism is under operation. For example, the first latch magnet 513 is arranged to face an inner circumferential surface of a portion where the first sleeve 11 is connected to the connecting member 30, and the second latch magnet 523 is arranged to face the inner circumferential surface of a portion where the second sleeve 21 is connected to the support tube 40. In other words, the first sleeve 11, the connecting member 30, the second sleeve 21, and the support tube 40 are arranged in contact, acting as a guide pipe which allows the motor assembly 50 to slide smoothly. As described above, the magnetic jack-type in-vessel control element drive mechanism 100 according to an embodiment of the present invention secures space to accept the motor assembly 50 between the control element drive shaft 110 and the first and second sleeve 11 and 21 by using the first and second sleeves 11 and 21 which wraps around the control element drive shaft 110; and houses the first and second coils 12 and 22 by coupling the first and second coil housings 13 and 23 outside the first and second sleeves 11 and 21. Since magnetic flux generated by the first and second coils 12 and 22 is directly transferred to the motor assembly 50 through the first and second sleeves 11 and 21, the control element drive mechanism 100 increases magnetic force significantly thank to reduction of the leakage flux which is inevitable in a conventional motor housing. In some embodiments, the magnetic jack-type reactor in-vessel control element drive mechanism 100 according to an embodiment of the present invention uses a MI coil as a the first and second coils 12 and 22. Therefore, even without separate sealing of a coil housing, the control element drive mechanism 100 is available for operation under high-pressure, high-temperature, high-radiation and submerged conditions. In some embodiments, the control element drive mechanism 100 according to an embodiment of the present invention boosts work efficiency because of easy installation thanks to the simplified structure. Specifically, the control element drive mechanism 100 allows easy and quick construction by stacking the support tube 40, the lower coil assembly 20, the connecting member 30, and the upper coil assembly 10 in sequence, and by inserting the motor assembly 50 and the control element drive shaft 110 into the inner space. A control element drive mechanism according to an embodiment of the present invention makes it possible to minimize the loss of magnetic force, to simplify the assembly process and to allow operation under high-pressure, high-temperature, high-radiation and submerged conditions. Also, when the control element drive mechanism according to an embodiment of the present invention is installed inside a reactor, it is possible to prevent control rod ejection accidents; to decrease the risk of a loss-of-coolant accident by reducing the number of penetration tubes required for the installation of the control element drive mechanism onto the reactor vessel head; and to tremendously simplify the reactor upper structure by excluding a cooling system such as a cooling fan as opposed to the installation of the control element drive mechanism on the outside of the conventional reactor. It should be understood that exemplary embodiments described herein should be considered in a descriptive sense only and not for purposes of limitation. Descriptions of features or aspects within each exemplary embodiment should typically be considered as available for other similar features or aspects in other exemplary embodiments. While one or more exemplary embodiments have been described with reference to the figures, it will be understood by those of ordinary skill in the art that various changes in form and details may be made therein without departing from the spirit and scope of the inventive concept as defined by the following claims.
claims
1. A traveling wave nuclear fission reactor comprising:a nuclear reactor core capable of producing a burnfront emitting a neutron flux within the nuclear reactor core;a nuclear fission reactor fuel assembly disposed in the nuclear reactor core, wherein the nuclear fission reactor fuel assembly comprises a monitoring system detecting a burning parameter associated with the burnfront; andone or more control rods within the nuclear reactor core, the one or more control rods including neutron absorbing material and being capable of selectively modulating the neutron flux within the nuclear reactor core based on location of the one or more control rods in the nuclear reactor core relative to the burnfront, the location selected at least partially in response to the detected burning parameter associated with the burnfront. 2. The traveling wave nuclear fission reactor of claim 1 wherein at least one of the control rods is selectively located behind the burnfront such that the burning parameter at the burnfront increases. 3. The traveling wave nuclear fission reactor of claim 1 wherein at least one of the control rods is selectively located behind the burnfront such that the burning parameter behind the burnfront decreases. 4. The traveling wave nuclear fission reactor of claim 1 wherein the nuclear fission reactor fuel assembly is capable of monitoring velocity of the burnfront within the nuclear reactor core as the burning parameter associated with the burnfront. 5. The traveling wave nuclear fission reactor of claim 4 wherein at least one of the control rods is selectively located to modify the velocity of the burnfront within the nuclear reactor core. 6. The traveling wave nuclear fission reactor of claim 1 wherein the nuclear fission reactor fuel assembly is capable of monitoring breadth of the burnfront within the nuclear reactor core as the burning parameter associated with the burnfront. 7. The traveling wave nuclear fission reactor of claim 6 wherein at least one of the control rods is selectively located to modify the breadth of the burnfront within the nuclear reactor core. 8. The traveling wave nuclear fission reactor of claim 1 wherein the one or more control rods are further capable of selectively modulating the neutron flux within the nuclear reactor core based on a selected location of at least one of the control rods relative to the burnfront within the nuclear reactor core. 9. The traveling wave nuclear fission reactor of claim 8 wherein the at least one of the control rods is capable of being selectively located behind the burnfront such that a burning parameter at the burnfront increases and the burning parameter behind the burnfront decreases. 10. The traveling wave nuclear fission reactor of claim 8 wherein the at least one of the control rods at the selected location is capable of modifying velocity of the burnfront within the nuclear reactor core. 11. The traveling wave nuclear fission reactor of claim 8 wherein the at least one of the control rods at the selected location is capable of modifying breadth of the burnfront within the nuclear reactor core.
abstract
A scintillator plate which is excellent in sharpness and luminance is disclosed, comprising sequentially on a substrate a reflection layer and a scintillator layer containing cesium iodide and an activator and having a thickness of L, wherein the following requirement (1) is met:2≦B/A  Requirement (1) wherein A is an average activator concentration of the scintillator layer and B is an activator concentration in a region of the scintillator layer from the reflection layer side to the position of L/5.
description
This application claims priority to U.S. Provisional Patent Application No. 62/422,404 filed Nov. 15, 2016, the disclosure of which is hereby incorporated by reference in its entirety. This invention was made in part during work supported by grant number 2R44CA153466-02A1 from the National Cancer Institute of the National Institutes of Health. The government may have certain rights in the invention. The current invention relates to systems, devices, and methods for control of radiation therapy. The systems, devices, and methods may be used for emission-guided high-energy photon delivery. Radiation therapy involves aiming radiation at a tumor from one or more directions. In some radiation therapy systems, the radiation source mounted on a gantry rotates around a patient on a table or couch, and directs radiation toward the patient's tumor(s). As the radiation source rotates around the patient, the patient table or couch may be moved in a direction that is parallel to the axis of rotation of the radiation source. In this manner, radiation may be applied to the patient's tumor(s) from various gantry angles and at various patient table or couch positions, based on images of the patient and the tumor(s) generated by various imaging modalities in advance of the treatment session. Emission-guided radiation therapy (EGRT) applies radiation based on positron emission paths emitted by a positron emission tomography (PET) tracer that are localized to the tumor(s) during the treatment session. In addition to a radiation source to therapeutically irradiate a tumor region, an EGRT system also has an array of PET detectors to sense positron emission paths that originate within the tumor region, which may provide real-time location data. This may reduce the latency between the localization of a tumor and irradiation to that tumor. In order to timely respond to the detection of a positron emission path that indicates the real-time location of a tumor, the gantry of an emission-guided radiation therapy system may rotate at speeds ranging from about 10 rotations per minute (RPM) to about 70 RPM. Improvements to the gantry rotation mechanisms, the radiation source, and/or radiation sensors (e.g., PET detectors, gamma ray or X-ray detectors, etc.) may be desirable in order to accommodate this increased gantry rotation speed. Conversely, an increase in time resolution in the PET detectors may decrease the required rotational latency due to the confined spatial extents of the PET events that are coupled to the time resolution of those events. Disclosed herein are radiation therapy systems and methods. The radiation therapy systems may comprise a gantry comprising a stationary frame and a rotatable ring that is configured to rotate up to about 70 RPM. The radiation therapy system may comprise a therapeutic radiation source, one or more beam-shaping components, imaging system(s) (e.g., one or more PET detectors, a kV CT imaging system), and supporting electronics mounted on the rotatable ring. These components may be mounted and arranged on the rotatable ring such that mechanical forces and/or other perturbations resulting from rapid ring rotation (e.g., about 50 RPM or more) do not interfere with their function. The radiation therapy system may also comprise a temperature management system that is configured to transfer heat generated by the components on the rotatable ring (and the heat generated by rotating the ring at speeds up to 70 RPM) to a facility cooling system via the stationary frame. These radiation therapy systems and methods may be used for biologically-guided radiation therapy, such as emission-guided radiation therapy, where gamma rays from markers or tracers that are localized to patient target region(s) (e.g., tumor regions) may be detected and used to direct radiation to the target region(s). These systems and methods may also help to reduce radiation exposure or delivery to non-target regions, such as normal or healthy tissue surrounding a tumor and/or radiation sensitive structures or organs (e.g., organs at risk). One variation of a radiation therapy system may comprise a gantry comprising a stationary frame and a rotatable ring configured to rotate up to about 70 RPM, where the rotatable ring may comprise a drum having a first ring-shaped end surface, a second ring-shaped end surface opposite the first end surface, and a length therebetween such that deflection of the first and second end surfaces is less than about 0.5 mm when the ring rotates up to about 70 RPM. The system may further comprise a slip-ring located between the stationary frame and the rotatable ring and configured to communicate electrical signals therebetween while the rotatable ring rotates up to about 70 RPM, a therapeutic radiation source comprising a linear accelerator (linac) and a magnetron, one or more PET detectors mounted along the length of the drum, and a temperature management system that transfers heat from the rotatable ring to a cooling fluid on the stationary frame. The linac may be attached along the length of the drum by a first mounting assembly and enclosed in a radiation shield that is separate from the linac and first mounting assembly, and the magnetron may be radially mounted along the length of the drum such that a cathode support of the magnetron is aligned with a direction of a centripetal force that is generated while the rotatable ring rotates up to about 70 RPM. The radiation shield may be mounted to the gantry using a second mounting assembly that is separate from the first mounting assembly. For example, the second mounting assembly may not directly contact the first mounting assembly, and/or the first mounting assembly and the second mounting assembly may be separated by an air gap, and/or the linac and the radiation shield are separated by an air gap. The radiation shield and the second mounting assembly may not contact the linac. Optionally, some variations may comprise an actuator coupled to the linac and the first mounting assembly using a ball screw, such that a location of the linear accelerator is configured to be adjusted by the actuator. The actuator may or may not be removable, and/or may be controllable from a remote location, such as a location that is outside of the room within which the rotatable gantry is located. The system may also comprise a first controller located on the rotatable ring and a second controller on the stationary frame. The first controller may generate control commands for the therapeutic radiation source and the one or more PET detectors, the second controller may generate control commands for a gantry motion system, and synchronization data between the first controller and the second controller may be transferred via the slip-ring. Activation of the therapeutic radiation source and acquisition of PET data may be based on a signal generated by the first controller, rotation of the ring may be based on a signal generated by the second controller, and a synchronization signal may be transmitted between the processors via the slip-ring to synchronize activation of the therapeutic radiation source, acquisition of PET data and gantry motion. In some variations, the slip-ring may comprise a data brush block and a power brush block. The system may further comprise a first communication interface comprising a first receiver element mounted to the rotatable ring and a first transmitter element mounted to the stationary frame that is configured to transmit a first plurality of signals to the first receiver element while the rotatable ring is moving, and a second communication interface comprising a second transmitter element mounted to the rotatable ring and a second receiver element mounted to the stationary frame. The second transmitter element may be configured to transmit a second plurality of signals to the second receiver element while the rotatable ring is moving. The first plurality of signals may be transmitted across the first communication interface and the second plurality signals (e.g., gantry rotation speed data, positron emission data from the one or more positron emission detectors, radiation data from a radiation detector mounted on the rotatable ring across from the therapeutic radiation source) may be transmitted across the second communication interface concurrently. In some variations, a system may comprise a multi-leaf collimator disposed in front of the radiation source, and the multi-leaf collimator may be configured to transmit position data of individual leaves of the multi-leaf collimator to the second transmitter element for transmission to the second receiver element. The second controller may be in communication with the first transmitter element, and the first plurality of signals comprises radiation source commands from the second controller. Alternatively or additionally, the first plurality of signals may comprise multi-leaf collimator commands, and/or gantry rotation commands from the second controller. The first communication interface and the second communication interface may transmit signals using inductive signal transfer methods or capacitive signal transfer methods. Some variations may further comprise a first position sensor mounted to the rotatable ring and in communication with the first receiver element, and a second position sensor mounted to the stationary frame and in communication with the second receiver element. The rotatable ring may comprise a plurality of locator or index markers located around the circumference of the ring and detectable by the second position sensor, and the stationary frame may comprise a plurality of locator or index markers located around the circumference of the frame and detectable by the first position sensor. The first plurality of signals may comprise index marker data from the first position sensor and the second plurality of signals may comprise index marker data from the second position sensor. The first and/or second controller may be configured to receive and compare the first and second plurality of signals to identify a difference in the first and second plurality of signals. The first and/or second controller may be configured to generate a signal to indicate the difference between the first and second plurality of signals. The first plurality of signals may comprise angular position data of rotatable ring from the first position sensor and the second plurality of signals may comprise angular position data of the rotatable ring from the second position sensor. The system may further comprise a controller configured to receive and compare the first and second plurality of signals to identify a difference in the first and second plurality of signals. One variation of a method for identifying the difference between the first plurality of signals and second plurality of signals may comprise calculating a derivative of the first plurality of signals over time, calculating a derivative of the second plurality of signals over time, determining a difference between the calculated derivatives, and if the difference exceeds a predetermined threshold, generating a position sensor fault signal. In some variations, the system may further comprise comprising a housing that defines a volume that encloses the gantry. The housing may comprise one or more lateral hatches along the length of the drum that are configured to allow access to the therapeutic radiation source and one or more PET detectors. A radiation therapy system may also comprise a motion system comprising a plurality of rotor elements around the rotatable ring, a stator element enclosed within the stationary frame across from the rotor elements, and ball bearings located adjacent to the plurality of rotor elements. The one or more rotor elements may comprise one or more magnetic or inductive elements, and the stator element may comprise a coil. A radiation therapy system may also comprise a therapeutic radiation source that is configured to generate a radiation beam emitted along a beam path, the radiation beam having a two-dimensional projection having a x-axis aspect and a y-axis aspect, and the system further comprises a beam-limiting assembly disposed in the beam path. One variation of a beam-limited assembly may comprise upper jaws configured to shape the y-axis aspect of the radiation beam, a multi-leaf collimator configured to shape the x-axis aspect of the radiation beam, and lower jaws configured to shape the y-axis aspect of the radiation beam. The multi-leaf collimator may be located between the upper jaw and the lower jaw. The upper jaw may be located closer to the radiation source than the multi-leaf collimator and the lower jaw, and the lower jaw may be located further from the radiation source than the multi-leaf collimator and the upper jaw. The upper jaws may comprise inward faces that are angled at a first angle with respect to a vertical axis, and the lower jaws may comprise inward faces that are angled at a second angle with respect to a vertical axis, and the first angle may be less than the second angle. The radiation beam may have a beam spread and beam boundary defined by a focal line, and the upper jaws may comprise inward faces that are not aligned along the focal line, and the lower jaw. The inward faces of the upper jaws may be angled at a first angle with respect to a vertical axis, the inward faces of the lower jaws may be angled at a second angle with respect to the vertical axis, and the focal line may be angled at a third angle with respect to the vertical axis. The first angle may be less than the second angle. The magnetron of a radiation therapy system may be configured to provide RF energy for accelerating electrons in the linac. The magnetron may comprise a ring anode having one or more cavities including a central cavity, a cathode located in the central cavity of the ring anode, and the cathode support may couple the cathode to the ring anode such that a longitudinal axis of the cathode support is aligned along the radial axis of the gantry. In some variations, the temperature management system may comprise a first set of heat exchangers configured to transfer heat generated from the rotating ring to the stationary frame and a second set of heat exchangers configured to transfer the heat from the stationary frame to an external heat sink. For example, the external heat sink may be a closed-loop, facility liquid system. Optionally, some variations of a radiation therapy system may comprise a second gantry mounted to the rotatable ring, and a kV system mounted on the second gantry. The kV system may comprise a kV radiation source and a rotatable collimator disposed in a beam path of the kV radiation source. The rotatable collimator may have a first configuration that blocks the beam and a second configuration that transmits the beam. Rotating the rotatable collimator may transition between the first and second configurations. The rotatable collimator may comprise a cylinder made of a radiation-blocking material and an aperture that is transverse to a longitudinal axis of the cylinder. In the first configuration, the aperture may not be aligned along the beam path and in the second configuration, the aperture may be aligned along the beam path. One variation of a radiotherapy device may comprise a rotatable gantry comprising a bore and a radiation source coupled to the gantry. The bore of the rotatable gantry may comprise a first portion and a second portion, where the second portion diameter is greater than a first portion diameter. In one variation, at least a region of the second portion may comprise an ellipsoid. The radiotherapy device may further comprise an image projector configured to illuminate at least a region of the second portion. The illumination may comprise one or more images and/or videos. The radiotherapy device or system may optionally comprise a flexible display disposed along the surface of the bore. The flexible display may be an organic light-emitting diode (OLED) display. In some variations, a radiotherapy device may comprise an audio device configured to output sound within the bore. Optionally, a radiotherapy system may comprise an airflow device configured to direct airflow through the second portion of the bore. Some variations may comprise an optical eye tracker configured to detect one or more of an eye position and eye gaze of a patient in the bore, and a processor configured to change the illumination using the eye position and the eye gaze. The gantry corresponding to the first portion may be rotatable, and one or more of the gantry corresponding to the second portion and the radiation source may be stationary. The first portion may comprise a first end and a second end, where the first end may comprise a circular opening and the second portion may comprise an enclosure coupled to the second end. In some examples, the first portion diameter may be substantially constant and the second portion diameter may vary. In other examples, the second portion diameter may be greater than the first portion diameter by up to about four times. Described herein is another variation of a radiotherapy system comprising a rotatable gantry comprising a patient region and configured to receive a patient on a patient platform and output a beam from a radiation source, a patient location system configured to locate the patient in the patient region, a microphone array and speaker array disposed in the patient region, and a processor configured to locate a patient's ears using the patient location system and to generate a noise cancellation signal using the microphone array and the ear locations. The speaker array may be configured to output the noise cancellation signal. In some variations, the microphone array and speaker array may be disposed in an end of the gantry. Also described herein is a method of noise cancellation for a radiotherapy system, which may comprise receiving ear location data of a patient disposed in a patient treatment area of a radiotherapy system, receiving noise generated from the radiotherapy system using a microphone array, generating a noise cancellation signal using the ear location data and the received noise, outputting the cancellation signal from a speaker array. The method may optionally comprise imaging the patient to generate the ear location data. Also disclosed herein is a method of processing radiotherapy patients. One variation of such a method may comprise registering a first patient's body to a first patient platform using a registration system disposed in a registration room, moving the first patient's body on the first patient platform from the registration room to a radiotherapy room, docking the first patient platform to a radiotherapy system disposed in the radiotherapy room, where docking the first patient platform may comprise moving the first patient platform into a patient treatment region of the radiotherapy system, and treating the first patient using the radiotherapy system. Some methods may further comprise performing each step above for a second patient and a second patient platform after completing each step by the first patient. Methods may comprise administering a radioisotope to the first patient in an administering room and moving the first patient from the administering room into the registration room. These steps may be performed for a second patient and a second patient platform after completing each step for the first patient, and further for a third patient after completing each step for the second patient. Disclosed herein is one variation of a method of operating a radiotherapy system, the method comprising providing the radiotherapy system comprising a rotatable gantry, a patient platform disposed in a patient region of the gantry and configured to move relative to the gantry, a collimator mounted to the gantry, the collimator comprising a plurality of leaves configured to open and close from a plurality of gantry angles, and a radiation source coupled to the collimator, receiving a treatment plan of a patient comprising a set of open leaves and corresponding gantry angles, outputting a radiation beam from the collimator using the radiation source and the treatment plan, and varying a speed of one or more of the patient platform and gantry using the treatment plan. In some variations, the method may comprise prioritizing a speed of the collimator over the speed of the patient platform and gantry. Prioritizing the speed of the collimator may comprise varying the speed of one or more of the patient platform and gantry to maintain a speed of the collimator. In some methods, the patient platform speed may be increased in absence of the radiation beam emission. Alternatively or additionally, the gantry speed may be constant and the patient platform speed may vary or the patient platform speed may be constant and the gantry speed may vary. Disclosed herein is one variation of a method of locating a patient body structure, where the method may comprise coupling a radioactive fiducial to an external portion of the patient (where the radioactive fiducial corresponds to the patient body structure), locating the radioactive fiducial and the patient coupled to a patient platform, and registering the patient body structure to the patient platform using the location of the radioactive fiducial. The radioactive fiducial may comprise a hydrogel, and/or may be a 500 kilovolt point source. In some variations, the method may comprise treating the patient using a radiotherapy beam with the radioactive fiducial coupled to the patient. The method may optionally comprise locating the radioactive fiducial in parallel with the treating step, and determining movement of the patient body structure using the location data. In some variations, the method may comprise coupling a metal fiducial to the external portion of the patient, where the metal fiducial corresponds to the patient body structure, and locating the metal fiducial. The external portion may comprise one or more of skin, an orifice of the patient, a sternum, and a hip. In some variations, the method may comprise marking the patient at a first skin location corresponding to the patient body structure, where the radioactive fiducial may be coupled to the patient at the first location. Alternatively or additionally, the radioactive fiducial may comprise an orifice block configured for insertion in the orifice, and/or may be coupled to patient clothing configured to be worn on the patient. Another variation of a method for locating a patient body structure may comprise locating an internal region of interest of a patient, implanting a radioactive fiducial into the region of interest, locating the radioactive fiducial and the patient coupled to a patient platform, and registering the region of interest to the patient platform using the location of the radioactive fiducial. The implanted radioactive fiducial may comprise one or more of a hydrogel and a tracer. Also disclosed herein is a variation of a radiation therapy system that may comprise a rotatable gantry, a linear accelerator mounted to the gantry using a first mounting assembly, and a radiation shield disposed over the linear accelerator and mounted to the gantry using a second mounting assembly that is separate from the first mounting assembly. For example, the second mounting assembly may not directly contact the first mounting assembly, and/or the first mounting assembly and the second mounting assembly are separated by an air gap. The radiation shield and the second mounting assembly may not contact the linear accelerator, for example, the linear accelerator and the radiation shield may be separated by an air gap. In some variations, the gantry may comprise a housing with an exterior surface and an interior surface, and the first mounting assembly may be attached to the interior surface and the second mounting assembly may be attached to the exterior surface. The system may optionally comprise an actuator coupled to the first mounting assembly using a ball screw such that the actuator is coupled to the linear accelerator. The actuator may be configured to adjust the location of the linear accelerator. The actuator may be removable, and/or may be controllable from a remote location. For example, the rotatable gantry may be located in a room and the remote location may be outside of the room. Disclosed herein is a variation of a radiation therapy system that may comprise a rotatable gantry comprising a rotatable ring movably coupled to a stationary frame, a radiation source mounted on the rotatable ring, a first communication interface comprising a first receiver element mounted to the rotatable ring and a first transmitter element mounted to the stationary frame that is configured to transmit a first plurality of signals to the first receiver element while the rotatable ring is moving, and a second communication interface comprising a second transmitter element mounted to the rotatable ring and a second receiver element mounted to the stationary frame, where the second transmitter element is configured to transmit a second plurality of signals to the second receiver element while the rotatable ring is moving. In some variations, the first plurality of signals may be transmitted across the first communication interface and the second plurality signals may be transmitted across the second communication interface concurrently. Some variations may comprise a multi-leaf collimator disposed in front of the radiation source, where the multi-leaf collimator may be configured to transmit position data of individual leaves of the multi-leaf collimator to the second transmitter element for transmission to the second receiver element. The second plurality of signals may comprise gantry rotation speed data. Some variations may also comprise one or more positron emission detectors, where the second plurality of signals comprises positron emission data from the one or more positron emission detectors. The system may comprise a radiation detector mounted on the rotatable ring across from the radiation source, where the second plurality of signals comprises radiation data from the radiation detector. A controller may be located on the stationary frame and in communication with the first transmitter element, where the first plurality of signals may comprise radiation source commands from the controller. The system may comprise a multi-leaf collimator disposed in front of the radiation source, where the first plurality of signals comprises multi-leaf collimator commands from the controller. The first plurality of signals may comprise gantry rotation commands from the controller. In some variations, the first communication interface and the second communication interface may transmit signals using inductive signal transfer methods. Optionally, the system may comprise a first position sensor mounted to the rotatable ring and in communication with the first receiver element, and a second position sensor mounted to the stationary frame and in communication with the second receiver element. The rotatable ring may comprise a plurality of index markers located around the circumference of the ring and detectable by the second position sensor, and the stationary frame may comprise a plurality of index markers located around the circumference of the frame and detectable by the first position sensor. The first plurality of signals may comprise index marker data from the first position sensor and the second plurality of signals comprises index marker data from the second position sensor. The system may further comprise a controller configured to receive and compare the first and second plurality of signals to identify a difference in the first and second plurality of signals. For example, the controller may be configured to generate a signal to indicate the difference between the first and second plurality of signals. The first plurality of signals may comprise angular position data of rotatable ring from the first position sensor and the second plurality of signals comprises angular position data of the rotatable ring from the second position sensor. The system may further comprise a controller configured to receive and compare the first and second plurality of signals to identify a difference in the first and second plurality of signals. Identifying the difference between the first plurality of signals and second plurality of signals may comprise calculating a derivative of the first plurality of signals over time, calculating a derivative of the second plurality of signals over time, determining a difference between the calculated derivatives, and if the difference exceeds a predetermined threshold, generating a position sensor fault signal. Described herein is a variation of a radiation therapy system that may comprise a radiation source configured to generate a radiation beam emitted along a beam path, the radiation beam having a two-dimensional projection having a x-axis aspect and a y-axis aspect, and a beam-limiting assembly disposed in the beam path. The beam-limiting assembly may comprise upper jaws configured to shape the y-axis aspect of the radiation beam, a multi-leaf collimator configured to shape the x-axis aspect of the radiation beam, and lower jaws configured to shape the y-axis aspect of the radiation beam, where the multi-leaf collimator is located between the upper jaw and the lower jaw. In some examples, the upper jaw may be located closer to the radiation source than the multi-leaf collimator and the lower jaw, and the lower jaw may be located further from the radiation source than the multi-leaf collimator and the upper jaw. The radiation source may comprise a linear accelerator. The upper jaws may comprise inward faces that are angled at a first angle with respect to a vertical axis, and the lower jaws may comprise inward faces that are angled at a second angle with respect to a vertical axis, where the first angle is less than the second angle. The radiation beam may have a beam spread and beam boundary defined by a focal line, and the upper jaws may comprise inward faces that are not aligned along the focal line, and the lower jaw. The inward faces of the upper jaws may be angled at a first angle with respect to a vertical axis, the inward faces of the lower jaws may be angled at a second angle with respect to the vertical axis, and the focal line may be angled at a third angle with respect to the vertical axis, where the first angle may be less than the second angle. Also disclosed herein is a variation of a radiation therapy system that may comprise a rotatable gantry comprising a rotatable ring movably coupled to a stationary frame, the rotatable gantry having a radial axis, a linear accelerator mounted on the rotatable ring, and a magnetron mounted on the rotatable ring configured to provide RF energy for accelerating electrons in the linear accelerator. The magnetron may comprise a ring anode having one or more cavities including a central cavity, a cathode located in the central cavity of the ring anode, and a cathode support that couples the cathode to the ring anode, wherein a longitudinal axis of the cathode support is aligned along the radial axis of the gantry. Rotation of the rotatable ring may generate a centripetal force having a direction, and where the longitudinal axis of the cathode support may be aligned along the direction of the centripetal force. Described herein is a radiation therapy system that may comprise a gantry configured to rotate at speeds of at least 30 RPM, the gantry comprising a stationary frame and a rotatable ring coupled to the stationary frame, a radiation source mounted on the rotatable ring, and a temperature management system comprising first set of heat exchangers configured to transfer heat generated from the rotating ring to the stationary frame and a second set of heat exchangers configured to transfer the heat from the stationary frame to an external heat sink. The external heat sink may be a closed-loop, facility liquid system. Generally described herein are systems, devices, and methods for emission-guided high-energy photon delivery. In some variations, the systems, devices, and methods may be used to deliver a radiation dose to a desired region of a patient (e.g., therapeutic dose to a patient tumor). Generally, the radiation therapy systems described herein may comprise a gantry comprising a rotatable ring coupled to a stationary frame via a rotating mechanism such that the rotatable ring rotates from about 10 RPM to about 70 RPM, a radiation source (e.g., MV X-ray source) mounted on the rotatable ring, and one or more PET detectors mounted on the rotatable ring. The radiation source mounted on the rotatable ring may deliver the radiation dose to the patient, and the PET detectors mounted on the rotatable ring may detect PET events. The radiation source and the PET detector may be co-planar (e.g., both mounted on the rotatable ring, arranged such that a beam plane of the radiation source is co-planar with a detection plane of the PET detector), and the PET detector may be arranged to avoid intersecting a therapeutic radiation beam path. The radiation therapy system may comprise a patient treatment area comprising a longitudinal bore or channel extending through the gantry. In some variations, the rotating ring may comprise a first communication interface and the stationary frame may comprise a second communication interface. In some of these examples, the first and second communication interfaces may both be configured to transmit and receive data therebetween while the ring is rotating. In some variations, a gantry may comprise a temperature management system configured to dissipate any heat generated due to the motion of the rotatable ring. The temperature management system may comprise two sets of heat exchangers and ducting, the first set may be configured to transfer heat from the rotating gantry to the stationary frame and a second set located on the stationary frame may be configured to transfer heat from the stationary frame to an external thermal system (e.g., a closed-loop, facility liquid system). For example, the first set may comprise forced-air heat exchangers and/or radiative heat exchangers, and the second set may comprise heat exchangers coupled to external, chilled fluid of the external thermal system. In some variations, a radiation therapy system may further comprise a radiation source mounted on the rotatable ring of the gantry. For example, the radiation source may comprise a linear accelerator (linac) and a radially-mounted magnetron. A cathode support of the magnetron may be oriented in a radial direction with respect to the gantry. Alternatively, the radiation source may comprise a linac and a klystron. A radiation beam pulse rate of the radiotherapy system may be varied by varying the pulse rate or length of the radiofrequency (RF) pulses generated by the radiation source (e.g., magnetron or klystron) with respect to an electron injection rate or length of the electron beam gun. In some variations, the linac may be mounted to the rotatable gantry using a first mounting assembly and a radiation shield disposed over the linac may be mounted to the gantry using a second mounting assembly separate from the first mounting assembly. In some variations, the second mounting assembly does not directly contact the first mounting assembly, and/or the first and second mounting assemblies may be separated by an air gap. In other variations, one or more collimating elements may be located in the radiation beam path. For example, a radiation therapy system may comprise an upper jaw configured to shape a radiation beam along a first axis or dimension (e.g., y-axis or width), a multi-leaf collimator configured to shape the radiation beam along a second axis or dimension that is orthogonal to the first axis or dimension (e.g., x-axis or length), and a lower jaw configured to shape the radiation beam along the first axis or dimension or dimension. The position of the linac with respect to one or more collimating elements may be adjusted by a motor (e.g., actuator) that may be remotely-controlled. For example, the radiation therapy system may be in a room or bunker, and an operator located in a different room (e.g., control room) may be able to adjust the position of the linac by controlling the motor coupled to the linac. Optionally, a radiation therapy system may comprise an imaging radiation source (e.g., a kV X-ray source) mounted on the rotatable ring in addition to a therapeutic radiation source (e.g., a MV X-ray source), where the imaging radiation source may be configured to acquire images of the patient just before, and/or during, and/or after a treatment session. The imaging radiation source and the therapeutic radiation source may be located at different longitudinal locations along the length of the gantry bore (such that the radiation beam generated by the imaging radiation source is not co-planar with the therapeutic radiation source) or located at the same longitudinal location (such that the radiation beam plane generated by the imaging radiation source may be co-planar with the radiation beam plane generated by the therapeutic radiation source). In some variations, the imaging radiation source and the therapeutic radiation source may have a separate linac, radiation source, electron injector and beam converter assemblies, while in other variations, the imaging radiation source and the therapeutic radiation source may have the same linac, radiation source, electron injector and beam converter assembly. In some variations of the system, a radiotherapy device having a rotatable gantry may comprise a bore configured to reduce patient discomfort due to confinement within a small space (e.g., claustrophobia). In some cases, a patient may be sedated during treatment to avoid claustrophobia and to limit patient movement on a patient platform. However, sedation poses risks and may be undesirable for some patient groups such as the elderly, patients with advanced disease, and/or patients taking medication. The radiotherapy devices, as described herein, may facilitate patient comfort related to confinement and encourage the patient to remain motionless for longer periods of time to receive radiotherapy treatment and may help to reduce the use of sedatives. In some variations, the bore may increase in diameter toward the end of the bore (i.e., a variable-diameter bore or stepped bore) with audio/visual sensory cues that simulate an enlarged space in order to reduce patient anxiety. In some variations, the rotatable gantry may be enclosed within a housing. The housing may comprise a longitudinal channel or bore that is sized for patient. The channel or bore may be open on one end and closed on an opposite end (e.g., closed bore) or may be open on both ends (e.g., open bore). The patient's comfort may further be enhanced by providing airflow over the patient while they are in the delivery system. The radiation therapy systems disclosed herein may also be used in tomotherapy methods where the therapeutic radiation source rotates around a patient treatment region as the patient couch moves through the region. This may provide a helical or spiral pattern of X-ray irradiation. In some methods, the radiation directed toward the patient treatment area may be intensity-modulated, for example, where the intensity of the radiation beam at each gantry angle and each couch position may vary. For example, when higher levels of modulation are required by a treatment plan, the gantry and/or patient platform may slow down, and when less modulation is required, the gantry and/or patient platform may increase speed. I. Systems Gantry Generally, the systems described herein may comprise a gantry having a stationary frame and rotatable ring coupled to the stationary frame via a rotating mechanism, a therapeutic radiation source (e.g., MV X-ray source) mounted on the rotatable ring, and one or more PET detectors mounted on the rotatable ring. The radiation therapy system may also comprise a MV detector mounted on the rotatable ring opposite the therapeutic radiation source. The beam emitted from the therapeutic radiation source may be shaped by one or more jaws, and/or a multi-leaf collimator (e.g., a binary multi-leaf collimator), and/or any number of beam-shaping components, such as additional collimators or jaws, as may be desirable. The rotating mechanism may comprise a slip ring and a drive train that are capable of rotating the ring from about 10 RPM to about 70 RPM. The rotatable ring may rotate about a patient treatment area, which may comprise a bore or channel through the gantry. The gantry may be enclosed within a housing that may have a housing bore or channel that corresponds to the gantry bore or channel. The gantry housing may be a mechanical and/or visual barrier between the patient and the gantry. A radiation therapy system may also comprise a patient platform that is configured to move the patient into and out of the patient treatment area. The position of the patient platform within the bore or channel of the gantry, the position of the radiation source (which may be a therapeutic radiation source) around the patient treatment area (e.g., circumferential location of the radiation source around the gantry bore or channel) and the radiation pulses from the radiation source may be timed by a controller such that a desired dose is delivered to a desired region of the patient (e.g., a tumor region). In some variations, the rotatable ring may be configured to continuously rotate 360 degrees in one or more directions (e.g., clockwise and/or counterclockwise), while in other variations, the rotatable ring may be configured to rotate less than 360 degrees in one or more directions (e.g., rotate clockwise about 270 degrees and counterclockwise about 270 degrees, rotate clockwise about 150 degrees from a vertical axis and counterclockwise about 135 degrees from the vertical axis, rotate clockwise about 180 degrees from the vertical axis and about 150 degrees from the vertical axis, etc.). The one or more PET detectors may be mounted along at least a portion of the circumference of the rotatable ring (e.g., inner circumference, outer circumference, or any location between the inner and outer circumference). The location of the PET detectors with respect to the length of the bore or patient area may be the same as the location of the MV or therapeutic radiation source and MV detector (e.g., on the same “slice” of the rotating ring). That is, the radiation beam emitted by the therapeutic radiation source may be on the same plane as the PET detectors. The PET detectors may be arranged to avoid intersecting with the radiation beam path, and instead, a MV detector may be located in the therapeutic radiation beam path. In some variations, the PET detectors may span a subset of the circumference of the ring (e.g., 180 degrees). For example, a first array of PET detectors may be mounted on a first segment or length of the rotatable ring that has a length of about 25% of the circumference of the ring and a second array of PET detectors may be mounted on a second segment or length of the ring that has a length of about 25% of the circumference of the ring. In this variation, the portion of the ring circumference that is covered by PET detectors is about 50% of the circumference. The first and second arrays of PET detectors may be located generally opposite each other (e.g., directly opposite each other, such that the center of each of the PET detector arrays are about 180 degrees from each other), or alternatively, the first array of PET detectors may be offset from the second array of PET detectors so that they are not opposite each other (e.g., the center of each of the PET detector arrays are less than about 180 degrees from each other, for example, about 45 degrees, about 90 degrees, or about 120 degrees, or about 150 degrees, etc.). In variations where the PET detectors are not on the same plane or “slice” of the rotating ring as the therapeutic radiation source (i.e., where the PET detectors are not co-planar with the therapeutic radiation source), the PET detectors may span the entire circumference of the ring (e.g., 360 degrees). FIGS. 1A-B depict one variation of a radiation therapy system (100) (which may be an emission-guided radiation therapy system) comprising a gantry (102) enclosed within a housing (103), a patient treatment area (104) within a bore (105) of the gantry, and a patient platform (106). The gantry (102) may be a rotatable gantry, such as a circular gantry, comprising a stationary frame (108) and a rotatable ring (110) that may be configured to continuously rotate 360 degrees clockwise or counterclockwise (e.g., continuously rotating) from about 10 RPM to about 70 RPM with respect to the stationary frame (108). The housing (103) may have a shape that generally follows the contours of the gantry (102) such the patient platform (106) may be advanced into and out of the bore (105). Enclosed within the internal volume of the housing (103) and depicted in FIG. 1B, the radiation therapy system (100) may further comprise a therapeutic X-ray source or radiation source (112) such as a linear accelerator (linac) (114) mounted on the rotating ring (110) at a first longitudinal location along the bore (105) and an imaging X-ray source or radiation source (116) mounted on the rotating ring (110) at a second longitudinal location along the bore (105). In this variation, the radiation beams generated by the imaging radiation source (116) may not be co-planar with the radiation beams generated by the therapeutic radiation source (112). The length (101) of the bore (105) may be between about 120 cm and about 210 cm. In some variations, the length (101) of the bore (105) may be about 185 cm. A diameter of the bore (105) may be between about 60 cm and about 120 cm. In some variations, a diameter of the bore (105) may be about 85 cm. The patient platform (106) may be configured to extend from the platform base (107) such that the extension length (109) may be between about 150 cm and 250 cm. In some variations, the extension length (109) may be about 190 cm. The housing (103) may have a height (130) of between about 220 cm and about 280 cm. In some variations, the housing (103) may have a height of about 250 cm. The housing (103) may have a width (132) of between about 225 cm and 325 cm. In some variations, the housing (103) may have a width (132) of about 276 cm. The housing (103) may have a length between about 120 cm and 230 cm. In some variations, the housing (103) may have a length of about 185 cm. The length (134) of the radiation therapy system (100), including the gantry and the patient platform may be between about 400 cm and about 500 cm. In some variations, the length (134) of the radiation therapy system (100) may be about 439 cm. In some variations, the depth (e.g., pit) may be such that the axis of the bore (105) is about 110 cm from the bottom of the system (100). In some variations, the depth may be between about 10 cm and 60 cm. In some variations, the depth may be about 32 cm. The radiation therapy system (100) may also comprise one or more PET detectors mounted on the rotating ring (110). For example, as depicted in FIG. 1C, the system (100) may comprise a first array (118) of PET detectors mounted along a first length (119) of the circumference (e.g., inner circumference) of the rotating ring and a second array (120) of PET detectors mounted along a second length (121) of the circumference (e.g., inner circumference) of the rotating ring. The first array (118) may be located directly across from (e.g., 180 degrees from) the second array. The length of the first and second arrays may be the same or may be different. In the variation in FIG. 1C, the length of the first and second arrays is the same, and each may have a length that is about 25% of the inner circumference of the rotating ring (110). The arc occupied by the first array (118) (and the second array (120)) may have an angular sweep of about 90 degrees, but may have any desired angular sweep (e.g., from about 45 degrees to about 180 degrees, about 60 degrees, about 75 degrees, about 120 degrees, about 135 degrees, about 140 degrees, about 150 degrees, about 155 degrees, etc.). In other variations, the PET detectors (118, 120) may be arranged around the entire length of circumference of the ring except for the portions of the circumference that may be occupied by the therapeutic radiation source and a MV detector located opposite the therapeutic radiation source. In some variations, one or more PET detector arrays or modules mounted on the rotatable ring may be co-planar with the radiation beam emitted by the therapeutic radiation source, and may each provide approximately 25% coverage (e.g., 50% coverage together) azimuthally such that as they rotate, they are compatible with being co-planar with the radiotherapy beamline (i.e., the PET detector arrays or modules are not located in the therapeutic beam path). That is, each array may cover about 25% of the total angular sweep of the rotatable gantry. The width of the PET detector arrays or modules (i.e., along the length of the bore) may be selected at least in part to help facilitate the acquisition and detection of PET events (e.g., emission paths). For example, the width of the PET detector arrays or modules may be between about 4 cm and about 20 cm. In some variations, the width of the PET detector arrays or modules may be about 5 cm. Alternatively, PET detector arrays may be located on a separate ring or gantry from the therapeutic radiation source and/or MV detector. In some variations, the PET detector ring or gantry may be non-rotatable while in other variations, the PET detector ring or gantry or may rotatable. A rotatable PET detector ring or gantry may rotate in concert or synchrony with the therapeutic radiation source ring or gantry. For example, the PET ring or gantry may be mechanically mounted to the therapeutic radiation source ring or gantry such that rotating one of the gantries causes rotation of the other. Alternatively, the PET ring or gantry may be separately rotatable from the therapeutic radiation source ring or gantry. For example, a motion controller may rotate the two gantries or rings together or separately, as may be desirable. Optionally, in addition to a therapeutic radiation source, a radiation therapy system may comprise a kV X-ray source or imaging radiation source mounted on the rotatable ring and a kV X-ray detector also located on the rotatable ring opposite to the kV X-ray source or imaging radiation source. The radiation from the kV X-ray source or imaging radiation source may be emitted along a first plane, while the radiation from the therapeutic radiation source may be emitted along a second plane. The first plane and the second plane may not be co-planar. For example, the imaging radiation source may be mounted on a rotatable ring at a first longitudinal location of the bore or channel extending through the gantry, while the therapeutic radiation source may be mounted a rotatable ring at a second longitudinal location of the bore or channel. The rotatable ring(s) to which the imaging radiation source and the therapeutic radiation source are mounted may be the same or different rotatable rings, which may be configured to rotate together (e.g., in synchrony) or rotate independently (e.g., rotation of one gantry is separate from rotation of the other gantry). The first and second planes may be generally parallel to each other, or may be at a non-zero angle with respect to each other. In other variations, the first plane and the second plane may be co-planar. For example, the imaging radiation source may be mounted at the same longitudinal location of the bore or channel as the therapeutic radiation source. Alternatively or additionally, there may be a single X-ray source or radiation source that may be used to treat patient regions with radiation, as well as help to provide data that may be used for image or dose reconstruction. The radiation therapy system may comprise a kV detector mounted on the rotatable ring opposite the kV or imaging radiation source. Data from the kV detector may be used for registering the position of the patient within the radiation therapy system, and/or generating an anatomical image of the patient. Although the MV radiation source may be described as a therapeutic radiation source, it should be understood that data acquired as a result of irradiation from the MV radiation source may be used in the computation and generation of images and/or dose maps. The therapeutic radiation source may be any type of ionizing radiation, for example, photon radiation (e.g., X-rays and gamma rays) and/or particle radiation (e.g., electrons, protons, neutrons, carbon ions, alpha particles, and beta particles). A radiation therapy system may comprise a controller in communication with the gantry. The controller may comprise one or more processors and one or more machine-readable memories in communication with the one or more processors. The controller may be connected to the gantry by wired or wireless communication channels. The controller may be located in the same room or bunker as the gantry, or may be located in a different room or bunker from the gantry. In some variations, the controller may be located on the gantry, and may be, for example, mounted on the stationary frame of the gantry. The controller may be configured to coordinate the movement of the couch with the rotation of the gantry (e.g., speed), activate the radiation source(s), open or close collimator leaves/jaws, detect the position of the collimator leaves/jaws, detect positron emission paths, detect MV radiation applied to the patient, compute delivered dose based on detected MV radiation data, store treatment plan data, anatomical data from other imaging modalities including, but not limited to, MRI, CT, ultrasound, etc. The transfer of data and command signals between the stationary frame and the rotating ring may be facilitated by one or more communication interfaces that are configured to continuously transmit signals while the ring is rotating. Real-time positron emission data collected by the PET detectors and/or gantry rotational data (e.g., speed) and/or gantry positional data (e.g., gantry angle) may be transmitted across the one or more communication interfaces to the controller. The controller may use such data to update the treatment plan, for example, by adjusting the rotation speed of the ring, opening or closing certain leaves of a multi-leaf collimator disposed over the therapeutic radiation source, and/or by adjusting the timing of the therapeutic radiation pulses. Rotatable Ring and Stationary Frame Generally, the systems described herein may comprise a gantry comprising attachment or mounting assemblies that may help to reduce the effect of vibrational and/or centripetal forces of a rapidly rotating ring (e.g., about 50 RPM, about 60 RPM, about 70 RPM) that may cause shifts in position. For example, the components mounted on a ring rotating at about 60 RPM or more may be subject to greater levels of centripetal forces than on a gantry rotating at slower speeds (e.g., about 10 RPM, about 20 RPM). The components mounted on the rotatable ring, such as the radiation source(s), various detector(s) (e.g., MV detectors, kV detectors, PET detectors), as well as the multi-leaf collimator(s), jaw(s), linac, and all supporting structures, may have a total weight on the order of about two tons. Rotating two tons on a ring having a diameter of about 1.4 meters at a speed of about 60 RPM may generate forces that may cause deflections on the ring itself, and may also generate forces that could impact the reliable functioning of the ring-mounted components. The various component and sub-systems of the radiation therapy system described herein may comprise specialized mount assemblies and/or arrangements and/or orientations to help mitigate the effect of these forces. In some variations, the gantry may also comprise motors or actuators to facilitate positional adjustments of radiation source(s) should they shift or become misaligned with other components of the radiation therapy system (e.g., multi-leaf collimator(s), jaw(s), detector(s)). While some radiation therapy systems may comprise all of the components described herein, it should be understood that some variations may comprise a subset of these components, as may be desired. Some radiation therapy systems may comprise a continuously-rotating gantry comprising a rotatable ring and a stationary frame. The gantry may be configured to rotate 360 degrees or more in one or more directions (e.g., capable of rotating 360 degrees or more counterclockwise and/or rotating 360 degrees or more clockwise). A continuously-rotating gantry may receive its rotational force from a traditional motor and coupled drive system or from an integrated rotor and stator design. For example, a continuously-rotating gantry may comprise one or more embedded magnetic elements or inductive elements located on the rotatable ring. The stationary frame of the gantry may comprise embedded inductive elements or magnetic elements. In this arrangement, the rotatable ring may rotate with respect to the stationary frame in a similar fashion as a rotor rotates with respect to a stator of a rotary system. In order to reduce latencies from the time a lesion or target region is located to therapeutic radiation delivery, the system may rotate the therapeutic radiation source and delivery hardware at much higher speeds than traditional radiotherapy systems. A radiation therapy system may comprise rotor and stator elements that are integrated into the same structure that supports the bearings, which may help the gantry rotate several tons of hardware continuously (e.g., 360 degrees) at speeds up to about 70 RPM (e.g., at least about 50 RPM, about 60 RPM, etc.). FIG. 2 is a cross-sectional schematic view of one variation of a gantry (200) comprising a rotatable ring (202) and a stationary frame (204). The rotatable ring (202) may comprise an arm (206) having one or more rotor elements, which may be embedded magnetic or inductive elements (214). The rotor elements may be enclosed within a housing of the ring arm (206) or rotatable ring (202). For example, the embedded magnetic or inductive elements may comprise rare-earth magnets and/or electromagnetics and/or coils enclosed in steel. There may be a plurality of rotor elements (214) disposed around the circumference of the rotatable ring (202), for example, 3, 4, 5, 7, 8, 10, 12, 15, 19, 20, 24, 25, 28, 30, 50, 64, 75, 100, 125, 128, 135, 150, 175, 200, 256, etc. In some variations, the rotor elements (214) may be evenly distributed around the rotatable ring (202). The stationary frame (204) may comprise an arm (208) configured to rotatably engage with the ring arm (206) via a ball bearing assembly (210). The ball bearing assembly (210) may be at least partially enclosed and secured by a bearing housing or bearing plate (211). One or more stator elements or drive motors (212) may be mounted on frame arm (208) at a location that is in proximity to the enclosed or embedded rotor elements (214) on the ring arm (206), and there may be a space or gap between the drive motor and the rotor elements (e.g., a gap between the drive motor and the rotor element housing). Optionally, the drive motor (212) may be integrated or embedded within in the bearing housing or plate (211). In some variations, the motor (212) may be an inductive motor that is configured to exert a motive force on a rotatable ring with an inertia of about 3400 kg*m2 from rest to about 60 RPM within about 20 seconds. Activation of the motor (212) may then induce a magnetic field in the arm (208) that applies a magnetic force on the enclosed or embedded rotor elements (214) generating a motive force to cause rotation of the rotatable ring (202). The rotation of the ring (202) with respect to the stationary frame (204) may be supported by the ball bearing assembly (210). The ball bearing assembly (210) may be located between the ring arm (206) and the frame arm (208), adjacent to the interface between the rotor elements (214) and the drive motor or stator elements (212). For example, the ball bearing housing (211) may have a recess and the ring arm (206) may have a corresponding recess, and the ball bearing assembly (210) may be located within a cavity formed by the recesses. In some variations, stator elements may comprise a plurality of individual coils that are arranged in a ring-shaped band (also depicted in FIG. 3G). The mechanical contact between the components of the ball bearing assembly (210), the ring arm (206), and the frame arm (208), may comprise one or more lubricants and/or surface modifications to reduce the friction between the components. Optionally, certain weld joints of the ball bearing assembly (210) and/or the ball bearing housing or plate (211) and/or between the frame arm (208) and the stationary frame (204) may be omitted or reduced to help reduce frame and rotating gantry deflections, which may help to improve bearing life while maintaining required structural support. Embedding or enclosing the magnetic or inductive elements (214) within a casing or housing may help to reduce the amount of stray magnetic fields that may perturb the electron beam in the linac. Increasing the number of rotor elements and/or distributing the rotor elements around the gantry may help to reduce or eliminate cogging torque, which may occur when a rotor element is not aligned with the drive motor. The rotation of up to several tons of mass at high rotational speeds produces high levels of kinetic energy. The components mounted on the rotatable ring may be secured such that they remain attached despite the high levels of kinetic energy (e.g., so they do not pose a threat to safety). The system bearing tolerances are configured such that they reduce vibration that can lead to fasteners loosening. For example, fasteners for the components on the rotatable ring may be secured using industry practices such as bonding epoxies, torque wrenches, mechanical locking fasteners, and controlled assembly procedures. Some variations may comprise one or more accelerometers mounted on the rotatable ring, and data from the accelerometer(s) may be analyzed to detect loose fasteners or components. When the radiation therapy system is in use, rotation of the gantry may exhibit periodic accelerations that manifest from vibrations and motion, and may be measured using the one or more accelerometers. In some variations, a Fourier analysis of the acceleration data from the one or more on-board accelerometers while the gantry is rotating (e.g., during a treatment session) can be compared to baseline acceleration data. Detected differences may indicate when components on the rotatable begin to loosen, and a notification may be generated to the operator. The structure of the rotatable ring may be configured to withstand forces and/or stresses that are generated during rotations up to about 70 RPM with deflections of about 0.5 mm or less. Rotating about two tons of mass that are located about 1.4 meters from the rotational axis at about 60 RPM can generate forces (e.g., deflection forces) and/or stresses (e.g., hoop stresses) that are orders of magnitude greater than the forces and stresses of slower rotating rings (e.g., about 10 RPM or less) or rings loaded with less mass (e.g. CT imaging rings). Traditional radiotherapy and CT imaging rings usually comprise a disk and with a bearing that is approximately co-planar with the disk. Components, such as any radiation sources, radiation detectors, image detectors, controllers, may be mounted to a front surface or plane of the disk. This may cause a cantilevered load off the surface of the disk, which may cause instabilities and/or deflections during rotation at speeds at about 60 RPM or more, especially when the disk is loaded with heavy components (e.g., radiation shields, linac, etc.). Deflection of a disk may be approximately cubic to the thickness of the disk. Although increasing the thickness of the disk may help to increase the stiffness of the disk, components mounted to a front surface or plane of the disk may still result in instabilities during rotation at speeds of about 60 RPM or more. A rotatable ring comprising a drum structure may help address instabilities and deflections during rotation at speeds of about 60 RPM or more. A drum structure may comprise a first ring-shaped end surface or plane, a second ring-shaped end surface or plane, and lateral support or rib structures that extend along a length between the first and second ring-shaped end surfaces. The length of the lateral supporting structures may be from about 45 cm to about 95 cm, e.g., about 60 cm, about 75 cm, etc. The lateral support or rib structures may comprise a plurality of support beams, and/or brackets, and/or cage structures, and/or struts that extend between and attach to the first and second end surfaces. The lateral support structures may have mounting surfaces for the various components of the radiation therapy system. A roughly cubic relationship of deflection to thickness (which in the case of a drum, is the separation distance of the two end surfaces, or the length of the lateral support or rib structures) may provide, for example, approximately 0.001 times the deflection for a 10× separation (i.e. 60 cm separation versus 6 cm thick disk). In addition to increasing the overall stiffness of the rotatable ring (thereby reducing its deflection when fully-loaded and rotating at speeds of about 60 RPM or more), the drum structure may also allow components of the radiation therapy system to be mounted on the inner surfaces (e.g., radially-inward surfaces along lateral support structures, inner-facing surfaces of the ring-shaped end surfaces), as well as the outer surfaces (e.g., radially-outward surfaces along lateral support structures, outer-facing surfaces of the ring-shaped end surfaces) of the drum. Increasing the number of locations for mounting components by using a drum structure instead of a disk may allow the same number of components to be mounted but on a ring with a smaller outer diameter. Reducing the overall outer diameter of the rotatable ring may also reduce its overall mass and rotating inertia. The radial mounting of the components on the lateral support structures along the length of the drum may also help facilitate service of the components mounted on the ring. Rotatable rings where the components are mounted on a surface or plane of a disk may require the removal of the entire housing or enclosure(s) to access and service components mounted on the disk surface. A rotatable ring comprising a drum structure may allow access and service of the radially-mounted component via an opening and a panel (e.g., a hatch) located on a lateral side of the housing. The drum can be rotated to the access panel or hatch, and the components may be serviced or removed radially, without requiring the removal of the entire housing. Optionally, a hand-actuated brake may be provided to stop rotation of the ring or lock its position during repair or servicing. FIGS. 15A and 15B diagrammatically depict the different forces sustained by a disk and a drum structure. The deflection of a disk, δ, is approximately proportional to the mass times the length of the drum squared divided by the thickness of the drum cubed. The deflection of a disk is calculated by starting with the bending moment for a beam, as depicted in FIG. 15A. δ disk = mal 2 4 ⁢ ⁢ EI Where:E=Young's Modulusm=Total MassI=Moment of Inertia I ≅ bt 3 12 Where:b=width of section in bending which approximately equals the outside diameter of the disk. δ disk ≅ 3 ⁢ ⁢ mal ⁢ 2 Ebt ⁢ 3 δ ≅ 3 ⁢ ⁢ mla 2 Ebt ⁢ 3 In contrast, the deflection of a drum structure, δ, may be approximately proportional to the mass times the length of the drum squared divided by the longitudinal length of the drum (i.e., the distance between the two end surfaces or planes). This may provide an advantage for the stiffness versus mass for a drum structure versus a cantilevered disk. FIG. 15B depicts the forces sustained by a drum structure having a mass mounted thereon. δ = ω ⁢ ⁢ l ⁢ 3 8 ⁢ ⁢ EI ≅ m ⁢ ⁢ l 2 8 ⁢ ⁢ EI Where:E=Young's ModulusM=Total MassI=Moment of InertiaI≅πr3t Where:r=inner radius of the drum structuret=“thickness” or longitudinal length of the drum structure δ ≅ m ⁢ ⁢ l ⁢ 2 8 ⁢ ⁢ E ⁢ ⁢ π ⁢ ⁢ r 3 ⁢ t FIGS. 16A-16C depict one variation of a rotatable ring comprising a drum structure (1600). The drum structure (1600) may comprise a first end surface (1602), a second end surface (1604) opposite the first end surface, and lateral support structures (1606) disposed along a longitudinal distance or length between the first and second end surfaces. The first and second end surfaces may each comprise a ring-shaped plate with a central opening (1608). The drum structure (1600) may comprise a plurality of outer surfaces (1610) and inner surface (1612) that may be suitable for mounting various components and electronic circuits. As described previously, various components may be mounted on the rotatable ring, for example, the therapeutic radiation source, and/or PET detectors, and/or MV detector, and/or kV detector, and/or imaging systems or radiation sources, heat exchangers, etc. In some variations, larger and/or more massive components may be mounted on the lateral support structures while smaller and/or less massive components may be mounted along the first and second end surfaces or plates. As an example, FIG. 16A depicts a linac (1614) radially mounted on the lateral support beams (1606), and a radiation shield mounting assembly (1616) mounted on the lateral support beams (1606) and also along the first and second end plates (1602, 1604). A beam stop or counterweight (1618) may be mounted on the lateral support beams (1606) across from the linac (1614). The beam stop (1618) may be located under a MV detector (not shown). The second end surface or plate (1604) may comprise a plurality of recesses (1622) for ball bearings and rotor supports. The lateral support structures or beams may comprise a plurality of cutouts or openings (1620) disposed about the circumference of the drum structure (1600) for mounting components and/or to provide access pathways to service or remove/replace components. FIG. 16B is a schematic depiction of a front-view of a gantry comprising a stationary frame (1630) and a rotatable ring with a drum structure (1632) and side views of a top portion of the gantry (above the rotational axis (1633)) and a bottom portion of the gantry (below the rotational axis (1633)). Components (1634) mounted on the drum (1632) may be circumferentially disposed about a bore (1631), and the drum may be configured to rotate about the rotational axis (1633). The drum structure (1632) may be coupled to the stationary frame (1630) via a bearing (1636), e.g., ball bearings, as described above. The drum (1632) may comprise lateral support structures or beams (1638), upon which the components (1634) may be radially mounted. As depicted in FIG. 16C, the gantry may be enclosed in a housing or enclosure (1640). Mounting the components (1634) upon the lateral support beams (1638) may allow radial access (e.g., in the direction of arrow 1642) to the components. For example, the component (1634) may be moved or installed in a radial direction (1642) via a lateral access panel or hatch, which may be represented by the dotted lines (1641). FIGS. 17A-17C depict one variation of a system comprising gantry having a rotatable drum structure and a housing enclosing the gantry. The housing may comprise one or more lateral access panels or hatches. The radiation therapy system (1700) may comprise a gantry enclosed in a housing (1702) comprising a lateral access panel or hatch (1704). The gantry may comprise a rotatable ring (1706) having a drum structure as described above, and components (1708) that are radially mounted on the lateral support beams or structures. When a component on the rotatable ring needs to be serviced or replaced, the ring may be rotated such that the component is aligned with the one or more access panels or hatches. Opening the panel or hatch may allow a technician to repair or remove or install a component (e.g., by moving the component along a radial direction), without further disassembling larger portions of the housing (e.g., the front or back faces of the housing). FIGS. 16D-16E depict one variation of a gantry comprising a rotatable ring comprising a disk. In contrast to a rotatable ring comprising a drum structure (as depicted in FIGS. 16A-16C), the components are mounted onto the front surface of the disk, and are not mounted in a radial direction. FIG. 16D is a schematic depiction of a front-view of a gantry comprising a stationary frame (1650) and a rotatable ring with a disk (1652), and side views of a top portion of the gantry (above the rotational axis (1653)) and a bottom portion of the gantry (below the rotational axis (1653)). Components (1654) may be mounted on a front surface of the disk (1652), and the disk may be configured to rotate about the rotational axis (1653). The disk (1652) may be coupled to the stationary frame (1650) via a bearing (1656), e.g., ball bearings, as described above. As depicted in FIG. 16E, mounting the components (1654) on the front face or surface of the disk takes place along a direction that is perpendicular to the face of the disk, as indicated by the direction of arrow 1642). That is, the components (1654) may be installed or removed in a direction that is orthogonal to the radius of the disk. In some variations, access to components (1654) through a gantry housing may be provided through a front or back face of the housing, and not from the lateral sides of the housing. This may involve disassembling substantial portion of the front and/or back face of the housing in order to service, install, and/or replace components mounted on the disk (1652). Communication Interface Generally, the systems described here may comprise a data interface to facilitate continuous and rapid transfer of data and command signals. The data interface may be provided between the rotatable portion and the stationary portions of the gantry and may comprise motion-independent communication interfaces that transfer data between the rotatable and stationary portions while the rotatable portion is rotating. In some variations, a radiation therapy system may comprise one or more signal transfer or communication interfaces between the components mounted on the rotatable ring and a controller that is not located on the rotatable ring (e.g., mounted to the stationary frame, and/or in communication with the stationary frame). The types of signals and data transferred between the rotatable and stationary portions of the radiation therapy system may comprise operational command signals (e.g., from the controller to the linac to fire radiation pulses or to the multi-leaf collimator to open or close certain leaves), positron emission data from the PET detectors, radiation data from the MV detector and/or kV detector, as well as positional data of the components on the rotatable ring (e.g., rotation speed, linac location/gantry angle/firing position or index, position of the collimator leaves, jaws, etc.) and system status data (e.g., temperature, ambient radiation levels, etc.). In one variation, a radiation therapy system may comprise a combined static and rotating/dynamic gantry communication interface to accommodate a relatively fast (e.g., 60 RPM) continuously-rotating gantry, while maintaining high angular precision for capturing PET data and delivering radiation. A radiation therapy system comprising a fast, rotatable gantry may comprise a slip ring-based communications interface or linkage. That is, in order to correctly latch angular position to each detected PET event (or radiation delivery angle), the time delay between the detection or measurement of the position of the PET detectors and the detection or measurement of PET events should be reduced. In many radiation therapy systems, the inherent time lag for position information from a static read head coming across a slip-ring based communication link is too great for the desired temporal precision, and may result in unacceptable treatment accuracy. Contact slip rings (which are often used) are not ideal for use in rapidly-rotating gantry systems (e.g., 60 RPM or more), since the rapid rotation may expedite the wear and tear on the contacting components and compromise the data connection and signal integrity. A rotating and stationary encoder may help to facilitate timely and rapid transfer of system feedback to the controller so that PET data may be precisely linked with the location of where that PET data was collected, and so the linac and collimator may be activated when rotated to the desired gantry angle or firing position. Additionally, positional data feedback of the drive motor and/or controller regarding the rotation speed may help to maintain a desired (which may be steady or varied) rotation speed within a specified motion error. System status data that is consistently and rapidly transferred to the system controller may help to timely identify any failures (even single points of failure) in the system before such failures escalate into safety hazards or substantial system damage. Furthermore, precise synchronization across stationary and rotating components may help to regulate gantry rotation with respect to linac firing. To accommodate rapid and robust transfer of data, the radiation therapy systems described herein may comprise two or more independent communication interfaces that provide redundant feedback data for verification of gantry rotation and signal synchronization (e.g., dual feedback communication channels). This data may help the controller to rapidly and accurately detect when any system component deviates from a desired standard or tolerance, to generate the appropriate notification(s) to the operator, and/or to automatically generate diagnostic and/or repair commands to correct such deviations. In some variations, the feedback data from two or more communication interfaces may be continuously compared and monitored for any timing deviations that exceed a prescribed tolerance. For example, angular alignment data transmitted across two feedback data channels may be compared and monitored at regular intervals (e.g., at frequencies from about 500 Hz to about 2000 Hz, about 1000 Hz) to help ensure that the rotating ring and the stationary frame are precisely aligned. In some variations, the two or more independent communication interfaces have separate read heads (e.g., receiver elements) and separate encoders (e.g., transmitter elements). In one variation, a first communication interface may comprise a first read head mounted on the rotatable ring of the gantry, where the first read head is in communication with a first position sensor that is also mounted on the rotatable ring, and a second communication interface may comprise a second read head mounted on the stationary frame, where the second read head is in communication with a second position sensor that is also mounted on the stationary frame. The first and second position sensors may be magnetic and measure incremental position around the gantry (e.g., relative position between the rotatable ring and the stationary frame). In one variation, the rotatable ring and the stationary frame may each have index marks spaced at about 15 to about 18 degrees intervals around their circumference, which may allow the first and second position sensors to identify the angular or rotational location of the rotatable ring relative to the stationary frame. As the first position sensor moves across an index marker on the stationary frame (and/or as an index marker on the rotatable ring moves across the second position sensor), the first position sensor may output a first index signal (and/or the second position sensor may output a second index signal). The absolute position of the rotatable ring may be computed by the controller after the ring has rotated through at least two index markers. Additionally or alternatively, the first and second position sensors may each output a signal that represents the rotary or angular position of the rotatable ring with respect to the stationary frame. In one variation, the stationary frame and the rotatable ring may each comprise a plurality of locator marks and a plurality of counts located between the locator marks. The number of counts between locator marks of a rotatable ring may be different from the number of counts between locator marks of a stationary frame. Locator marks and counts may comprise a strip of magnetic and/or metallic material that is detectable by a sensor (e.g., a read head or receiver unit) on the rotatable ring and/or stationary frame (e.g., a magnetic flux may induce a current in the sensor as it moves past the locator mark or count). A plurality of locator marks distributed around the circumference of the rotatable ring or stationary frame may comprise an encoder strip. In some variations, a system may comprise a first encoder strip on an outer circumference of a slip ring rotor, and a second encoder strip on the outer circumference of a slip ring stator. The encoder strips may be flat bands that are built into the structure (e.g., located within a recess of a rotatable ring and/or stationary frame) so that a receiver or sensor can detect information as it sweeps over the encoder strip. For example, a rotatable ring may comprise a feedback or encoder strip comprising 22 locator marks and 114,400 counts, and a stationary frame may comprise a feedback or encoder strip comprising 20 locator marks and 136,000 counts. By having locator marks that are differently or uniquely spaced by counts, the location of the rotatable ring may be identified after a sensor or receiver unit of the rotatable ring and/or stationary frame has swept over two consecutive locator marks on the stationary frame and/or rotatable ring. Each set of counts between the locator marks may be associated with a specific arc length of the 360 degree rotation. In some variations, as a safety feature, the feedback strips may be uniquely patterned with different numbers of counts between the locator marks to allow for independent confirmation of the rotatable ring location. The function of the first and second position sensors may be monitored to help ensure that the position of the rotatable ring is accurately measured. If the precision or accuracy of one or both of the position sensors falls outside of a prescribed tolerance, an error or fault signal may be generated, indicating to the operator that one or both of the position sensors has failed. One method of evaluating the functionality of the position sensors may comprise comparing the derivative of a position sensor signal (e.g., a signal representing the rotary or angular position of the rotatable ring) over time. This may help facilitate a faster, more robust indication of a problem or fault of one of the position sensors. The derivative may be generated by several methods including first difference equations, digital filters, Kalman estimators, or utilizing other estimation techniques. In one example, data from the position sensors indicative of the rotary or angular position of the rotatable ring is transmitted to a system controller. A controller processor may then compute the derivative of the rotary or angular position signal for each of the position sensors by any appropriate method, such as first difference equations, digital filters, Kalman estimators, or utilizing other estimation techniques. The computed derivatives from each position sensor may be compared, and if the difference between the computed derivatives of the two position sensors exceeds an error threshold, the processor may then generate a signal indicating that one or both of the position sensors need to be checked, repaired, or replaced. In some variations, the error threshold may be a difference in the derived rotation speed between the first and second position sensors of about 0.5 RPM, and/or a difference in computed rotary or angular position of the rotatable ring or the derivative of the rotary or angular position signal of about 0.5 degrees. The data output from the position sensors may be continuously monitored and compared, and in some variations, may be polled and compared at a frequency from about 500 Hz to about 2000 Hz, e.g., about 600 Hz, about 750 Hz, about 900 Hz, about 1000 Hz, about 1400 Hz, about 1500 Hz, etc. The data output from the position sensors may be polled and compared from about 12 to about 100 times per gantry rotation, e.g., about 20 times per rotation, about 24 times per rotation, about 25 times per rotation, about 50 times per rotation, about 65 times per rotation, about 75 times per rotation, about 80 times per rotation, about 90 times per rotation, about 100 times per rotation, etc. In some variations, a synchronization check between two or more communication interfaces may occur whenever the gantry rotates through a particular gantry angle (e.g., whenever the linac location on the gantry rotates through gantry angle 180 or the bottom of the gantry). Alternatively or additionally, a synchronization check may occur at particular time intervals or frequencies. For example, synchronization between the rotating phase (e.g., rotatable ring and all components mounted thereon) and the stationary phase (e.g., stationary frame and all components mounted thereon or coupled thereto) of the radiation therapy system may occur about every 0.1 second or at about 1 kHz. In some variations, synchronization across communication interfaces or channels may comprise transmitting only the data that is different between the channels, i.e., offset data. Offset data may be used, for example, to autocorrect differences between the position sensors. In some variations, the first read head (i.e., the rotatable read head) may be located at or near the circumferential position of the linac, or about 180 degrees from the linac (e.g., opposite or across from the linac) and the second read head (i.e., the stationary read head) may be located at the 9 o'clock position of the stationary frame when viewed from the front (couch side) of the gantry. In other variations the first and second read heads may be located at any circumferential position along the rotatable ring and stationary frame, respectively, as may be desired. In one variation, a rotating ring may comprise a slip ring assembly that comprises a plurality of concentric power rings and data signal rings. For example, a slip ring assembly may comprise six power rings and six data signal rings. The power rings and data rings may be concentric and have the same axis of rotation. The power rings may comprise an inner set of rings that transfer power between the rotating ring and stationary frame via power brush blocks (e.g., two power brush blocks). The data signal rings may comprise an outer set of rings that transfer information between the rotating ring and stationary frame via one or more data brush blocks. The brush blocks that contact the power rings and/or the data rings may comprise metal-graphite brush tips. Examples of data signals transmitted between the rotatable ring and stationary frame via data brush blocks may include synchronization signals and/or timing or data offset signals. Alternatively or additionally, data signals transmitted between the rotatable ring and stationary frame may be transmitted via contactless links, including wireless communication or capacitive links. Wireless communication links may use a custom or recognized protocol such as IEEE 802.11b, and may comprise one or more rotating and one or more stationary antennas that receive (e.g., receivers or read heads) and/or transmit (e.g., transmitter elements) data signals. Capacitive links may include two separate links: one for transmitting signals from the stationary frame to the rotatable ring, and one for transmitting signals from the rotatable ring to the stationary frame. In some variations, a contactless data and/or power transmission link may comprise platter-integrated emitting structures, such as one or more GIGACAP® stators and one or more GIGACAP® rotors mounted on the stationary frame and/or rotatable ring. Examples of data signals transmitted between the rotatable ring and stationary frame via contactless mechanisms may include image data (e.g., PET detector data, MV detector data, and/or kV detector data), and/or synchronization signals and/or timing or data offset signals. FIG. 3A depicts a block diagram representation of one variation of a communication interface that may be used in a radiation therapy system comprising a rotatable gantry having a rotatable ring and a stationary frame. The communication interface may comprise a stationary communication link (352) located on the stationary frame and a rotatable communication link (353) located on the rotatable ring. Signals may be transferred between the stationary frame and the rotatable ring via the stationary and rotatable communication links. The communication links may transmit signals wirelessly and/or via brush blocks. The stationary frame may comprise a stationary controller (351) comprising a processor in communication with the stationary communication link (352), stationary encoder (356), a gantry drive controller (358), and a gantry drive motor (360). The gantry drive controller (358) may be in communication with the stationary controller (351), the stationary encoder (356) and the gantry drive motor (360). The rotatable ring may comprise a rotatable controller (362) comprising a processor, and rotatable encoder (364). The rotatable controller (362) may be in communication with the rotatable communication link (354) and the rotatable encoder (364). The rotatable and stationary encoders may operate independently, and their encoder data (e.g., rotatable ring location data) may be checked against each other periodically via signals transferred across the communication links (352, 354). The rotatable encoder and/or the rotatable controller data may be the reference against which the stationary encoder and stationary controller are compared (e.g., the rotatable controller is the “master” while the stationary controller is the “slave”). In some variations, the rotatable controller (362) generates commands for radiation delivery components (e.g., therapeutic radiation source commands, multi-leaf collimator commands, etc.) based on the rotatable ring position data from the rotatable encoder (364). The rotatable controller (362) may read and timestamp data from the rotatable encoder (364), and/or PET detectors and/or a CT imaging system that are mounted to the rotatable ring. The controller (362) may timestamp data acquired from components on the rotatable ring at a rate of about 0.5 kHz or more (e.g., about 1 kHz, about 1.5 kHz, etc.). The timing of commands for the radiation delivery components and synchronization may be determined based on the gantry angle derived from rotatable encoder data. In some variations, the operation of the gantry drive motor (360) may be based on the data from the stationary encoder (356). For example, ring position and/or velocity data from the stationary encoder (356) may be communicated to the gantry drive controller (358). The gantry drive controller (358) may also receive commands from the stationary controller (351) and generate commands and instructions to the gantry drive motor (360). The gantry drive controller (358) may timestamp the data from the stationary encoder (356) at a rate of about 250 Hz, and the timestamped encoder data may be transmitted to the rotating controller (362) via the rotatable communication link (354) where it may be compared against timestamped rotatable encoder data for accuracy. Optionally, a couch position encoder may also provide timestamped couch position and/or velocity data to the rotatable controller via the rotatable communication link. FIG. 3B depicts a schematic cross-sectional view of one variation of a communication interface or slip-ring linkage that may be used in a radiation therapy system comprising a rotatable gantry. The rotatable gantry (300) may be a circular gantry comprising a rotatable ring (302) and a stationary frame (304). The rotatable ring (302) may be coupled to the frame (304) via gantry bearings (306), and may be configured to rotate about an axis of rotation, represented by dotted line (301). A first communication interface (310) may comprise a rotating receiver element (312) mounted to the rotatable ring (302) and a static transmitter element (314) mounted to the stationary frame (304) and configured to transfer command signals from a non-rotating motion controller (330) to the rotatable components. For example, a command signal representing controller instructions for linac firing and multi-leaf collimator operation (e.g., opening and/or closing of certain leaves) may be transferred from a stationary controller to the static transmitter element (314) to the rotating receiver element (310). A second communication interface (320) may comprise a rotating transmitter element (322) mounted to the rotatable ring (302) and a static receiver element (324) mounted to the stationary frame (304). The rotating transmitter element (322) may be configured to transfer data signals from the rotatable components to the non-rotating controller (330). For example, data signals representing positional information of the linac, positional information of collimator leaves, measured rotation speed data of the rotatable ring, PET detector data, MV detector data and/or kV detector data, etc. may be transferred from the rotating ring (302) to the stationary motion controller (330) and/or a stationary controller. Some of this data may be transmitted to a controller on the rotatable ring that generate commands for gantry rotation and collimator leaf actuation to adjust for any system deviations or shifts (e.g., positional drifts of any of these components), as well as to coordinate the timing of firing radiation pulses with linac location with collimator leaf configurations. The transmitter and receiver elements of the first and second communication interfaces may communicate commands and/or data using inductive and/or capacitive signal transfer methods. FIG. 3C is a functional block diagram that depicts the flow of data between stationary or static components of a gantry and rotatable or dynamic components of the gantry. The static feedback is the precise, low-latency position data on the stationary side. The stationary high-bandwidth data in this subsystem, such as the position and/or velocity of the rotatable ring, may be detected by the stationary read head (324) or stationary encoder, and transmitted to the stationary motor controller (330) and motor (332). The operation of the motor controller and motor may not rely on data signals transmitted across the slip ring. The rotating high-bandwidth data in this subsystem, such as the position and/or velocity of the rotatable ring, may be detected by the rotating read head (312) or rotatable encoder, and transmitted to other modules on the rotatable ring, such as the radiation delivery module (340), PET detectors (340) and other electronics (344). The signals that are transmitted across the slip ring may include a relatively low-latency signal, such as a synchronization signal, data from the rotatable PET detectors (342) and MV detectors (not shown). These signals may be transmitted across the slip ring to the motion controller (330), which may help better regulate the control of the motor (332) that drives the rotatable ring (302). Multi-leaf collimator and linac firing commands generated by a system controller (not shown) (e.g., based on treatment plans and/or feedback data from the PET detectors and/or MV detectors) may be transmitted from the system controller to the rotating collimator and linac. Angular location of the linac, collimator, and PET detectors may be tracked by the system controller. The latency between the detection of a positron emission event and the application of a therapeutic radiation pulse may contribute to inaccuracies in treatment, since a delay of several seconds may hinder the ability of the system to apply radiation treatment before tumor movement. Synchronizing location data of the PET detectors, linac and collimators and reducing the latency of the data transfer between these components and the system controller may help to facilitate more precise application of therapeutic beams (that is, the linac emits therapeutic beams at the specified gantry angles at the specified time points). FIGS. 3D-3F depict one variation of a communication interface across a slip ring between a stationary frame and a rotatable ring. The stationary frame (370) may comprise a stationary receiver unit (372), a stationary transmitter unit (374), and a stator ring (376). The stator ring (376) may comprise a plurality of locator marks (378) and counts (379) between the locator marks (378). The rotatable ring (380) may comprise a rotatable receiver unit (382), a rotatable transmitter unit (384), and a rotor ring (386). The rotor ring (386) may comprise a plurality of locator marks (388) and counts (389) between the locator marks (388). The number of counts between the locator marks of the stator ring may be different from the number of counts between the locator marks of the rotor ring. The stator ring and rotor ring may have from about 10 locator marks to about 50 locator marks each, with about 100,000 counts to about 200,000 counts each. For example, the stator ring may comprise 20 locator marks and 136,000 counts and the rotor ring may comprise 22 locator marks and 114,400 counts. The receiver units may comprise a capacitive or inductive sensor configured to detect the locator marks and counts as the receiver units move over the locator marks and counts. The rotatable receiver unit (382) may comprise an encoder, and as the rotatable receiver unit sweeps across the stator locator marks (378) and counts (379) on the stator ring (376), the position and/or velocity of the rotatable ring (380) may be used to control operation of the therapeutic radiation source, multi-leaf collimator, MV detector, PET detectors and other components on the rotatable ring. The stationary receiver unit (372) may comprise an encoder, and as the stationary receiver unit sweeps across the rotor locator marks (388) and counts (389) on the rotor ring (386), the position and/or velocity of the rotatable ring (380) may be used to control operation of the gantry drive controller and/or gantry drive motor. Timestamped stationary receiver or encoder data may be transmitted to a controller on the rotatable ring via the stationary transmitter unit (374) and compared with the timestamped rotatable receiver or encoder data to confirm/check the position and/or velocity of the rotatable ring. Timestamped stationary receiver or encoder data may optionally be transmitted via the data brush block. In some variations, data from the GIGACAP® rotor/stator and/or data from the encoders may be transmitted via a transmitter unit, and 10 BaseT data may be transmitted across the brush blocks. The rotatable ring (380) may also comprise one or more brush blocks (381) located at various circumferential locations for the transmission of data or power. For example, the rotatable ring (380) may comprise two power brush blocks (381) across which electrical power may be transferred from a stationary power source (not shown) to the rotatable ring. The rotatable ring may also comprise a data brush block (383) across which synchronization and/or offset data signals, including 10 BaseT data, may be transferred. FIG. 3F depicts a schematic side cross-sectional view of a communication interface between a rotatable ring and stationary frame, as well as the rotor and stator that mechanically drive the rotation of the ring, cooling systems, and the various components and modules mounted on the rotatable ring. The stationary frame (390) may comprise a stationary receiver unit (392), a stationary transmitter unit (394), stator coils (396), stationary feedback or locator marks (398), and a motion controller (391). The rotatable ring (303) may comprise a rotatable receiver unit (305), a rotatable transmitter unit (307), rotor magnets (309), slip rings (311), a radiation delivery assembly (313), a PET detection system (315), electronics circuitry and components (317), a KVCT system (319), and a cooling system (321). The rotatable ring may also comprise a plurality of locator marks (397), as previously described. FIG. 3G depicts a front view of the stator coils (396) and rotor magnets (309). The stator coils may comprise a plurality of coils arranged in a ring-shaped band. The motion controller (391) may generate current through the stator coils (396) that may induce a magnetic flux that results in a motive force against the rotor magnets (309) that cause rotation of the ring. Gantry bearings (393) on the stationary frame (390) may help to guide the movement of the ring when subject to the motive force generated by the rotor/stator interaction, as previously described and depicted in FIG. 2. In some variations, the ball bearing assembly and the stator (e.g., stator coil(s)) may be retained by the same structure or housing, which may help generate sufficient motive force to rotate a ring with a few tons of components mounted thereon. The cooling system (321) may be located adjacent to the slip rings (311) to facilitate transfer of heat generated at the slip ring interface to the cooling system. For example, the cooling system may be configured to facilitate heat transfer between components at about 40° C. and a heat sink at about 20° C. Optionally, thermal conductors may couple the cooling system (321) with the radiation delivery assembly (313), and/or PET detection system (315), and/or electronics (317), and/or KVCT system (319). Additional description of variations of cooling systems is provided below. Although these communication interfaces and/or slip-ring based communication links are described in the context of a continuously-rotating gantry driven by a rotary drive motor, it should be understood that such communication interfaces and/or links may be included in any type of rotatable gantry, including a rotatable gantry that uses a drive train mechanism comprising a static motor and static motion controller. Radiation Shield Mounting In radiotherapy, it is desirable for the therapeutic radiation beam to be well-controlled and characterized. For a therapeutic radiation source or linac mounted on a rotatable gantry, regulating the radiation beam while the gantry is rotating at different speeds and/or where the radiation beam may be projected from different gantry angles may be particularly challenging. Typical approaches to addressing this issue may comprise tightly mounting the linac onto the gantry using a stiff mounting mechanism, such that under the different conditions (speed, angle) the emitted radiation beam deviates as little as possible. Any beam generation components and beam limiting devices may be similarly mounted to the gantry to reduce deviation during these different conditions. Additionally, it may be desirable to provide a radiation shield around the body of the linac to help reduce any stray radiation that may be undesirably emitted from anywhere except through the desired aperture. Typically, this shielding is heavy (in some systems, may be on the order of about 400-500 pounds) and may pull significantly on the structure holding it around the linac. Currently, radiation therapy systems include a heavy/massive structure holding the linac, beam limiting devices and a radiation shield, which may be acceptable for relatively slow-rotating gantries (e.g., rotation speeds of less than about 10 RPM). However, due to the rapid rotation of the gantry at about 50 RPM to about 70 RPM or more (e.g., 60 RPM or more), the centripetal forces acting on this heavy mounting structure may give rise to mechanical stresses that may result in linac deviation and/or structural failures of the gantry. The radiation therapy systems disclosed herein may comprise a linac (e.g., therapeutic radiation source) and a radiation shield disposed over the linac without contacting the linac, a first mounting assembly to mount the linac to the rotatable ring of a rotatable gantry, and a second mounting assembly that is separate from first mounting assembly to mount the radiation shield to the rotating ring. The second mounting assembly may comprise a shelf or bridge structure that securely attaches the radiation shield to the rotatable ring of the gantry. The first mount assembly and the second mounting assembly may be separated by an air gap, and/or may not directly contact each other. Mounting the linac and the radiation shield with separate mounting assemblies may help isolate any structural deflections or mechanical forces that may be generated by rotating the radiation shield from the linac and beam limiting devices. Isolating these mechanical forces may help promote the stability and/or precision of delivered radiation under different operating configurations, while still having a radiation shield. FIG. 4A depicts a one variation of a linac, radiation shield and corresponding mounting assemblies for a rotatable gantry and FIG. 4B depicts an exploded view of the linac, radiation shield and corresponding mounting assemblies of FIG. 4A. Turning to FIG. 4A, the rotatable ring (400) of a gantry may comprise a chassis or support structure (401) upon which a linac (402) and a linac radiation shield (406) are mounted. The support structure (401) may comprise a first interior side (420) and a second exterior side (422), and may further comprise a mounting bracket (403) located on the first interior side (420). The mounting bracket (403) may compromise one or more beam(s) or plate(s). The linac (402) may be mounted on the rotatable ring (400) by a first mounting assembly (404), which may have two panels (424a, 424b) connected to a top plate (426), as depicted in FIG. 4B. In some variations, the panels (424a, 424b) and top plate (426) may be welded together, and may be welded into the support structure (401) of the gantry. The linac (402) may be disposed over an opening in the top plate (426) and fixedly attached to the top plate (426). The top plate (426) may lay flush with the exterior side (422) of the gantry support structure (401), or may be set slightly in from the exterior side (422). The panels (424a, 424b) may extend towards the interior side (420) of the support structure (401) and attach to the mounting bracket (403). Any beam limiting or shaping devices (408) may optionally be attached to the first mounting assembly (404). This may help maintain the relative positioning between the linac and the beam limiting or shaping devices. In the variation depicted in FIGS. 4A and 4B, the radiation shield (406) may be disposed over the linac (402) and mounted on the rotatable ring (400) by a second mounting assembly (410), which may have a bridge-shape with two sloped panels extending from an upper, generally horizontal panel. That is, the top portion of the second mounting assembly (410) may have a curve, shape, or surface that tracks along a length of the circumference of the gantry ring, e.g., tangential to the curvature of the ring, and two side portions that curve or bend from the top portion, following along the curvature of the ring. More generally, the radiation shield mounting assembly may have a shape that corresponds to the curvature of the gantry and/or the surface contours of the gantry, which may help to secure the shield the gantry by increasing the contact surface area and attachment points between the mounting assembly and the gantry. For example, a mounting assembly that approximately tracks the curve of the gantry may help to distribute forces along the support structure of the gantry, and/or allow for more surface area for weld joints, solder joints, screw joints, and other mechanical attachments. The second mounting assembly (410) may be attached to the exterior side (422) of the support structure (401) and separated by an air gap (412) from the first mounting assembly (404). For example, the first mounting assembly (404) and the second mounting assembly (410) may be attached to different supporting structures or beams of the rotatable ring. The linac (402) and the radiation shielding (406) may also be separated by a gap as well. Linac Alignment A radiation beam or spot emitted by the linac may be precisely aligned with beam limiting or shaping devices (e.g., multi-leaf collimator), which may help attain and maintain precise and/or accurate therapy beam performance. In some variations, the alignment between the linac radiation beam or spot and the collimator may be within a predetermined tolerance threshold of about 200 microns or less. The alignment of the linac spot to the collimator may be checked in at least three circumstances: in the factory, upon delivery of the system to a clinic, and in the event that the linac or beam converter is serviced in the field. Alignment may comprise the steps of firing a pulse from the linac though the collimator and measuring the incidence of the pulse on the detector located opposite the linac (e.g., MV detector). Based on the known configuration of the collimator (e.g., certain leaves open, certain other leaves closed) and the data from detector, the controller may determine whether the linac alignment is within the predetermined tolerance. If the radiation beam or spot is not aligned to the collimator within the predetermined tolerance, the location of the linac may be adjusted. Such adjustment has typically been performed manually, and is often considered to be cumbersome to open the bunker door, move the linac, close the bunker door, fire the linac pulse, and measure the linac location and beam spot with respect to the collimator, as these steps can be repeated several times before the desired alignment is achieved. The radiation therapy systems described herein may optionally comprise an intermediate adjustor plate to which the linac is mounted, an alignment actuator, and a lock-down mechanism that secures the position of the intermediate adjustor plate once the desired alignment between the linac and the collimator is attained. In some variations, the alignment actuator may be removable so that the actuator may be removed after the linac has been aligned, which may help to limit exposure of the actuator to the radiation generated by the system during a therapy session. The linac (and optionally, the beam converter and/or beam-limiting components associated with the beam converter) may be mounted to the adjustor plate, and the plate may be moved with respect to the collimator by the actuator. In one variation, the adjustor plate may be coupled to the actuator via a screw, and the motor of the actuator may rotate the screw to move the adjustor plate. In some variations, the adjustor plate may be coupled to one or more actuators via two screws, where rotation of a first screw moves the plate along a first axis (e.g., x-axis) and rotation of a second screw moves the plate along a second axis that may be orthogonal to the first axis (e.g., y-axis). The alignment actuator may be controlled remotely by an operator located in a room separate from the radiation therapy treatment room. For example, the alignment actuator may optionally be in communication with a wireless transceiver that may receive remote operator commands. Alternatively or additionally, the alignment actuator may be connected by one or more wires to a controller in another room. This may allow the linac radiation spot to be aligned with the collimator by an operator located outside the bunker and when the desired alignment is attained, the operator may lock the linac and the plate to the desired location, and may optionally remove the alignment actuator before the treatment session. Radiation therapy systems comprising a kV or imaging radiation source may optionally comprise the same mechanism to adjust the alignment of the kV or imaging radiation source with respect to the kV detector. Radiation therapy systems comprising an MV detector may include an angle adjustment mechanism having an anchor on one end and an adjustment screw on the other end to align the MV detector array to a jaw face. FIGS. 4C-4E depict one variation of radiation source (linac) adjustment mechanism. FIG. 4C is a perspective component view of a rotatable gantry ring (430) and a linac (432) mounted to the gantry (430) where the linac beam path is along the Z-axis, an X-axis adjustment assembly (434), and a Y-axis adjustment assembly (436). The linac (432) may be mounted to a movable adjustor plate to which the X-axis adjustment assembly (434) and the Y-axis adjustment assembly (436) may be attached. The adjustment assemblies may also be attached to a linac base plate (440) that is fixedly mounted on the gantry ring (430), e.g., may be mounted to a primary collimator. FIG. 4D depicts a top view of the linac (432) mounted on the adjustor plate (438). The adjustor plate (438) may comprise plate adjustor mounts (437) comprising one or more mounting holes (439a) for the X-axis adjustment assembly (434) and one or more mounting holes (439b) for the Y-axis adjustment assembly (436). The adjustment assemblies may be attached to the adjustor plate and the linac base plate (440) with one or more screws that engage within the mounting holes on the adjustor plate (439a, 439b) and one or more holes (441a, 441b) on the linac base plate. While the adjustment assemblies may be coupled to the adjustor plate and base plate by one or more screws, it should be understood that the assemblies may be attached by welding, brazing, adhesives, etc. The adjustor plate (438) may be moved with respect to the baseplate (440) based upon actuation by the adjustment assemblies (434, 436). FIG. 4E depicts a close-up side view of one variation of an adjustment assembly for positioning a linac. This adjustment assembly may be used for either the X-axis adjustment assembly or the Y-axis adjustment assembly, and may be used to adjust the position of any radiation source and/or detector, as appropriate. The adjustment assembly (450) may comprise a first mount (452) that is configured to attach to the adjustor plate (438), a second mount (454) that is configured to attach to the base plate (440), a screw (456) that connects the first mount and the second mount together, and a stepper motor (458) that rotates the screw (456) to adjust the distance between the first mount (452) and the second mount (454). The stepper motor (458) may be coupled to the screw (456) via a drive belt between the motor and the screw. For example, the screw (456) may comprise a first pulley (455) located at one end of the screw and the stepper motor (458) may comprise a second pulley (459) coupled to a rotating shaft of the motor, and a belt that spans both the first and second pulley such that rotation of the second pulley (459) causes rotation of the first pulley (455), thereby rotating the screw (456) to move the adjustor plate relative to the base plate. Some variations of a stepper motor may comprise a gear box that adjusts the generated torque to a level suitable for moving the adjustor plate and the linac mounted thereon. The adjustment assembly (450) may also comprise a dial indicator (460) that provides a mechanical reference for an operator to keep track of movement in the adjustment plane. In some variations, the alignment assembly may comprise a wireless transceiver in communication with a remote controller or processor so that activation of the motor and movement of the linac may be controlled from another room. Alternatively or additionally, the alignment assembly may be connected by one or more wires to a controller or processor in another room. The first mount (452) may be coupled to the adjustor plate (438) via screws, pegs or protrusions that correspond with the mounting holes (439) of the adjustor plate and the second mount (454) may be coupled to the base plate via screws, pegs or protrusions that correspond with the mounting holes (441) of the base plate. The adjustment assembly (450) may be used for adjusting the position of the linac along the X-axis and Y-axis. While adjustment assembly (450) uses a stepper motor that rotates a screw to move the linac adjustor plate, other variations may use other mechanisms to move the linac adjustor plate, for example, rack-and-pinion mechanisms, electromagnetic actuator mechanisms, and the like. In some variations, the MV detector located across from the linac may also be adjusted to help ensure consistent alignment with the linac. For example, the linac and MV detector position may be adjusted together, and/or the position of the linac or MV detector may be checked when the position of the MV detector or the linac is adjusted to ensure that they are aligned (e.g., the center of the MV detector is across from the center of the linac beam). FIG. 4F depicts a gantry ring and a MV detector (470) mounted thereon. The linac may be mounted directly across from the MV detector (470), for example, over the opening across from the MV detector, using mounting and position adjustment mechanisms described above. The MV detector mounting mechanism may comprise a series of gantry mounting flanges and alignment or adjustor plates that are mounted with the flanges to the gantry ring to control the MV detector position along the X-axis, Y-axis and Z-axis (where the linac applies radiation along the Z-axis). Gantry mounting flanges may be configured to pivot or tilt the MV detector relative to isocenter, and may be used, for example, to establish a nominal Y-axis and Z-axis position relative to the MV beam source (e.g., linac). The co-mounted alignment plates may be configured to rotatably adjust the MV detector along the z-axis to align correctly to the plane established by the MV beam source. Optionally, the alignment or adjustor plates may optionally add adjustability for discrete y-axis positions offset from the nominal plane in both positive and negative directions (e.g., during testing or calibration sessions). FIG. 4G depicts a perspective view of a MV detector (470) and its mounting and position adjustment assembly. The mounting and adjustment assembly may comprise alignment or adjustor plates (474) that are movably attached to a gantry mount assembly (472). The mount plate (472) may be fixedly attached to the gantry ring. FIG. 4H depicts an exploded view of the MV detector (470), alignment plate (474), and gantry mount assembly (472). The gantry mount assembly (472) may comprise an MV support plate (482) and support blocks (480) attached to the bottom surface of the MV support plate (482). The MV detector (470) may be mounted directly to the alignment plate (474). The MV support plate and support blocks may be fixedly attached to the gantry ring, e.g., via bolts and/or an alignment pin. The alignment plate (474) may be coupled to a mechanism (such as the mechanism described above with regard to the linac mounting and position adjustment assembly) that is configured to translate the MV detector along one axis (e.g., Y-axis) and/or may be coupled to a pivotable or rotatable mechanism that is configured to rotate the MV detector about one axis (e.g., Z-axis). The mounting and position adjustment assembly may also comprise mounting flanges (476, 478) that are coupled to the support blocks (480) and the support plate (482). FIG. 4I depicts two possible translation positions offset from the nominally aligned position shown in FIG. 4J (top diagram of FIG. 4I depicts the adjustment assembly in a fully extended configuration and the bottom diagram of FIG. 4I depicts the adjustment assembly in a full retracted configuration). FIG. 4J depicts the nominally aligned position of the MV detector assembly alongside one possible rotated or pivoted state (top diagram of FIG. 4J depicts the adjustment assembly in a nominal configuration and the bottom diagram of FIG. 4J depicts the adjustment assembly in a rotated or pivoted configuration). Temperature Control Generally, the systems described herein may comprise a radiation therapy system comprising a temperature management system to help maintain a system temperature within an operable range. A continuously-rotating gantry for radiotherapy presents a challenging temperature or thermal management problem. A gantry that is capable of rotating at speeds from about 10 RPM to about 70 RPM may generate greater amounts of heat than a gantry rotating at slower speeds. For example, a continuously-rotating radiation therapy system can produce over 70 kW of heat. Many of the sensors in the radiation therapy system are sensitive to changes in temperature, and elevated temperatures may negatively impact patient comfort as well. Large-bore, continuously-rotating fluid unions, which have been used in radiation therapy systems with low-speed gantries (e.g., less than about 10 RPM), may not be suitable for use with high-speed gantries (e.g., about 20 RPM to about 70 RPM, about 60 RPM or more). In addition to removing heat from the gantry itself, a temperature management system may also need to remove the heat from the gantry enclosure and the treatment bunker. Temperature control may be managed at many levels by several methods. Methods for temperature control may include feedback loops with temperature and air flow sensors where sensor data may be used to adjust fan operation (e.g., speed control), rate of hot and cold liquid mixing on the rotatable ring and/or between the rotatable ring and stationary frame, and/or control of liquid flow on the rotatable ring. In some variations, a temperature management system for moving heat across the rotatable ring and/or to the stationary frame and/or to the clinic or facility heat sink may comprise multiple liquid branches or conduits on the rotatable ring, and/or fluid pumps or other regulators, and/or rotatable ring heat exchangers, and/or stationary heat exchangers, and/or contacting or mixing heated liquid from the rotatable ring with cooled liquid on the stationary frame. FIG. 5A is a block diagram that represents one variation of heat transfer from the rotatable ring to the stationary frame. Heat generated by the components on the rotatable ring may be transferred to a cooling fluid that may be circulated throughout the ring. The heat from transferred to the cooling fluid may be transferred to air that is circulated between the rotatable ring and the stationary frame via one or more forced-air heat exchangers (e.g., via air ducting with or without the aid of one or more fans). Heated air from the rotating ring (as well as heat from the components on the stationary frame) may be channeled to facility cooling fluid. Alternatively, heated air from the rotatable ring may be directly transferred to the facility cooling fluid, without the use of forced-air heat exchangers. In the variation depicted in FIG. 5A, the temperature management system (520) may comprise one or more fluid conduits (522) that circulate fluid between the components (523) on the rotatable gantry (e.g., linac, magnetron, collimator, jaws, PET detector array(s), MV detector, etc.) and one or more heat exchangers (524). The fluid conduit (522) may form a loop that transfers heated fluid from the components to the heat exchangers (524) where the fluid is cooled by one or more fans (526). The cooled fluid is then circulated back to the components (523). In some variations, a fluid flow controller (525) may be located on the rotatable ring to regulate fluid rate depending on data from temperature sensors on the rotatable ring. Heat from the fluid is transferred to the air via fans (526), which is then channeled to one or more stationary heat exchangers (530). Optionally, the rate of heated air flow between the rotatable ring and stationary frame may be expedited by a second set of fans (528) adjacent to the stationary heat exchangers. The stationary heat exchangers (530) may transfer the heat from the air to a facility cooling system (532). The facility cooling system may be an air-based or fluid-based cooling system, for example, circulating cooled air that mixes with the heated air in the stationary heat exchanger (530), or circulating cooled fluid in contact with the fluid in the stationary heat exchanger (530) that has been heated by the hot air from the heat exchanger (524). One variation of a temperature management system for a radiation therapy system with a high-speed gantry may comprise two sets of heat exchangers and ducting. For example, a heat exchanger may comprise a copper substrate having a high surface-area-to-volume ratio and liquid ducting that is thermally coupled to the surface of the substrate. Heat from the rotating gantry may raise the temperature of the air surrounding the gantry, which heat may be transferred to the exchanger substrate, for example, via air flow provided by a fan or via radiative conduction. Heat from the substrate may then be transferred to cooled liquid within the ducting, which may then move the heat away from the gantry to a liquid reservoir. The cooled liquid may be, for example, water, and may be circulated locally (e.g., within or in proximity to the room or bunker where the therapy system is located) and/or may be circulated across the treatment facility. The first set of heat exchangers and ducting may be configured to transfer heat generated from the rotating ring to the stationary frame of the gantry, and the second set of heat exchangers and ducting may be configured to transfer the heat from the stationary frame to a closed-loop, facility liquid system. In this configuration, heat from the components mounted on the rotating ring may be removed with a rotatable, closed-loop water system. The rotatable water system may be configured to transfer the heat to ducting in the stationary frame using either forced-air heat exchangers or radiative heat exchangers. For example, the heat may then be transferred to chilled fluid (e.g., provided by the facility) via ducting in the stationary frame that may be coupled to a forced-air system. The forced-air system may be ducted through a second set of heat exchangers that may be coupled to chilled fluid. Some of this chilled, forced-air may be directed under the gantry enclosures to maintain a constant ambient air temperature. Variable speed fans or variable chilled fluid flow may be used to maintain the temperature of the stationary heat exchange system. One variation of a temperature management system is depicted in FIGS. 5B-5E. FIG. 5B depicts a gantry (500) enclosed within a housing (501). The gantry (500) may comprise a rotatable ring (502) and a stationary frame (not depicted). A temperature management assembly may comprise a first heat exchange interface comprising one or more exhaust components (504) mounted on the rotatable ring (502) and a stationary duct (506) located within the enclosure of the housing (501). The rotatable ring (502) may be coupled or mounted to the stationary frame. The exhaust components (504) may be at one or more locations along the circumference of the rotatable ring (502). Any number of exhaust components (504) may be mounted on the rotatable ring, for example, one exhaust component, two or more exhaust components, three or more, four or more, five or more, or ten or more exhaust components. Additional exhaust components may be located near components with high thermal output. In some variations, a kV CT head may comprise a supplemental exhaust component. Exhaust components or forced-air heat exchangers may comprise one or more fans oriented such that the air flow direction is along a radial direction (i.e., perpendicular to the axis of rotation). Air flow that is parallel to the axis of rotation may not channel air as efficiently as radial air flow that is perpendicular to the axis of rotation. This may be particularly pronounced when the gantry is rotating at speeds of about 50 RPM or more (e.g., about 60 RPM). For example, the first heat exchange interface depicted in FIG. 5B comprises five exhaust components (504). Exhaust component (504) may transfer heat generated by the components on the rotatable ring (502) to the stationary duct (506), depicted in FIGS. 5D-5E. The stationary duct may have a shape similar to that of the rotatable ring (502), such that heat can be transferred from the exhaust components (504) to the stationary duct (506) while the ring (502) rotates. For example, as depicted in FIG. 5E, the stationary duct (506) may have a circular shape that corresponds with the shape of the rotatable ring (502). Heat generated by a component on the rotatable ring (502) may raise the temperature of the surrounding air, this heated air may be captured by the exhaust component (504) and moved toward the stationary duct (506). The temperature management system may further comprise a second heat exchange interface comprising the stationary duct (506) and air portal (508). The air portal (508) may be configured for unidirectional and/or bidirectional air flow and exchange and may be connected to a facility reservoir of cooled air or fluid. In the example depicted in FIGS. 5C-5E, the air portal (508) may comprise a fan (510) that transports cooled air from a facility reservoir into the enclosure of the housing (501) and transports heated air from the rotatable ring (502) out from the exhaust component (504). Optionally, some components on the rotatable ring may have a dedicated heat transfer or cooling pathway (i.e., a dedicated cooling fluid flow system and/or forced-air heat exchanger or exhaust to move heat to the stationary frame). For example, the magnetron may have a dedicated cooling pathway. Gantry Bore In some variations of the system, a radiotherapy device having a rotatable gantry may comprise a bore that is configured to reduce patient discomfort due to confinement within a small space (e.g., claustrophobia). In some variations, the gantry may provide a comfortable patient environment to reduce patient anxiety and increase patient compliance with radiotherapy treatment. For example, in some variations, the bore of a rotatable gantry may increase in diameter towards an end of the bore (i.e., a variable-diameter or stepped bore) to reduce claustrophobia and the perception of confinement (e.g., patient lying still during a procedure), thereby allowing the patient to remain still on a patient platform for a longer period of time. In some variations, the bore may be open on both ends (e.g., an open-bore gantry) while in other variations, the bore may be open on one end and closed on the other (e.g., a closed-bore gantry). One of the ends of the bore may be open in order to receive a patient on a patient platform for radiotherapy treatment. As described in detail below, the bore may be enclosed at one end while the perception of an enlarged space within the bore is maintained. FIGS. 6A-6B represent an exemplary radiotherapy device (600) comprising a closed-bore gantry (602), where the closed end of the bore (604) (second portion (608)) has a diameter that is greater than a diameter of the open end of the bore (604) (first portion (606)). In some variations, the gantry (602) may comprise a first portion (606) and a second portion (608). As depicted in FIG. 6A, a patient (622) disposed on a patient platform (620) may be advanced into the bore (604) from a circular opening of a first end of the first portion (606). A second end of the first portion (606) may be coupled to an enclosure of the second portion (608). The patient (622) may be advanced such that their head is positioned within the enclosure of the second portion (608). By increasing the diameter of the bore (604) where the patient's head is positioned during treatment, claustrophobia may be reduced as the patient's perception of confinement may be lessened without increasing a diameter along an entire length of the bore (604). In some variations, the diameter (609) of the second portion (608) of the bore (604) may be up to four times larger than the diameter (607) of the first portion (606) of the bore (604). In some examples, the diameter (609) of the second portion (608) of the bore (604) may be about three times larger than the diameter (607) of the first portion (606) of the bore (604). In other variations, the diameter (609) of the second portion (608) of the bore (604) may vary as the diameter (607) of the first portion (606) of the bore (604) may be substantially constant. As shown in FIGS. 6A-6B, the second portion of the bore (604) may have an ellipsoid shape (e.g., hemispherical shape), and may be illuminated, as described in further detail below. The second portion (608) may comprise any shape configured to simulate an enlarged space. For example, the second portion (608) may comprise a cuboid shape, such as an open box shape. Furthermore, the second portion may enclose the patient (622) to the extent of the patient's field of view. For example, the bore (604) of the second portion (608) may be open from about below the patient's eye-level with respect to the patient platform (620) since the patient (622) cannot see underneath that point. As shown in FIG. 6A, the first portion (606) may extend axially through a plane of the radiation beam (614) with the second portion (608) formed just beyond the radiation plane. In this manner, the patient (622) may receive the radiation beam (614) from the rotating first portion (606) while the perception of the enlarged space in the second portion (608) may be maximized. In FIGS. 6A-6B, the first portion (606) may be rotatable while the second portion (608) may be stationary. In these variations, a gap may be formed between the first portion (606) and second portion (608). It should be appreciated that the first portion (606) and second portion (608) may be both rotatable. The gantry (602) may further comprise a multi-leaf collimator (612) and may be provided opposite a detector (616). The gantry (602) may be coupled to a radiation source (610). In some variations, the radiation source (610) may be mounted to the gantry (602) so as to rotate with a rotation of the gantry (602) about the patient (622). The radiation source (610) and collimator (612) may be configured to emit a radiation beam (614) in a plane perpendicular to a longitudinal axis of the patient platform (620). In some variations, such as for an open-bore, rotatable gantry, the radiation source (610) may comprise a magnetron mounted on a rotatable ring of the first portion (606) of the gantry (602) (not shown). In other variations, such as for a closed-bore, rotatable gantry, the radiation source (610) may comprise a klystron that is not mounted on a rotatable ring of the first portion (606) of the gantry (602). The klystron may instead be mounted on a stationary frame of the gantry (602), and/or may be mounted separate from the gantry (602). A stationary klystron may provide higher-energy, higher-power and better reliability as compared to a magnetron that is mounted on a rotatable ring. In some variations, one or more of visual, audio, and tactile sensory inputs may be provided to a patient (622) to simulate an enlarged space within the bore (604). In some variations, the radiotherapy device (600) may provide sensory output (e.g., visual, auditory, touch) configured to create the illusion of an enlarged space. For example, a display of an outdoor setting may be projected within a patient's visual field on the walls of the bore in combination with audio output of nature sounds and airflow over a patient's face. In some examples, the images displayed may change based on where the patient's eyes are looking using an optical eye tracker. The sensory output may be modified using the optical eye tracker data. Such sensory outputs may be used in open-bore systems as well as closed-bore systems, as patients in open-bore systems may also experience discomfort due to claustrophobia due to the length of the bore (i.e., the patient's head does not generally exit the bore during diagnostic or treatment session(s)). In some variations, the gantry (602) may comprise one or more image projectors (630) disposed within the bore (604) of the gantry (602) and configured to illuminate (631) (e.g., provide lighting, images, video) an interior surface (e.g., ceiling) of the second portion (608) of the bore (604) within the patient's field of view. For example, FIG. 6B depicts an outdoor waterfall scene illuminated (631) on an interior surface of the second portion (608). The images displayed on the hemispherical shape of the second portion (608) may create the illusion of an enlarged space sufficient to reduce claustrophobia in the patient (622). In some variations, a lower resolution and/or unfocused image may be displayed on regions of the second portion (608) corresponding to the peripheral vision of the patient (622) to aid a user in maintaining their head in a fixed position. Similarly, an amount of illumination (e.g., lumens) may be maximized for a patient's central vision and progressively reduced radially outward from this position (e.g., towards a peripheral vision). In some variations, the position of the image displayed on an interior surface of the second portion (608) of the bore (604) may vary with a position of the patient (622) as the patient platform (620) translates and/or rotates through the bore (604). Additionally or alternatively, the interior surface of the second portion (608) of the bore (604) may comprise one or more displays (e.g., LED, OLED, LCD, CRT, etc.). In some variations, an audio device (632) may be disposed anywhere within a bore (604) to output audio to a patient (622) to, for example, help create the illusion of an enlarged space in the bore (604). For example, an audio device (632) may be mounted to a stationary portion of the gantry (602) within one or more of the first portion (606) and second portion (608). The audio device (632) may comprise one or more speakers. The audio output by the audio device (632) may correspond and/or synchronized to illumination output by the image projector (630). For example, the waterfall images displayed to a user in FIG. 6B may be accompanied by corresponding waterfall noises that may add white noise and reduce the perception of noise from gantry (602) operation. As another example, an audio output may further comprise an echo to simulate an enlarged space. Additionally, the speakers may further output a noise cancellation signal, as discussed in more detail below. In some variations, an airflow device (636) may be disposed in the bore (604) to direct airflow form a predetermined direction over a desired portion of the patient (622), such as a patient's face. In some variations, the airflow device (636) may comprise one or more fans disposed in the second portion (608). The fans may draw in air from within the bore (604) or externally with respect to the gantry (602). In some examples, the airflow device (636) may be coupled to an air conditioning system to provide cooled air to the patient (622). The airflow device (636) may provide positive or negative pressure. In some variations, an optical eye tracker (634) may be disposed at any location within the bore (604) having a clear line of sight to a patient's eyes. The optical eye tracker (634) may comprise a non-contact optical sensor configured to determine eye gaze and/or eye position using one or more of corneal reflection, infrared, pupil tracking, etc. In some variations, an image projected by an image projector (630) may be repositioned based on the detected eye gaze and/or eye position from the optical eye tracker (634). In this manner, the patient (622) may be able to comfortably view images without moving their head. In one variation, a radiation therapy system may comprise one or more visual displays located within the bore. An image presented on the display may be moved along the bore as the patient is moved (by the couch) during a treatment session. Detected eye gaze and/or eye position from an optical eye tracker may optionally be used to move images along the bore so that the image is constantly within the visual field of the patient without requiring patient head motion. In some variations, the displays may be flexible displays that may be mounted along an interior surface of the bore such that they follow the curvature of the bore. For example, the displays may be organic light-emitting diode (OLED) displays. FIG. 6C depicts a cross-section of one variation of a radiation therapy system comprising one or more displays within the bore. The radiation therapy system (640) may comprise a rotatable gantry (644) with a longitudinal bore (642) extending through the gantry, a linac (646), a kV radiation source (648), and a plurality of displays (650a, 650b, 650c) mounted along the length of the bore (642) across from a couch top (655). The linac (646) may generate a therapeutic treatment beam (647) and the kV radiation source (648) may generate an imaging beam (649) that is at a different longitudinal location along the bore than the therapeutic treatment beam. The displays (650a, 650b, 650c) may be flexible displays that are have curvable according to the curvature of the bore (642), and may be, for example, OLED displays. The displays (650) may be mounted along the length of the bore except for the areas of the bore that are within the therapeutic treatment beam path or the imaging beam path. Avoiding the radiation beam paths may help prolong the life of the displays (650). FIG. 6D depicts an end view of the system of FIG. 6C, and as depicted there, the flexible display (650) may span across an arc that corresponds with a patient's visual field. The display (650) may span across from about 25% of the bore circumference to about 50% of the bore circumference, e.g., about 30% of the bore circumference. FIGS. 6E and 6F depict one variation of a system comprising a flexible display (650) located within the bore (642) where one or more images on the display track the position of the patient's eyes. For example, as the patient is moved from a more superficial location (FIG. 6E) to a deeper location (FIG. 6F) within the bore, an image (652) on the display (650) while the patient is at the superficial location may be replaced with another image (652′) on the display (650) when the patient is moved to the deeper location. A series of images from the first image (652) to the second image (652′) may be generated to create the illusion that the image is moving from one location to another. The location of the images on the display may be determined by the couch position, and/or optical eye tracker data, as described previously. Audio System The various components of the radiation therapy system, for example, the rotating gantry, movement of collimator leaves, temperature control systems, and other high-voltage components, may produce significant levels of mechanical noise. This mechanical noise may be amplified within the constrained confines of a gantry bore in which the patient is disposed. For example, operation of the gantry may generate a consistent background hum, along with intermittent mechanical and electrical noise associated with activation of one or more subsystems such as mechanical noise associated with the MLC leaf movement activated during therapy beam delivery. Elevated auditory noise levels may detract from patient comfort and may increase patient anxiety, which may result in patient movement (e.g., fidgeting, body repositioning, increased breathing rate, etc.). Reducing auditory discomfort may thus improve patient comfort and compliance. Noise cancellation headphones and other wearable solutions for noise management may interfere with, and/or may be damaged by, treatment beams. In some variations, a radiation therapy system may comprise an audio system mounted on the gantry, outside of the therapeutic and/or imaging radiation beam path. An audio system may comprise an array of microphones and speakers configured to use patient position data to produce noise-cancelling audio at the patient's ears as the patient moves though the bore of the gantry and/or receives radiation therapy treatment. A controller in communication with the microphone and speaker array may use methods based on phased array theory to map the machine noise, calculate a noise cancelling signal based on patient location data, and project the appropriate amplitude and phase of the noise cancelling signal from the speaker array. Generally, a processor may be configured to receive the ambient sound, generate a waveform signal that is the exact opposite of the ambient sound, and mix it with any desired audio signal to be output to the patient. For example, the noise cancelling signal may be 180° out of phase with equal amplitude as the noise received by the patient's ears. FIG. 7 depicts one variation of an auditory noise management system that may be used with any of the radiation therapy systems described herein. In particular, a radiotherapy system (700) is illustrated in FIG. 7 and comprises a gantry (710) comprising a radiation source (730) coupled to a multi-leaf collimator (732). A detector (736) may be provided opposite the multi-leaf collimator (732) and receive a radiation beam (734) output from the collimator (732) and radiation source (730). The gantry (710) may comprise a patient region with a bore of the gantry (710) configured to receive a patient (722) on a patient platform (720). The gantry (710) may further comprise a speaker array (712) and microphone array (714) within the patient region and disposed on a stationary frame (not shown) of the gantry (710). As depicted in FIG. 7, the speaker array (712) and microphone array (714) may be disposed in one or more ends of the gantry (710). In some variations, one or more of the speaker array (712) and microphone array (714) may be disposed on an inner radial surface of a stationary frame within the bore of the gantry (710) near the patient's ears. Additionally or alternatively, one or more of the speaker array (712) and microphone array (714) may be disposed outside the bore of the gantry (710), such as, for example on an external surface of the gantry (710) opposite the inner radial surface. In variations where the microphone array (714) is located away from a patient's ears, a noise cancellation signal generated based on the sound received at the microphone array (714) may be out of phase such that the detected ambient noise is not effectively cancelled where the patient (722) is located. Accordingly, a processor may generate a noise cancellation signal that also compensates for differences in location between the patient's ears, speaker array, and microphone array. For example, the gantry (710) may comprise a controller having a processor (716) configured to receive patient location data from a patient location system and generate a noise cancellation signal using the audio received by the microphone array (714) and patient location data. The processor (716) may use the patient location data to compensate for the difference between the microphone location and the patient ear location when generating the noise cancellation signal. The speaker array (712) may be configured to output the noise cancellation signal. Accordingly, the system (700) may further comprise a location determination system configured to locate the patient (and their ears) in the patient region. In some variations, the location determination system may use patient position registration data (e.g., from PET imaging, kV CT imaging) to determine a location of a patient's ears. In other variations, the patient (722) may be positioned along the patient platform (720) at a predetermined position such that the ear location is known. For example, the patient (722) may be coupled to a fixed location on the patient platform (720) such as by a head fixation device. Once the patient's ears have been located, the processor (716) may generate a noise cancellation signal that compensates for any differences between the location of the patient, speaker array (712), and microphone array (714). Additionally or alternatively, the speaker array (712) may be used to output audio in the bore of the gantry (710) for the patient (722) to increase patient comfort and reduce anxiety. For example, the processor (716) and speaker array (712) may be configured to output voice audio (e.g., live operator voice, prerecorded voice) providing treatment status and/or other information. Providing audio to the patient (722) may occupy the patient's attention during a radiation therapy procedure and may be useful during long treatment sessions (e.g., 20 minutes, 30 minutes, 60 minutes). In other examples, the processor (716) and speaker array (712) may output one or more of music, white noise, natural sounds, and other sounds to reduce the perception of mechanical noise generated by a radiotherapy system. Collimator System As described previously, radiation therapy systems may comprise a multi-leaf collimator disposed in the beam path of the MV X-ray source or therapeutic radiation source. In some variations, the multi-leaf collimator may be a binary multi-leaf collimator, such as any of the binary multi-leaf collimators described in U.S. patent application Ser. No. 15/179,823, filed Jun. 10, 2016, which is hereby incorporated by reference in its entirety. In one variation, the multi-leaf collimator may comprise a plurality of leaves and a corresponding number of pneumatic leaf actuation mechanisms. Each leaf actuation mechanism may be configured to independently move its corresponding leaf, and a compressed air source may be coupled to one or more of the pneumatic leaf actuation mechanisms. Each of the pneumatic leaf actuation mechanisms may comprise a barrel comprising a longitudinal lumen, a first side opening, and a second side opening, and a piston that extends within the longitudinal lumen of the barrel. The piston may comprise a shaft and a piston seal coupled to the shaft within the barrel, where movement of the piston within the barrel translates the collimator leaf between the first location and the second location. The first and second openings may be fluidly connected to a compressed air source. The pneumatic mechanism may further comprise a first valve between the first opening and the fluid source and a second valve between the second opening and the compressed air source. The first and second valves may selectively regulate fluid flow into and out of the barrel lumen. Compressed air may be distributed to each of the leaf pneumatic mechanism barrels (one for each leaf) via a grid or array of air conduits, where each valve of each pneumatic leaf actuation mechanism may be individually controlled to regulate the air flow into each barrel. The compressed air supplied to each pneumatic leaf actuation mechanism is provided by an air supply grid that comprises separately and independently-controlled valves (i.e., first and second valves of a plurality of pneumatic leaf actuation mechanisms). The compressed air within the air supply grid may be provided by a compressor or compressed air source mounted on the rotatable ring of the gantry. Compressed air sources mounted on a rotatable gantry, such as a high-speed, continuously-rotating gantry may be subject to elevated levels of vibrations as compared to air sources mounted on non-rotating (or slow-speed) gantries. A high-speed gantry may comprise a scroll or screw compressor, which may be less susceptible to vibrations and sounds as compared to a piston compressor. In some variations, the scroll or screw compressor may be mounted on vibration-isolating feet on the rotatable ring and may be configured to convert ambient air having a pressure of about 1 ATM to pressurized air having a pressure of about 10 ATM. Some variations of an on-board compressor system may comprise accumulator tanks, filters, dryers, and aftercoolers. Systems that comprise an optional kV radiation source for imaging and/or patient position registration may comprise a similar multi-leaf collimator having pneumatic leaf actuation mechanisms, which may be driven by the same or different compressed air system as for the MV X-ray source or therapeutic radiation source. Generally, a therapeutic radiation beam may be generated by a linac and shaped by one or more beam shaping components. In some variations, the one or more beam shaping components may comprise one or more of the following: a primary collimator, a secondary collimator, a multi-leaf collimator, a first jaw, and/or second jaw. A primary collimator and/or a secondary collimator may comprise a fixed beam-shaping aperture (e.g., shape and/or size of the aperture is constrained to a predetermined shape or size) or a variable beam-shaping aperture (e.g., shape and/or size of the aperture may be varied as desired before, and/or during and/or after treatment). In some variations, the primary collimator may comprise a tungsten substrate or base with a trapezoidal-shaped slot that may define a general shape of the radiation beam. Similarly, the first jaw and/or second jaws may comprise a fixed beam-shaping aperture or a variable beam-shaping aperture. The collimators and/or jaws may shape the beam along two axes (e.g., x-axis and y-axis) or may shape the beam along one axis (e.g., x-axis only or y-axis only). The multi-leaf collimator may be configured to shape the beam along two axes (e.g., x-axis and y-axis), and/or may be configured to shape the beam along one axis (e.g., x-axis only or y-axis only). An X-ray beam emitted by a linac may result from accelerating electrons into a target (such as a tungsten target), which then converts the energy from the electron-target collision into an X-ray beam. For a system comprising a linac mounted on a rotatable ring (e.g., a continuously rotatable ring), the electron source (e.g., an electron gun), the microwave source (e.g., a magnetron), the pulsed power source and the RF circulator may be provided on a rotatable ring of a gantry. The radiation therapy system (800) may further comprise a target converter comprising a target and a primary collimator, a dose chamber, upper jaws, a multi-leaf collimator (e.g., a binary MLC), and lower jaws. The primary collimator, upper jaws, the binary MLC, and lower jaws may shape the radiation beam emitted by the linac. The upper and lower jaws may move on curved rails that loosely focus to the virtual point spot of the target converter. FIG. 8A depicts one variation of a radiation therapy system (800) comprising a rotatable gantry (802), a linac (804) configured to emit a therapeutic radiation beam, a pulsed power supply (806), an electron gun (808), a magnetron (810) and a RF circulator, where the linac, pulsed power supply, electron gun, magnetron and RF circulator are mounted on a rotatable ring of the gantry. The pulsed power supply (806) may be connected to the electron gun (808), which may generate pulses of electrons that correspond with the temporal characteristics (e.g., frequency, duty cycle, etc.) of the power pulses from the supply (806). The microwaves generated by the magnetron (810) and the RF circulator accelerate electrons from the gun (808) in the waveguide of the linac (804). FIG. 8B depicts one variation of a radiation beam path. The accelerated electrons from the linac (804) may collide with a target (822) (e.g., made of tungsten) of a beam converter (820), converting the energy from the collision into an X-ray radiation beam. The radiation beam is first shaped by a primary collimator (824), passes through a dose chamber (826), and then is shaped by an upper beam-limiting block or jaws (828) along a first axis (e.g., y-axis), shaped by the leaves of the binary MLC (830) along a second axis (e.g., x-axis), and finally shaped by a lower beam-limiting block or jaws (832) along the first axis (e.g., y-axis) before the radiation beam enters the patient treatment area. The primary collimator (824) may be directly mounted to the rotatable ring and aligned to the isocenter of the radiation therapy system. FIG. 8D depicts a close-up view of the beam-limiting components in the radiation beam path. More generally, the size and shape of the opening(s) or slot(s) in one set of collimators or jaws may define the radiation beam in one axis (e.g., the x-axis) while the size and shape of the opening(s) or slot(s) in another set of collimators or jaws may define the beam along another axis (e.g., the y-axis). One aspect of these beam limiting devices is how well they create a gradient from full radiation beam to fully attenuated radiation beam; full attenuation may be a function of material thickness and the radiation blocking ability of that material (Half Value Layer attenuation) and may be referred to as the penumbra. In some examples, penumbra may be defined as the distance between the 80% radiation level and the 20% radiation level along this gradient and in some other examples, penumbra may be defined as the distance between 90% and 10% radiation levels. A smaller penumbra may allow for a sharper beam edge, such that a greater portion of the beam has a uniform fluence (e.g., a flatter beam profile) as compared to a beam with a larger penumbra. Improved beam homogeneity may help increase the precision of the treatment. Since the beam-shaping components for the x-axis and y-axis components are not in the same plane, there may be a tradeoff in improving the penumbra in the x-axis (or y-axis) edge as the cost of enlarging the penumbra in the y-axis (or x-axis) edge. The typical approach is to select for which edge (either the x-axis or y-axis) should the penumbra be smallest and then this beam-shaping component is placed at the bottom (i.e., furthest from the linac, closest to the patient). One way to balance the quality of the penumbra in both the x-axis and y-axis dimensions is to split the y-axis beam-limiting component so that a first portion of the y-axis beam-limiting component is located above the x-axis beam-limiting component and a second portion of the y-axis beam-limiting component is located below the x-axis beam-limiting component. One variation of a jaw assembly in a split-jaw configuration is depicted in FIG. 8B. As depicted there, the beam-limiting components for the y-axis dimension (i.e., upper jaws (828) and lower jaws (832)) are located above and below the beam-limiting component (i.e., leaves (831) of multi-leaf collimator (830)) for the x-axis dimension. This functionally “splits” the y-axis beam-limiting component such that one portion is above the x-axis beam-limiting component and the other portion is below. The opposing portions of the upper jaws and lower jaws may be independently adjusted such that the opening or slot in the jaws may have different widths. In the variation of FIG. 8B, the upper jaws (828) and the lower jaws (832) can be thought of as a single jaw that has been split so that the upper portion is located above the binary MLC and the lower portion is located below the binary MLC (830). This may result in smaller penumbras in both the x-axis and y-axis dimensions than if the entire y-axis beam-limiting component were located above the x-axis beam-limiting component, or if the x-axis beam-limiting component were located above the y-axis beam-limiting component. As depicted in FIG. 8C, the inward face (825) of the upper jaws (828) may and the inward face (827) of the lower jaws (832) may each be oriented at an angle (840, 842) with respect to a vertical axis parallel to the radiation beam path (801). The angle (840) of the face (825) of the upper jaws (828) may be larger than the angle (842) of the face (827) of the lower jaws (832). For example, the angle (840) may be about from about 0.3 degrees to about 2 degrees as the IEC Y field size ranges from 1 cm to 5 cm, while the angle (842) may range from about 0.8 degrees to about 8 degrees as the IEC Y field size range from 1 cm to 5 cm. The angles (840, 842) may be determined at least in part on the desired field size (e.g., about 1 cm to about 5 cm) at a plane (e.g., isocenter plane) located at a desired distance (e.g., about 85 cm) from a radiation source (e.g., a point or virtual source), and/or the thicknesses (835, 837) of the upper and lower jaws, and/or the size (e.g., width) and shape of the opening or slot of the primary collimator (824), and/or radiation source or beam energy, and/or other beam-generating or beam-shaping components. In some variations, the angle (840) of the inward face (825) of the upper jaw may be approximately the same as the angle (841) of the focal line (833) with respect to a vertical axis. The focal line (833) of a radiation beam may represent the boundary of the radiation beam spread from a linac (which may be approximated as a point or virtual source (819)). The angles (840, 842) of the inward faces of the upper and lower jaws may be the same as, or different from, the angle (841) of the focal line. For example, the inward face angle (840) may be greater than the focal line angle (841) while the angle (842) may be the same as the focal line angle (841). In some variations, the angles (840, 842) of the inward faces of the jaws may be adjustable. In some variations, the inward faces (825) of the upper jaws (828) may be offset from the focal line (833). That is, the inward faces (825) of the upper jaws (828) may be set back from the focal line (833) by an offset value (829). As depicted in FIG. 8C, the inward faces (827) of the lower jaws (832) may be aligned along the focal line (833), i.e., having an offset value of 0. The inward faces (825) of the upper jaws (828) may be located a distance (i.e., offset) away from the focal line (833), for example, having an offset value (829) from about 0.5 mm to about 2 mm, e.g., about 1 mm. In some variations, the inward faces of the upper and lower jaws are not aligned with each other (i.e., have different offset values). FIG. 8E is a simulation plot of the energy fluence along the y-axis penumbra as a function of the offset of the upper jaws (where the offset of the lower jaws is 0, i.e., the inward faces of the lower jaws is aligned with the focal line). The beam profile where the upper jaw offset is 0.5 mm or more is represented by line (850) and the beam profile where the upper jaw offset is 0 is represented by line (852). The 90% penumbra of a beam where the upper jaws have an offset greater than about 0.5 mm (e.g., about 1 mm) is smaller than the 90% penumbra of a beam where the upper jaws have no offset. That is, the beam attains 90% fluence more rapidly (i.e., sharper slope or edge) when the upper jaws have an offset of 0.5 mm or more than when the upper jaws have no offset or an offset less than 0.5 mm. This indicates a smaller 90%-10% penumbra, calculated as the difference between the beam half widths at 10% level and 90% levels, respectively. A rectangular-shaped beam profile, with sharper beam edges, may improve the dosimetric properties of the beam, because the central portion of the beam (e.g., about 80% of the beam profile) is flatter or more uniform. When there is an non-zero offset of the upper jaws (828) from the focal line (833), the angle (840) and the distance of all the points on face (825) may be calculated such that the upper jaws (828) projects to a slightly larger field size in the place of machine isocenter, due to its offset (829) and larger angle (840) between the face and the central axis. For example, when the lower jaw projects to 2 cm at the isocenter plane, and the upper jaw has an offset of 1 mm from the focal line, the upper jaw then may project to about 2.8 cm field size. The plot in FIG. 8E are simulation results for upper jaws having a thickness (835) of about 55 mm and lower jaws having a thickness (837) of about 55 mm. In some variations, the thickness (835) of the upper jaw may be greater than the thickness (837) of the lower jaw, while in other variations, the thickness (835,837) may be the same, and in either case, may be from about 30 mm to about 70 mm, e.g., about 40 mm, about 55 mm. The offset of the inward faces of the upper jaws and/or lower jaws may be determined by a number of factors, for example, at least in part by the energy level of the radiation beam, the thickness, location and composition of the target, and/or thickness of the upper and lower jaws, and/or distance between the upper and/or lower jaws from the target or virtual source, and/or the thickness of the multi-leaf collimator. In some variations, one or more of the beam-shaping components (e.g., the primary collimator, upper jaws, the binary MLC, and lower jaws) may be mounted on curved rails. FIG. 8F is a schematic depiction of one variation of a beam-shaping module comprising a split jaw (850) and a MLC (852). The dynamic MLC (852) may be a binary MLC. The split jaw (850) may comprise upper jaws (854) located between the therapeutic radiation source (858) (e.g., linac) and the MLC (852), and lower jaws (856) located below the MLC (852). The upper jaws (854) and the lower jaws (856) may be coupled together by one or more plates (860) or frames. The jaw may be mounted on one or more curved linear rails. For example, the split jaw (850) may be slidably mounted on one or more curved linear rails (862). The one or more plates or frames of the split jaw may have one or more slots that are sized and shaped to be larger than the cross-sectional size of the rails such that the slots can slide over the rails (as indicated by arrow (864)). Optionally, there may be an additional rail orthogonal to the rail (862) to provide further support to the jaw. The rails (862) are curved in this example, but they may not be curved (i.e., they may be straight, without any curves) in other variations. The jaw may be coupled to an actuator or motor that moves the position of the jaw along the curved linear rail. Movement of the jaw along the rail may result in a corresponding shift of a treatment plane along the IEC-Y axis (i.e., parallel to the axis of motion of the patient platform). In other variations, the jaw may instead be mounted to the gantry via one or more movable or rotatable attachment mechanisms, such as one or more hinges or pivots. The jaw may be able to move from about 0.5 cm to about 2 cm to the right or to the left of the isocenter, with a total range of movement (end-to-end) from about 1 cm to about 4 cm. This may correspond to a similar shift in the treatment plane, where the treatment plane may shift along the longitudinal axis of the patient platform with a total range of movement of from about 1 cm to about 4 cm. It should be understood that the total range of movement along the longitudinal axis of the patient platform (e.g., IEC-Y) may be from about 1 cm to about 12 cm, e.g., about 1 cm, about 2 cm, about 3 cm, etc. In some variations, a binary MLC may comprise 64 leaves that define an axial plane (e.g., IEC-XZ) that are each 0.6 cm in width at isocenter leading to a field-of-view (FOV) of ˜40 cm. The jaw actuator may be configured to move the jaw at a speed of about 0.25 cm/s to about 2 cm/s, e.g., about 0.5 cm/s, about 1 cm/s, etc. The jaw actuator may comprise, for example, an electromagnetic actuator. In some variations, the speed of the jaw may be greater than the speed of the patient platform. While the beam-shaping module depicted and described in FIG. 8F comprises a split jaw and a MLC that are not movably attached to each other (i.e., moving or shifting the jaw does not necessarily move to shift the MLC), in other variations, the jaw and the MLC may be movably attached to each other (i.e., the jaw and the MLC move or shift together in concert). Magnetron Magnetrons are source devices that convert high voltage, DC power to radio frequency electromagnetic power. As described above, the magnetron may be mounted on the rotatable ring of a gantry. High-speed rotation of the gantry may cause instabilities in the magnetron due to increased levels of centripetal forces as compared to stationary gantries (or gantries that rotate at a slower speed). A magnetron may include a ring anode (900) featuring a cavity structure (902) and a central cathode (904) with a gap (903) therebetween, as depicted in FIG. 9A. The cathode (904) must be supported without interfering with the field structure, and as such, the cathode may be weakly supported by an axle or bracket (906), and/or may not reliably withstand the rotational forces (e.g., centripetal and/or centrifugal) and sinusoidal gravitational forces from the rotating ring, resulting in undesirable movement of the cathode relative to the magnetron. Cathode movement may affect the field structure in the magnetron and may adversely affect its ability to produce RF fields. FIG. 9B depicts one variation where the magnetron (910) is radially mounted, so that the cathode support (906) is aligned with the direction (912) of the centripetal force (e.g., along the radius toward the center of the ring). As shown there, the cathode support or axel (906) may be oriented substantially radially with respect to the rotatable ring (914) of the gantry. In this arrangement, the centripetal force may be relatively constant, and the cathode support (906) may only need to withstand sinusoidal gravitational forces to support the cathode (904). Alternatively, some radiation therapy systems may comprise a klystron mounted on the rotatable ring, instead of a magnetron. Beam Converter The magnetron may accelerate electrons from an electron source (e.g., an electron gun) to create an electron beam. This electron beam may be directed through the cavity of a linac to a beam converter comprising a hi-Z material, such as tungsten or tantalum. Collision of the electrons in the beam converter results in the emission of high-energy photons (e.g., X-ray beam). One variation of a beam converter assembly (1100) that is coupled to a linac (1120) is depicted in FIG. 11. As depicted there, the beam converter assembly (1100) may comprise a beam converter (1102) mounted within a recess of a substrate (1104). An electron beam (1101) traveling within a vacuum cavity (1122) may pass through a linac window (1124) and may be directed at one side of the beam converter (1102). The interaction of the electron beam with the beam converter may generate an X-ray beam (1103) that is emitted from the other side of the beam converter. The substrate (1104) may be made of a conductive material, such as copper. The substrate (1104) may comprise one or more heat removal channels (1106) within the body of the substrate. In some variations, the heat removal channel (1106) may be located adjacent to the beam converter, and a cooling fluid (e.g., gas or liquid) may be circulated through the channel (1106). Heat generated by the incidence of electrons on the beam converter (1102) may be transferred to the conductive substrate (1104), which then transfers the heat to the cooling fluid within the channel (1106). While the systems described herein may comprise the beam converter assembly of FIG. 11, it should be understood that other beam converter assemblies (e.g., with other substrate geometries, heat removal channel configurations and beam converter materials) may be used. CT System In some radiation therapy systems, a kV radiation source and corresponding detector may be provided for imaging purposes (e.g., CT imaging). The kV radiation source and detector may be located on the rotating ring of the gantry, but at a different longitudinal location from the MV or therapeutic radiation source such that the fan beam generated by the kV radiation source is in a different plane from the MV radiation source. As with the MV or therapeutic radiation source, alignment of the imaging radiation source (e.g., imaging linac) may also be motorized. Imaging data collected may be used to register the position of the patient relative to the gantry and the therapeutic radiation source. Accurate registration of the patient to the radiotherapy system facilitates the accurate delivery of the radiation treatment. It may be desirable to register the patient quickly and accurately. Images and/or data from the kV radiation source may also help to identify the positions of target volumes and sensitive structures that are to be avoided. In some variations, a kV system may comprise a kV radiation source, a kV detector, and a series of static and dynamic collimator elements to control the shape of the radiation beam emitted from the kV radiation source. For example, a series of two static collimators may define an aperture profile along two axes (e.g., X-axis, Y-axis) whose geometry may be defined by their relative location to isocenter and the kV detector. Additionally, a kV system may comprise a rotatable collimation mechanism configured to control irradiation of the beam onto the patient and kV detector. The rotatable collimation mechanism may comprise an electrical actuator, a rotatable collimator comprising beam-limiting elements, and a position-sensing circuit. The rotatable mechanism may be configured to rapidly adjust the position of its beam-limiting elements via an electrical actuator and position sensing circuit. FIG. 13A depicts one variation of a kV imaging gantry (1300) that may comprise a kV radiation source (1302), kV detector (1304), kV support structure (not depicted) and a collimation assembly (1308). The kV imaging gantry (1300) may be attached to the rotatable ring to which the therapeutic radiation source, MV detector, and PET detectors are attached. The kV imaging gantry may be attached to the rotatable ring using a plurality of bolts and/or weld points. FIG. 13B depicts one variation of a kV radiation source support (1306) and a collimation assembly (1308). The collimation assembly (1308) may comprise a radiation shield (1310). FIG. 13C depicts an exploded view of the collimation assembly (1308), kV radiation source support (1306) and radiation shield (1310) of FIG. 13B. Turning to FIG. 13C, the collimation assembly may comprise a support structure (1306) upon which an X-axis mounting stage (1314) and the radiation shield (1310) are mounted. It may further comprise an alignment plate (1316) and a Y-axis mounting stage (1318) mounted beneath the X-axis mounting stage (1314). An initial beam limiting or shaping device (1320) may be mounted on the Y-axis mounting stage (1318). This may help to maintain the relative positioning between the kV radiation source, kV detector and any additional beam shaping devices. The radiation shield (1310) may be disposed over the kV radiation source and may have a shape that corresponds to the expanding kV radiation source beam. Wall portions of the shield (e.g., side wall portions) may provide support for a rotatable collimator (1322), beam shaping filter (1324) and final beam limiting or shaping device (1326). For example, there may be two side openings (1309) on the side wall portion of the shield (1310), which may be configured to rotatably retain two axles (1311). The axles (1311) may be cylindrical and may be connected to the two ends of the rotatable collimator (1322), serving as an axis of rotation for the collimator. For example, the rotatable collimator (1322) may comprise a dowel or cylinder made of a radiation-blocking material, such as tungsten or lead. The dowel or cylinder may have a longitudinal axis and a central aperture opening (1323). The central opening (1323) may extend transversely through the cylinder (e.g., perpendicular to its longitudinal axis) and/or may have a length along the longitudinal axis that may correspond with a desired beam width. For example, the central opening may extend through the entire diameter or thickness of the collimator, and may have a length that approximates the length of the collimator. The aperture opening may be configured to shape the beam along two axes (e.g., X-axis and Y-axis), and/or may be configured to shape the beam along one axis (e.g., X-axis). The two axles or shafts (1311) may be connected to either end of the dowel or cylinder such that rotation of the axles (1311) also rotates the rotatable collimator (1322). The rotatable collimator may have two configurations and rotation of the collimator may transition between these two configuration. In the first configuration, which is depicted in FIG. 14A, the aperture (1323) is aligned with a radiation beam from the kV radiation source, and allows the kV radiation beam to pass through. Upon rotation of a certain angle (e.g., about 90 degrees), the collimator may be transitioned to a second configuration, depicted in FIG. 14B. In this configuration, the aperture (1323) is not aligned with the radiation beam and the wall portion of the cylinder or dowel, which is made of a radiation-blocking material, may impede or block the kV radiation beam. A kV radiation beam collimator may help attain and maintain precise and/or accurate imaging beam performance. In some variations, the alignment between the kV radiation beam and the collimator may be within a predetermined tolerance threshold of about 10 microns or less. The alignment of the kV radiation beam to the collimator may be checked in several circumstances, for example, in the factory, upon delivery of the system to a clinic or customer, and in the event that the kV radiation source or kV detector is serviced or repaired. II. Methods Also described here are methods for emission-guided high-energy photon delivery using the systems and devices described above. In some variations, the methods may be used to deliver a radiation dose to a desired region of a patient. Generally, the methods described here comprise registering a patient loaded onto a patient platform and treating the patient using a radiotherapy system. Increasing the efficiency of a patient registration and treatment may help to increase the number of patients that may be treated by a single radiation therapy system over the course of a time period (e.g., a day). In some variations, a method of processing radiotherapy patients may comprise registering the patient to a patient platform using a registration system in a registration room. The patient may then be moved to a different room having a radiotherapy system where the patient is treated by the radiotherapy system. By performing registration and radiation treatment in separate rooms, a patient may receive a radiation dose while another patient is being registered in a different room. Thus, the preparation of a patient for treatment may occur in parallel with the treatment session of another patient, while maintaining privacy and without compromise to treatment quality. In some examples, the workflow may begin in an administering room where the patient is administered a radioisotope (e.g., a PET tracer). The patient may wait in the administering room until the room having the registration system is vacated. In some variations, patient registration may optionally comprise applying an external radioactive fiducial to a patient. The external radioactive fiducial may help improve the accuracy and/or precision of patient registration for a number of treatment modalities (e.g., EGRT, SBRT, IMRT). In some examples, the radioactive fiducial may be used to provide an initial coarse registration helpful in reducing patient dose received from subsequent kV imaging. The radioactive fiducial may be inserted into the body and/or combined with other fiducials (e.g., radiopaque tattoo on a patient skin). In other examples, the radioactive fiducial may remain coupled to the patient during radiation treatment and allow detection of patient motion during treatment. In some variations, a movement speed of one or more of a gantry, collimator, and patient platform may be adaptively adjusted in real-time according to an intensity modulation of radiation beams prescribed in a treatment plan in order to reduce radiation treatment procedure times. For example, the movement speed of a rotating gantry and a patient platform may be reduced for radiation beam delivery to a tumor requiring a higher level of modulation (e.g., a tumor having an irregular shape). In another example, a patient platform speed may be increased when the radiation beam is off and moving between different tumors. In this manner, speed of one or more of the gantry, collimator, and patient platform may be increased while operating the radiotherapy system within mechanical limits and without compromise to treatment objectives. In some variations, the patient platform may be moved to a pre-determined location, stopped at the pre-determined location while therapeutic radiation is applied to the patient, and then moved to another pre-determined location (e.g., step-and-shoot motion). Applying therapeutic radiation in such matter may help mitigate dose delivery imperfections and/or magnetron arcs that are often encountered when radiation is delivered to a continuously moving patient platform. In some variations, a radiotherapy system may be configured to output a noise cancellation signal to a patient undergoing a procedure in a bore of a gantry to reduce the perceived mechanical noise generated by the system during operation. A reduction in the perceived noise may increase patient comfort and consequently reduce patient movement on a patient platform (e.g., patient shifting), thereby improving patient compliance. In some examples, ear location data of a patient may be used to generate the noise cancellation signal. The radiotherapy system may comprise an audio system having one or more microphones to receive the noise to be cancelled and speakers to output the noise cancellation signal. Radiation Therapy Workflow A radiation therapy system is a high-cost expenditure that can treat only a limited number of patients in the course of a day. Bunker time is expensive and it is therefore desirable to reduce the amount of bunker time spent on treatment tasks that do not require the use of the radiation therapy system. For example, IMRT and SBRT procedures typically deliver a radiation dose over a number of fractions. Some IMRT procedures may deliver about 60 Gy to about 80 Gy over about 30 to about 40 fractions (e.g., 2 Gy per fraction). Delivery of each IMRT fraction may take about 15 to about 20 minutes with about 10 of those minutes devoted to patient setup. Some SBRT procedures may deliver about 40 Gy to 80 Gy over about 3 to about 5 fractions. Delivery of each SBRT fraction may take about 40 minutes to about 90 minutes with about 15 minutes to about 40 minutes of patient setup depending upon the number and location of lesions. The differences in patient setup time between IMRT and SBRT may be because generally, an IMRT fraction is delivered for a single lesion while an SBRT fraction may be delivered for one or more lesions. Under these patient workflow constraints, a radiotherapy system may deliver about 30 to about 40 IMRT fractions per day and about 6 to about 10 SBRT fractions per day. The patient workflow processes described in detail below may improve allow a radiotherapy system to deliver up to about 60 IMRT fractions per day and up to about 12 SBRT fractions per day. The radiation therapy system as described herein may treat patients in different rooms in parallel, thereby maintaining privacy and increasing bunker usage efficiency and patient throughput. For example, patient uptake of a radioisotope may be performed in a first room while patient imaging and registration may be performed in a second room. The patient may then be moved into a third room comprising the radiation therapy system to receive radiation therapy treatment. Accordingly, patients do not occupy the third room until ready to receive radiation therapy treatment. Workflow may be improved by performing tasks in parallel, thus allowing three patients in the workflow simultaneously (at different stages of treatment). FIG. 12 is a schematic depiction of patient workflow for a radiation therapy facility (1200) comprising a first room (1202), second room (1204), third room (1206), control room (1208), and hallway (1209) connecting each of the rooms. The first room (1202) may be configured for radioisotope administration and uptake. For example, the first room (202) may be configured to be a quiet, comfortable space for a patient to wait while biological uptake occurs. For example, the uptake for a PET tracer such as FDG may take about 60 minutes. The second room (1204) may comprise a registration system and be configured for patient registration of a patient to a patient platform. For example, the second room (1204) may comprise an imaging system (e.g., kV CT, MR, PET/CT) configured to image and register the patient to the patient platform. Various types of patient platforms may be used, for example, the patient platforms described and depicted in a co-pending U.S. Application entitled “RADIATION THERAPY PATIENT PLATFORM”, U.S. patent application Ser. No. 15/814,276, filed on Nov. 15, 2017, which is hereby incorporated by reference in its entirety. The patient registration process may generally take about 10 minutes. The third room (1206) may comprise a radiation therapy system and be configured to treat the patient. Treatment times in the third room (1206) may vary based upon the type of treatment being performed, type of lesion, and number of lesions. For example, radiation dose delivery for a simple IMRT lesion may be performed in about 5 minutes while radiation dose delivery for a complicated, multi-lesion patient may be performed in about 60 minutes. In some variations, a technician (1211, 1213, 1215) (e.g., healthcare professional) may be assigned to a respective patient (1210, 1212, 1214). In some variations, a control room (1208) may comprise an operator console (1224) and an operator (1216) to control patient registration and radiation therapy in the second room (1204) and third room (1206). In other variations, each of the second room (1204) and third room (1206) may comprise an operator. In some variations of the methods described herein, a radiation therapy patient process may comprise administering a radioisotope to the first patient (1210) in a first room (1202), and moving the first patient (1210) from the first room (1202) into the second room (1204). Once in the second room (1204), the first patient (1210) may be loaded onto a first patient platform. The loaded first patient platform may then be moved into a registration region of the registration system and location data of the first patient's body may be generated using the registration system. For example, diagnostic imaging of the first patient (1210) and first patient platform may be generated and used to register the first patient's body to the first patient platform. Then, the first patient (1210) coupled to the first patient platform may be moved out of the second room (1204) through hallway (1209) and into the third room (1206). The patient should restrict their movement on the first patient platform after registration until treatment is completed. In some variations, the patient platform may comprise a set of wheels for movement while in other variations, the patient platform may be coupled to a rail system of the facility (1200) connecting the registration system of the second room (1204) to the radiation therapy system of the third room (1206). For example, a rail system may be disposed on the floor and guide the patient platform from the second room (1204) to the third room (1206). The patient platform may be manually moved and/or driven by a motor. The first patient platform having the registered first patient may then be docked to the radiation therapy system. In some variations, a patient platform including a transportable base may be moved from room to room such that the base docks to each system. In other variations, the patient platform may be transferred to a different base in each room. For example, the patient platform may be transported on a first base and then the transferred to a fixed base fixed to the gantry of a radiation therapy system. Docking the first patient platform to the radiation therapy system registers the position of the patient to the radiation therapy system. The patient registration must remain unchanged as the patient is moved from room to room and may be monitored using a sensor system. The registered first patient platform may then be moved into a treatment region of the radiation therapy system and the first patient may be treated using the radiation therapy system. Each of the above steps may be performed for a second patient and a second patient platform after completing the each step by the first patient. In other words, once the first patient vacates a room, another patient may enter the room to create a continuous pipeline of patients. Likewise, each step of the above steps may be performed for a third patient and a third patient platform after completing the step by the second patient. It should be appreciated that a single radiation source (e.g., accelerator) may be coupled to a plurality of radiation therapy systems (e.g., a fourth room comprising a second radiation therapy system). In some variations, the imaging and treatment may be done in the same room on separate imaging and treatment systems Patient Registration Patient registration to a radiotherapy system is important to the accuracy of dose delivery and constitutes a significant amount of the time in a patient procedure. Intensity modulated radiation therapy (IMRT) procedures commonly utilize external fiducials for the initial registration of the patient. These are often in the form of small tattoos on the skin. These tattoos may be visually aligned to lasers in a treatment room that are aligned to the radiotherapy system. The resulting alignment is generally to within 5 mm of structures inside the body. However, tattoo registration may be manually intensive and time consuming. In some variations, a patient may be registered to both a radiotherapy system and a diagnostic imaging machine using external fiducials. An external fiducial may be, for example, small radioactive point source (about 500 kV) attached to a patient. A plurality of PET detectors in the radiation therapy system may detect the external fiducial and register the patient. In some examples, the external fiducial may be left attached to the patient for the entirety of the procedure, while in other situations, the point source may be attached as needed to the patient and aligned to a permanent or semi-permanent tattoo on the skin. An external PET fiducial may be useful in patient registration for EGRT, SBRT, and IMRT systems. PET detectors of an EGRT system may be used to register patients to the system quickly and with reasonable accuracy for patients that have or have not received a PET tracer injection by using an external PET fiducial. For example, a PET detector system may provide coarse patient registration while an integrated kV imaging system may provide fine patient registration. The coarse PET registration may improve patient registration by reducing subsequent kV imaging coverage, dose, and time. In variations where a patient body structure is located using an external radioactive fiducial as described in detail herein, a radioactive fiducial may be coupled to an external portion of the patient. The external portion may be one or more of skin, an orifice of the patient, a sternum, and a hip. A diagnostic image may be generated by a PET/CT diagnostic system using the radioactive point sources coupled to the skin. The external fiducials may be left on for the entirety of the procedures and/or used to locate a permanent or semi-permanent tattoo. The radioactive fiducial may be disposed in a patch and placed over the sternum and/or hips of the patient. In some variations, the radioactive fiducial may comprise a small, rigid, high-energy photon transparent well having an adhesive backing to couple to the patient. Accordingly, the radioactive fiducial corresponds to the patient body structure. In some examples, the patient may be marked at a first skin location corresponding to the patient body structure (e.g., by permanent/semi-permanent tattoo on the sternum and hips), and the radioactive fiducial coupled to the patient at the first location. The radioactive fiducial and the patient coupled to the patient platform may then be located using, for example, PET/CT diagnostic imaging. The patient body structure may be registered to the patient platform using the location of the radioactive fiducial. The fiducial may remain applied to the patient during radiation therapy treatment. In some examples, the external radioactive fiducial may register the patient to better than 5 mm. For an IMRT procedure where the patient does not receive a PET tracer injection, this registration may be adequate. For an EGRT procedure where the patient receives a PET tracer injection, further registration of the tumor volumes and sensitive structures can be done using the internal PET signals to increase registration accuracy. The radioactive fiducial (e.g., PET fiducial) may be any source that produces an approximately 500 kV event localized to a point under PET imaging (e.g., a 500 kilovolt point source). For example, the radioactive fiducial may comprise Na22, PET tracers, and other kV sources. In some variations, the patient may be treated using a radiotherapy beam with the radioactive fiducial coupled to the patient. It should be appreciated that the radioactive fiducial may be located in parallel with treatment steps being performed, as this may allow determination of movement of the patient body structure during treatment. In other variations, the diagnostic image may be a kV CT image and the external fiducial coupled to the patient may be a small, dense metal bead configured to show contrast on kV images. In some variations, a metal fiducial may be coupled to an external portion of the patient. Similar to the radioactive fiducial, the metal fiducial may correspond to the patient body structure on which it is placed. The metal fiducial may be located by imaging. The metal fiducial may then by removed prior to radiotherapy treatment or remain attached during radiation therapy treatment. The radioactive fiducial may comprise an orifice block configured for insertion in the orifice. In some examples, the orifice block may comprise a bite block to three-dimensionally locate a patient's bony structures. For example, the bite block may comprise a head fixation device that further allows for registration of a patient body structure in three dimensions. Additionally or alternatively, the radioactive fiducial may be coupled to patient clothing configured to be worn on the patient. In some variations, the external radioactive fiducial may be coupled with an optically dense housing or well that shows contrast in kV images and may be imaged using a PET detector system integrated with a kV imaging system. The optically dense housing may be coupled to the patient using, for example, an adhesive. For a kV CT diagnostic scan, the housing may be coupled to the patient without a radioactive source. The dense material under kV CT imaging may be easily resolved and registered. For PET/CT imaging, the dense housing may comprise the radioactive source such that the dense material may be resolved by the kV CT and the radioactive source resolved by the PET. The PET sensing may provide gross alignment to within around 5 mm so that the kV imaging coverage may be reduced. A reduction in kV imaging reduces the registration time and X-ray dose to the patient. In variations where a patient body structure is located using an internal radioactive fiducial as described in detail herein, an internal region of interest of a patient may be located, and a radioactive fiducial may be implanted into the region of interest. The radioactive source may be implanted internally using a surgical procedure or a large needle. The radioactive source may be a point source. In some variations, the radioactive source may have a resolution below that of the PET system (e.g., a point source having a diameter of about 4 mm). The radioactive fiducial and the patient coupled to a patient platform may be located using, for example, kV imaging. The region of interest may be registered to the patient platform using the location of the radioactive fiducial. In some variations, the implanted radioactive fiducial may comprise one or more of a hydrogel and a tracer. The implanted fiducial may allow for accurate tracking of a region of interest and/or sensitive structures so that dose delivery margins may be reduced. This may spare healthy tissue from an unnecessary dose which may allow for treatment of more lesions and/or at higher doses, and/or more maintenance sessions. Adjustable Platform and Gantry Speeds Helical tomotherapy is a type of intensity-modulated radiation therapy (IMRT). An IMRT system may comprise a radiation beam source that rotates about a longitudinal axis of a gantry, a collimator comprising a plurality of leaves shaping a radiation beam, and a patient platform that moves relative to the gantry. A patient may receive a helical or spiral radiation dose during simultaneous operation of the rotatable gantry, collimator, and patient platform (e.g., gantry spinning around its longitudinal axis and patient platform moving longitudinally). In helical radiation therapy, intensity modulation may be achieved by varying an intensity of the radiation beam at each gantry angle and each patient platform position while the gantry and the patient platform simultaneously move. In order for the intensity modulated dose to be delivered very accurately during helical tomotherapy, collimator leaves (e.g., multi-leaf collimator (MLC)) must open at precise times corresponding to a precise gantry angle position and patient platform position. Helical radiation beam intensity modulation therefore depends on the accuracy of the timing of the MLC transitions (between open and closed positions), gantry and patient platform speeds. When the gantry rotates relatively slow, such as in the range from 1 RPM to 10 RPM and when there is a relatively large angular difference between gantry firing positions, such as 7 degrees, there is generally sufficient time for the leaves to close or open in between the gantry positions such that radiation therapy treatment may follow a treatment plan with a high level of fidelity and precision. Some conventional tomotherapy systems may comprise 51 gantry firing positions (7 degree spacing between each firing position) and comprise gantry rotation speeds during patient treatment range between about 1 RPM and about 5 RPM. However, when gantry speeds are much higher than about 10 RPM, and especially for EGRT systems that may reach gantry speeds of about 60 RPM with 100 firing angles (3.6 degree spacing between each firing position), the MLC transitions must be much faster to provide an accurate dose. As gantry speeds increase and angular differences between gantry firing positions are reduced, MLC transition times may reach their mechanical and electronic limits, thus placing a limit on the level of achievable intensity modulation for a given gantry rotation speed and/or patient platform speed. Radiation therapy treatment quality may be compromised if the MLC, gantry, and patient platform are operated at speeds near the system's mechanical limits, especially if a treatment plan requires a high level of modulation. For example, an increase in the gantry speed while keeping the angular separations of the firing positions fixed may require either re-calculating the treatment plan by potentially sacrificing the dose prescription constraints on the planning treatment volumes (PTV) and/or organs at risk (OAR) volumes. The radiation therapy systems described herein may provide variable and real-time gantry and/or patient platform speeds to increase or decrease modulation during radiation beam delivery. The systems may comprise a rotatable gantry, a patient platform disposed in a patient region of the gantry, a collimator mounted to the gantry and comprising a plurality of leaves, and a radiation source coupled to the collimator. The patient platform may move relative to the gantry (e.g., longitudinally through a bore of the gantry) and the leaves of the collimator may open and close from a plurality of gantry angles. For example, when less modulation (e.g., lower rate of MLC transitions) is required (per the treatment plan), the gantry and/or patient platform may speed up, and when more modulation is required, the gantry and/or patient platform may slow down. In another example, when the radiation beam is off, such as when moving between different tumors at different locations in the body, the speed of the gantry and/or patient platform may be varied (e.g., increasing the speed of the patient platform and reducing the speed of the gantry). Alternatively or additionally, the platform speed may be adjusted based on data acquired by the PET detectors during a treatment session. In this manner, treatment objectives may be achieved while reducing treatment times. A tumor comprising an irregular shape may require more modulation to deliver the dose with the proper shape. For example, the prostate axial view has a horseshoe shape, which is concave around the rectum. As the shape of the tumor becomes more irregular, increased modulation may be desirable. Therefore, a speed of the gantry rotation and/or patient platform may be reduced when the collimated fan beam irradiates a target with a high degree of irregularity. In some variations, data acquired by the PET detectors may be used to adjust the platform speed. For example, areas with elevated levels of PET emissions may be irradiated for longer periods of time than areas with lower levels of PET emissions. Accordingly, the platform speed may be reduced to increase the dwell time of regions with elevated levels of PET emissions in the fan beam, and the platform speed may be increased to decrease the dwell time of regions with lower levels of PET emissions in the fan beam. In some variations, a method of operating a radiotherapy system as described herein to reduce treatment times may comprise receiving a treatment plan of a patient comprising a set of open leaves and corresponding gantry angles. The beamlet firing positions given by the open leaves and gantry angles of the treatment plan corresponds to an intensity modulation. A radiation beam may be output from the collimator using the radiation source and the treatment plan while a speed of one or more of the patient platform and gantry may be varied using the treatment plan. Thus, intensity modulation of any level may be preserved as prescribed by the treatment plan by adaptively adjusting one or more of the gantry speed and patient platform speed. In some variations, a speed of the collimator may be prioritized over the speed of the patient platform and gantry in response to the level of modulation required by the system to the treatment plan. For example, a speed of the collimator may be maintained and/or set at as desired while the speed of one or more of the patient platform and gantry is varied. When the MLC transitions times are unable to keep up with a particular combination of the gantry speed, and/or number of gantry firing positions and/or patient platform speed, the gantry speed and/or couch speed may be slowed down with a dose rate is kept constant. Thus, when there is little modulation required, the gantry and/or patient platform are made to go faster, but when more modulation is required, the gantry and/or patient platform are made to go slower in order to achieve the desired level of intensity modulation. In some examples, the gantry speed may be held constant while the patient platform speed varies. Conversely, the patient platform speed may be held constant while the gantry speed varies. A radiation therapy procedure for some patients may include treating multiple, distinct tumors. In addition to varying the speed of gantry rotation and/or the patient platform in response to the modulation levels of a tumor, the speed of the patient platform may be varied between tumors. For example, the patient platform speed may be increased in absence of radiation beam emission. As there is no dose to be delivered between tumors, the patient platform speed may be set to a first speed (e.g., set to a maximum speed) between tumors to reduce an overall treatment time. In some variations, the first speed of the patient platform and/or gantry may be any desirable speed when there is no beam emission, but as the patient platform reaches a margin of the tumor, the patient platform and/or gantry may accelerate/decelerate to reach the operational speed prescribed by the treatment plan. For example, a margin (e.g., 0.5 cm, 1 cm, 1.5 cm, 2 cm) may be provided around the tumor to allow the patient platform to decelerate for higher modulation at the tumor. Dose Rate There are situations in radiotherapy delivery where it is desirable to vary or gate the dose rate. In some variations, the linac may utilize an injected electron beam pulse from the injector gun and an RF pulse from the RF source (e.g., magnetron). The injector beam pulse and RF pulse may usually be aligned (i.e., the electron beam pulse and the RF pulse are in-phase). Either or both of the pulses can be misaligned (i.e., phase-adjusted to be out-of-phase) or shortened to gate or vary the dose rate. For systems that use magnetrons for the RF source, it may be desirable to not change the RF pulse. In these systems, the RF pulse from the magnetron may be consistent, but the radiation beam pulse rate may be varied by changing the injector (e.g., electron gun) pulse rate. A radiotherapy system comprising a binary multi-leaf collimator may output multiple linac pulses per projection. One example is depicted in FIG. 10. Here, a projection is a section of rotation that includes the binary MLC leaf transition time and a window to deliver radiation. This firing algorithm may be suited to a ring gantry that is rotating fast enough to respond to normal patient movements such as breathing. For example, a ring gantry rotating at 60 RPM, divided into 100 projections leaves 10 milliseconds per projection. A leaf transition time of 5 milliseconds then leaves 5 milliseconds per projection for radiation delivery. A linac pulse rate of 300 Hz (3.3 milliseconds apart) would only allow for two pulses in the 5 millisecond window. Whereas a 400 Hz pulse rate (2.5 milliseconds apart) would allow for three pulses in the 5 millisecond windows (one at the beginning of the window, one in the center of the window, and one at the end of the window. For a radiotherapy system where the linac fires at a constant rate as the ring gantry system rotates, the leaf openings and transitions may be timed to maximize the number of linac triggers that are delivered by grouping projections where no leaves are required to transition. In these methods, the number of linac triggers that are not delivered because there are leaves transitioning are minimized. In variations where there are more than one pulses per firing window, the dose rate may be modulated by varying the number of pulses fired per firing window. For example, at 400 Hz it is possible to fire up to three pulses in a 5 millisecond window. This is in contrast to typical linac systems, which operate at a consistent repetition rate, where the time delay between every pulse is the same. Varying the time delay between pulses may allow the radiation generation system to compress the same number of pulses into a firing window while staying below the thermal-average threshold of some of the radiation generating components such as the RF source, linac, RF windows, and target converter. Noise Cancellation In some variations, a radiation therapy system may comprise an audio system useful for reducing auditory discomfort from mechanical noise generated by the system that may reduce patient comfort and increase patient anxiety. In some variations, a method of noise cancellation for a radiotherapy system may comprise receiving ear location data of a patient disposed in a patient treatment area of a radiotherapy system. For example, the ear location data may be determined using patient registration data (e.g., from PET imaging, kV CT imaging) or the patient may be positioned at a predetermined location such that the ear location may be known. The noise generated from the radiotherapy system may be received using a microphone. A noise cancellation signal may be generated using the ear location data and the received noise. The cancellation signal may then be output from a speaker. The ambient noise mixed with the cancellation signal at the patient's ears will cancel each other out (e.g., destructive interference) to reduce the volume of the perceivable noise to the patient. Although the foregoing variations have, for the purposes of clarity and understanding, been described in some detail by of illustration and example, it will be apparent that certain changes and modifications may be practiced, and are intended to fall within the scope of the appended claims. Additionally, it should be understood that the components and characteristics of the systems and devices described herein may be used in any combination. The description of certain elements or characteristics with respect to a specific figure are not intended to be limiting or nor should they be interpreted to suggest that the element cannot be used in combination with any of the other described elements. For all of the variations described above, the steps of the methods may not be performed sequentially. Some steps are optional such that every step of the methods may not be performed.
claims
1. An X-ray imaging system for generating X-ray projections of an object, comprising:a plurality of X-ray sources for generating an X-ray beam;a stationary single integrated X-ray detector that comprises an array of at least two sensitive pixels having X-ray insensitive regions in between, wherein a detection signal of these pixels corresponds to image information at a particular point of the generated projection;a stationary collimator that comprises at least two openings which allow the passage of X-rays from the plurality of X-ray sources such that each of at least two neighboring pixels of the single integrated X-ray detector is illuminated by X-rays passing through both of the at least two openings while the X-ray insensitive region between said pixels is at least partially shielded by the collimator;an object space between the collimator and the X-ray detector where an object to be imaged can be accommodated, wherein the stationary collimator is disposed between the plurality of X-ray sources and the object space. 2. The X-ray imaging system according to claim 1,wherein a size and an arrangement of one opening of the at least two openings and one X-ray source of the plurality of X-ray sources are such that only one pixel of the array of the at least two sensitive pixels is illuminated through said one opening by said one X-ray source. 3. The X-ray imaging system according to claim 2, wherein X-rays from at least two of the plurality of X-ray sources traverse through a same opening of the at least two openings and illuminates two different pixels. 4. The X-ray imaging system according to claim 1,wherein the collimator comprises an array of openings which are in one dimension or in two dimensions aligned with the pixels of the detector such that by illumination with the plurality of X-ray sources, areas of the radiation sensitive pixels are illuminated with a higher intensity than the radiation insensitive regions between them in at least one direction of the pixel array. 5. The X-ray imaging system according to claim 1,wherein a size of the pixels ranges between about 0.1 mm and about 2 mm and/or a pitch of the pixels ranges between about 0.5 mm and 2 mm. 6. The X-ray imaging system according to claim 1,wherein a width of the openings of the collimator ranges between about 100 μm and 500 μm, and/or a pitch of the openings of the collimator ranges between about 100 μm and 500 μm. 7. The X-ray imaging system according to claim 1, wherein a size and an arrangement of each opening of the at least two openings and each X-ray source of the plurality of X-ray sources is such that one pixel of the array of the at least two sensitive pixels is illuminated by X-rays passing through all of the at least two openings from all of the X-ray sources. 8. The X-ray imaging system according to claim 1, further comprising:an X-ray generator with the plurality of X-ray sources, wherein any two of the plurality of X-ray sources is arranged to illuminate a same set of pixels through the openings of the collimator such that an area of the radiation sensitive pixels is illuminated with a higher intensity than the radiation insensitive regions between them in at least one direction of the pixel array. 9. The X-ray imaging system according to claim 8,wherein the plurality of X-ray sources and the collimator openings are arranged in a quasi-periodical pattern. 10. The X-ray imaging system according to claim 1, further comprising:an X-ray generator with the plurality of X-ray sources, wherein the plurality of X-ray sources covers an area of less than about 10 mm2. 11. The X-ray imaging system according to claim 1, further comprising:an X-ray generator with the plurality of X-ray sources, wherein the X-ray generator comprises an emission area with modulated emission intensity. 12. The X-ray imaging system according to claim 11,wherein the X-ray generator comprises electron optics and/or a structured electron emitter for bombarding the emission area with electrons in a pattern that generates an array of emission peaks. 13. The X-ray imaging system according to claim 12,wherein the electron emitter is structured in a pattern corresponding to the pattern of the X-ray source array. 14. The X-ray imaging system according to claim 12,wherein the electron emitter comprises carbon nanotubes. 15. The X-ray imaging system according to claim 11,wherein the X-ray generator comprises a spatially extended X-ray emitter disposed behind a mask with holes. 16. The X-ray imaging system of claim 1, wherein a diameter of an individual X-ray source is less than one hundred microns. 17. The X-ray imaging system of claim 1, wherein a diameter of an individual X-ray source is less than fifty microns. 18. The X-ray imaging system of claim 1, wherein the plurality of all X-ray sources covers an area of less than ten square millimeters. 19. The X-ray imaging system of claim 1, and further comprising:an X-ray absorbing grating with a plurality of pinholes,wherein the X-ray absorbing grating is disposed between at least one of the plurality of X-ray sources and the collimator,wherein X-ray radiation emitted by the single X-ray source is absorbed by the X-ray absorbing grating and traverses the plurality of pinholes, providing a plurality of X-ray sub-sources, andwherein the collimator allows the passage of the X-rays emitted by the X-ray sub-sources such that the at least two neighboring pixels of the detector are illuminated and at least partially shields the X-ray insensitive region from the X-rays emitted by the X-ray sub-sources. 20. A method for generating an X-ray projection with an X-ray imaging system, said method comprising the following steps:generating an X-ray beam with a plurality of X-ray sources;detecting X-rays of said beam at positions of pixels of an array of pixels of a stationary single integrated X-ray detector having X-ray insensitive regions in between, wherein a detection signal of these pixels corresponds to image information at a particular point of the generated projection;allowing passage of X-rays of said X-ray beam through two openings of a stationary pre-object collimator such that at least two neighboring pixels of the single integrated X-ray detector are each illuminated by X-rays passing through both of the two openings while the X-ray insensitive region between said pixels is at least partially shielded by the collimator;d) accommodating an object to be imaged between the collimator and the detector.
abstract
A nuclear fission reactor fuel assembly adapted to permit expansion of the nuclear fuel contained therein. The fuel assembly comprises an enclosure having enclosure walls to sealingly enclose a nuclear fuel foam defining a plurality of interconnected open-cell voids or a plurality of closed-cell voids. The voids permit expansion of the foam toward the voids, which expansion may be due to heat generation and/or fission gas release. The voids shrink or reduce in volume as the foam expands. Pressure on the enclosure walls is substantially reduced because the foam expands toward and even into the voids rather than against the enclosure walls. Thus, the voids provide space into which the foam can expand.
042636540
claims
1. A system for determining a value representing a normal operating value of at least one type of plant data corresponding to a presently operating step of an operating plant comprising first means for individually comparing signals representing the present status of a plurality of first types of plant data with signals representing the respective values for a predetermined status thereof and for producing respective output signals corresponding to the individual comparison results, second means for producing a signal corresponding to the present operation step of the plant in response to the signals produced by said first means, third means for storing various values representing normal operating values of at least one second type of plant data corresponding to the various operating steps of the plant, and fourth means for selecting the value representing the normal operating value of the at least one second type of plant data from the values stored by said third means in response to the signal produced by said second means and for producing at least one signal corresponding to the value representing normal operating value. 2. A system according to claim 1, in which the at least one second type of plant data to be determined is reactor pressure of the plant. 3. A system as defined in claim 1 wherein said first means includes a plurality of registers receiving said signals representing the present status of plant data, and a plurality of comparators each connected to a respective register and to a respective signal representing the values for a predetermined status. 4. A system for determining a value representing a normal operating value of at least one type of plant data corresponding to a presently operating step of an operating plant comprising first means for calculating the ratio of the present value of a first type of plant data to the rated value thereof and for producing a signal corresponding to the calculated ratio and indicative of a present operating step of the plant, and second means for multiplying the rated value of at least one second type of plant data by the ratio value derived from said first means and for producing at least one value signal corresponding to the multiplication result and representing the normal operating value of the at least one second type of plant data corresponding to the present operating step. 5. A system according to claim 4, in which said first type of plant data is reactor power and said second type of plant data includes at least one of main steam flow, feedwater flow, and generator power.