patent_number
stringlengths
0
9
section
stringclasses
4 values
raw_text
stringlengths
0
954k
summary
051620960
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a cavity structure for use in a nitrogen, especially in an explosive detection system. Specifically, the present invention relates to a composite cavity structure which in combination with a source of neutrons produces a cloud of thermal neutrons within the cavity to provide for a more efficient detection of the nitrogen contained in an object (such as an explosive) within the cavity. 2. Description of the Prior Art A great need exists for the scanning of luggage, baggage and other parcels for the detection of any explosive material contained or concealed within their confines. For example, a large number such as close to two million (2,000,000) pieces of luggage are checked and/or carried onto aircraft daily by close to seven hundred and fifty thousand (750,000) passengers within six hundred (600) airports extending across the country. There is a possibility, albeit remote, that any one piece of luggage or parcel may contain explosive material. It is, therefore, desirable to protect the public by providing detection systems to scan luggage and parcels to detect the presence of any explosive material. Co-pending application Ser. No. 053,950 filed by Tsani Gozani and Patrick M. Shea on May 26, 1987 (now abandoned in favor of continuation Ser. No. 321,511 and filed Mar. 9, 1989) for "Explosive Detection System" and assigned to the same assignee of the present application, is directed to an overall detection system to provide for the checking of luggage or parcels for explosives with a high probability of detection and a low probability of false alarms. It is appreciated that any system should have a high probability of detection in order to be effective. It is also appreciated that any detection system, because of the large number of passengers, is bound to occasionally give a false alarm. The probability of these false alarms must be minimized in order to provide for an effective explosive detection system. This is true, because when an alarm occurs it is not known at that time whether is it proper or false. This means that each time an alarm occurs a passenger may be detained for further investigation. If false alarms are significantly high, the nuisance level and the delays can be unacceptable to passengers. It is, therefore, important that any explosive detection system should have a very high probability of detection and yet at the same time have a very low probability of false alarms. These conflicting criteria have hampered efforts in the past to build a reliable and usable system. The prior art systems have not had the desired characteristics of having a high probability of detection with a low probability of false alarms. As an example, one such prior art system is shown in U.S. Pat. No. 3,832,545. This patent provides for a system for the detection of nitrogen which is generally present in the explosive materials to be detected. The object under observation is positioned within a cavity structure and is bombarded by thermal neutrons. The thermal neutrons react with any nitrogen contained in the object to provide for the emission of gamma rays at an energy level characteristic of the presence of nitrogen. The emitted gamma rays are then detected by an array of gamma ray detectors. The prior art U.S. Pat. No. 3,832,545 specifically provides for the use of liquid and plastic type organic scintillator detectors. These detectors are provided in an array to produce a two dimensional profile of the nitrogen content within the object being inspected. Co-pending application Ser. No. 053,950 filed by Tsahi Gozani and Patrick M. Shea on May 26, 1987 (now abandoned in favor of continuation Ser. No. 321,511 filed Mar. 9, 1989) for "Explosive Detection System" and assigned of record to the assignee of record of this application discloses and claims a system which is more effective than the system of U.S. Pat. No. 3,832,545. The system of co-pending application Ser. No. 321,511, provides for the use of inorganic scintillators as detectors. These inorganic detectors are formed as a C-ring so as to provide for a detection of a slice or plane of the object under inspection. The object is moved continuously through the C-ring of detectors so as to provide for a plurality of slices or parallel successive planes. The parallel successive planes may then be used to build a three dimensional profile of the concentration of the nitrogen contained within the object under inspection. The composite cavity structure of the present invention may be used with either the prior art organic scintillators in an array or the C-ring array of inorganic scintillators shown in the co-pending application. However, the present invention is described with reference to the use of the C-ring array of inorganic scintillators of the co-pending application. The detection of the explosive should be independent of the specific configuration and must be non-intrusive in order to protect privacy. The detection equipment, of course, must be non-hazardous to the contents of the checked items and to the operating personnel and environment. Other more general criteria are that the system must be reliable, easily maintained and operable by relatively unskilled personnel and that the cost must be low enough so as to be non-burdensome to airlines and airports. Finally, the size of the system must be relatively small so that the system may be useful in a wide variety of environments. In order to develop a proper explosive detection system, an understanding of the properties of the various explosives are relevant to the specific techniques to be used. Although there are a large number of explosive types, a general classification into six major groups with minor variations, has been proposed. The proposed classification scheme includes the following types of explosives: (1) nitroglycerine based dynamites, (2) ammonium nitrate based dynamites, (3) military explosives, (4) homemade explosives, (5) low order powders, and (6) special purpose explosives. In general, all of these explosives contain a relatively high amount of nitrogen concentration ranging from nine to thirty five percent by weight and with a normal concentration range between fifteen to thirty five percent with twenty percent as a typical value. The nominal density of these explosives is typically 1.6 g/cm.sup.3 and with a range from 1.25 to 2 g/cm.sup.3 or more. These physical properties demonstrate that the most unique signature of explosives is the high concentration and density of the nitrogen content. In can be seen, therefore, that a nuclear detection technique can provide for the detection of the nitrogen content to reliably indicate the presence of a large nitrogen content. However, the universal occurence of nitrogen in non-explosive materials limits the level of detection sensitivity and merely detecting the presence or absence of nitrogen alone is not sufficient. Therefore, additional information is required beyond simply sensing the presence of the nitrogen. The present invention provides for a composite cavity structure which enhances the production of this additional information using specific structures and materials for the cavity. SUMMARY OF THE PRESENT INVENTION The basis for the explosive detection system incorporating the composite cavity structure of the present invention is the use of neutrons from a radioisotope or an electronic neutron generator, which neutrons are then slowed down within the cavity structure to create a cloud of low energy thermal neutrons within the cavity structure. The luggage or other parcels are passed through the cavity and the thermal neutrons react with the variety of nuclei in the luggage or parcels including nitrogen and produce characteristic high energy gamma rays which may then be detected by external detectors. The output signals from the detectors may then be analyzed to detect the presence and concentration of the nitrogen content and with particular concentrations in particular profiles indicating the presence of explosive material. The present invention relates to the specific structure of the cavity so as to maximize the production and spectrum of the thermal neutrons and so as to provide for an enhancement of the information from the detectors. The specific cavity structure may include the use of different layers of particular moderator materials so as to slow down fast neutrons produced by the source of neutrons. The various moderators affect different portions of the spectrum of neutrons initially produced by the source of neutrons to slow down these different portions of the spectrum to maximize the number of thermal neutrons within the cavity. In the specific arrangement of the cavity structure of the present invention, the source of neutrons is surrounded by a moderator material, such as heavy water, which slows down any neutrons having a speed in the portion of the spectrum above the energy of thermal neutrons and thereby slows down these neutrons into the thermal neutron portion of the spectrum. A premoderator material may surround the source of neutrons to slow down a portion of the spectrum and assist the heavy water modulator to further slow down the neutrons to the thermal neutron portion of the spectrum. The premoderator may be formed of nonchlorinated hydrocarbon material such as polyethylene or acrylic resin. The source of neutrons may also be surrounded by a shield, such as a shield composed of a heavy metal such as bismuth, lead, tungsten, depleted uranium, etc. This shield is specifically used to absorb unwanted gamma rays that may be produced from the source. These unwanted gamma rays can hamper the performance of the system, so it is important to absorb any of these unwanted gamma rays that are along a direct line from the radiation or neutron source to the detectors. The source of neutrons, plus the premoderator, heavy water and heavy metal shield, is located immediately adjacent a cavity opening through which the luggage or baggage is passed. The cavity opening is defined by hydrogenous material such as thin rigid nonclorinated hydrocarbon material. This thin rigid material may be thin sheets of polyethylene or acrylic which provide for low friction walls to guide the luggage, baggage, etc. through the passageway. The thin plastic walls will reflect the neutrons so that the thermal neutrons cloud will be contained within the cavity structure to interact with the object under observation. Surrounding the cavity opening formed by the sheets of thin hydrogenous material are other moderator materials which moderate higher energy neutrons and slow down these higher energy neutrons while at the same time reflecting them back within the cavity opening. This additional material may include a first mass of carbonaceous material such as graphite which as indicated above, tends to slow down higher energy neutrons. The carbonaceous material also has the effect of making the flux spectrum of the neutrons more uniform. Surrounding the carbonaceous material are additional layers of moderator-absorbing material such as a layer of hydrogenous material, with boron or lithium embedded material. As an example, the embedded material may be borated paraffin and may be used to absorb any neutrons moving from the cavity volume. At the same time the borated paraffin may slow down some of the high energy neutrons and reflect them back for moderation by the graphite material and for ultimate return within the cavity opening. As an alternative to the use of borated paraffin, other hydrogenous materials, such as acrylic resin, polyethylene, water etc. mixed with boron or lithium compounds may be used. The borated paraffin is preferred since it is the effective, relatively inexpensive and compact. In addition to the use of the various moderator materials to provide for an enhancement of the thermal neutrons and also to provide for a desirable spectrum of the neutrons, it is also important to insure that the detectors receive the gamma rays of interest but do not receive undesired neutrons. This is accomplished by shielding the sides of the detectors with a heavy metal shield material, such as lead etc. and providing for a window at the front of the detectors to stop neutrons while allowing the passage of gamma rays. This window may be constructed of an epoxy material, such as an epoxy containing boron or lithium compounds. As an example, the window may be formed of boron carbide embedded in epoxy. The cavity structure of the present invention thereby includes a cavity opening defined by the thin rigid hydrocarbon walls for receiving the passage of objects under inspection. A source of neutrons is located adjacent this cavity opening and detection means are also located adjacent the cavity opening. The detection means are protected by lead shields and boron carbide epoxy windows to insure that the detection means receive the proper gamma rays representative of the concentration of nitrogen contained in the object under observation. The composite cavity structure also includes a number of layers of moderator material to maximize the cloud of thermal neutrons within the cavity opening and to enhance the spectrum of the neutrons within the cavity opening to optimize the production of gamma rays from any nitrogen within the object under inspection. The additional moderator materials include polyethylene, heavy water, graphite and borated paraffin and also with the use of a shield composed of bismuth. This chamber structure provides for an enhanced operation of a detection system as disclosed in the co-pending application referred to above, but the composite cavity structure may also be used to enhance the detection of nitrogen in other types of detection systems. The composite cavity structure also includes a provision for a conveyor belt or other means to transport luggage and packages through the cavity. The belt is constructed of non-chlorinated, non-metallic materials, of which there are several.
062401548
abstract
An augmented cooling system for a CEDM in a nuclear reactor includes self actuated louvers or flap valve louvers which allow hot air from the head lift rig to vent and cooler ambient air to enter the rig in the event that pressure is lost for the forced cooled air which normally cools the CEDM.
040381366
description
A first embodiment of the false grid is shown respectively in elevation and in cross-section in FIG. 2. In this first alternative form of construction, the false grid 16 is constituted by a stack of metallic layers such as the layer 18. In the particular example shown in the figure, the desired thickness is obtained by stacking seven layers. The layers are maintained in relative positional relation by means of tie-bolts such as 20. The bottom end-connectors of the elements 22 which constitute the lateral shield 14 are inserted in the false grid by means of bores 24 which are formed in said false grid and are obviously disposed so as to have a pitch equal to that of the elements forming the shield system. Two types of bottom end-connectors can be considered for the lateral shield elements: the type shown at 22a and the type shown at 22b. In the case of the element 22a, the end-connector is not provided with a shouldered portion. The bore 24 into which is inserted the end-connector of the element 22a is constituted by superposed bores 26 formed in each layer 18. The bottom layer 18' is provided with a bore 26' of smaller diameter which locks the shield element in position while permitting the coolant sodium to pass through. In the case of the alternative form of construction of the lateral shield element 22b, this latter is provided with a shouldered portion 28. It is therefore no longer necessary to provide the bottom layer 18' with a bore of smaller diameter. Stellited portions are also shown at the level of the shoulder 28 of the element 22b and at the level of the bottom layer 18'. An alternative form of construction of the false grid is shown in FIG. 3 along the same plane of section. In this alternative design, the false grid is again formed by means of a plurality of superposed layers 30a. However, instead of being contiguous as in the example illustrated in FIG. 2, said layers are spaced at a short distance from each other by means of washers such as 32 which are introduced around tie-bolts 34 and interposed between two consecutive layers. The advantage of this alternative form of construction lies in the fact that it is no longer necessary to grind the entire face of each plate 30. It is in fact only necessary to machine the plates 30 at the level of the washers 32. Moreover, the lateral shield elements are supplied with sodium not only through the bottom end-connector but also through the gaps 38 formed between two consecutive plates. So far as the remainder of the structure is concerned, exactly the same elements are again shown in the figure. The bottom end-connector of the shield element is introduced into a bore 40 which extends right through the false grid. Said bore results from the superposed arrangement of bores such as 42 which are formed in each plate 30. There are again shown the two arrangements which were already illustrated in FIG. 2 and which correspond to the two alternative designs of the bottom end-connectors of the lateral shield elements as designated respectively by the references 22a and 22b. In the first alternative embodiment (22a), the end-connector is not provided with a shouldered portion and the bottom layer 30' has a bore 42' of smaller diameter, the end-connector which is applied against the shouldered portion being formed by the portion which projects from the plate 30'; on the contrary, in the alternative embodiment corresponding to the element 22b, this latter has a shouldered portion 44 and no provision is made for the bottom plate 30'. There are again shown exactly the same stellited surfaces as in the alternative embodiment shown in FIG. 2. However, it must be pointed out that, at the level of the gaps formed between two consecutive layers 30, the bottom end-connector of the lateral shield element is provided with an orifice such as 46 through which the coolant sodium is premitted to pass. There are therefore two sodium inlets, namely through the bore 42' of the bottom plate 30' and through the orifices 46 of the bottom end-connector of the lateral shield element. The top view of FIG. 4 shows one half of the false grid, said grid being clearly symmetrical with respect to the axis which limits the half-view. The false grid has the shape of a ring and the line which limits its internal contour has exactly the same shape as the external contour of the reactor core. Since the false grid is slightly higher than the diagrid, said grid ensures peripheral side restraint for the base of the reactor core. As already stated in the description with reference to the previous figures, the false grid is constituted by a plurality of metallic layers 18. In the example shown in FIG. 4, each layer is constituted by six juxtaposed plates 18. To take the example of FIG. 2, there are seven contiguous layers of plates. In order to ensure that the plates of one and the same layer are rigidly coupled together, the plates of a given layer are angularly displaced with respect to the plates of the layer beneath. Thus, the plate 18a.sub.1 of the top layer covers one-half of the plate 18b.sub.1 and 18b.sub.2 of the second layer. Since the plates of the different layers are connected to each other by means of the tie-bolts, the relative angular displacement of the plates of two successive layers ensures rigid interassembly of the plates which constitute the same layer without entailing any need for a mechanical connection between the edges of two adjacent plates of any one layer. In addition, the bores 26 for the insertion of the bottom end-connectors of the lateral shield elements are represented diagrammatically by intersections and the bearing members 48 on which the false grid is supported on the diagrid are represented by circles in broken outline. This form of construction of the false grid offers a large number of advantages over those of the prior art. In particular, it no longer requires complicated machining. Accurate boring and milling operations have in fact been replaced by a simple boring operation for the introduction of the bottom end-connectors of the lateral shield elements. There are no longer any spacer members between the top plate and the bottom plate, with the result that the problem of angular positioning of the end-connectors of the shield elements no longer arises. A better peripheral side restraint is provided for the reactor core by virtue of sectors which are interconnected by means of plates arranged in overlapping relation. Finally, a higher degree of strength and rigidity of the entire structure is obtained.
claims
1. A computer-implemented method for predicting tool performance, said method comprising the steps of: maintaining tool history data in an electronic memory; updating the tool history data with tool servicing data; determining from the tool history data and the tool servicing data a predicted tool remaining useful life; and displaying said remaining useful life on a computer output device. 2. A method in accordance with claim 1 wherein the tool history data and the tool servicing data include average tool data and benchmark data, said method further comprising the step of comparing the average tool data to the benchmark data to determine tool efficiency. claim 1 3. A method in accordance with claim 2 further comprising the step of maintaining tool history data and tool servicing data for a plurality of locations. claim 2 4. A method in accordance with claim 3 further comprising the step of maintaining tool history data and tool servicing data in a spreadsheet format. claim 3 5. A method in accordance with claim 4 further comprising the step of analyzing punch and die performance. claim 4 6. A method in accordance with claim 4 further comprising the step of entering data into at least one spreadsheet to update a plurality of spreadsheets. claim 4 7. A method in accordance with claim 2 further comprising the step of determining future tool servicing requirements from the tool history data and the tool servicing data. claim 2 8. A method in accordance with claim 7 wherein the step of determining future tool servicing requirements from the tool history data and the tool servicing data comprises the step of applying safety margins to the tool history data and the tool servicing data. claim 7 9. A computer-based system for evaluating tool performance, said system comprising at least one computer configured to store tool history data and tool servicing data, said system configured to maintain the tool history data, update the tool history data with the tool servicing data and determine from the tool history data and the tool servicing data a predicted tool remaining useful life. 10. A system in accordance with claim 9 wherein the tool history data and the tool servicing data include tool performance data and benchmark data, said system further configured to compare the tool performance data to the benchmark data to determine tool efficiency. claim 9 11. A system in accordance with claim 10 further configured to maintain tool history data and tool servicing data for a plurality of locations. claim 10 12. A system in accordance with claim 11 further configured to maintain tool history data and tool servicing data in a spreadsheet format. claim 11 13. A system in accordance with claim 12 further configured to analyze punch and die performance. claim 12 14. A system in accordance with claim 12 further configured to update a plurality of spreadsheets from the data in at least one spreadsheet. claim 12 15. A system in accordance with claim 12 further configured to use the data in at least one spreadsheet to create at least one additional spreadsheet. claim 12 16. A system in accordance with claim 10 further configured to determine future tool servicing requirements from the tool history data and the tool servicing data. claim 10 17. A system in accordance with claim 16 wherein said system configured to determine future tool servicing requirements from the tool history data and the tool servicing data comprises being configured to apply safety margins to the tool history data and the tool servicing data. claim 16
048715107
description
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS The present invention has been achieved on the basis of the investigations described below. The present invention aims at obtaining a fuel assembly and a reactor core thereof, which requires no change of the reactor members disposed in a reactor vessel even if the fuel assembly becomes a high level burn-up one, i.e., it can be fitted back into a conventional reactor core. The feature that the reactor members need not be changed means that the pitch P of the fuel assemblies in the core (referred to as "fuel assembly pitch" hereinafter) is not changed. Usually, the fuel assembly pitch P employed in conventional BWRs is 6 inches (15.24 cm). The description below concerns an exemplary case in which P is 6 inches; however if P is not 6 inches, the same results are obtained as when P=6 inches. FIGS. 5 and 6 respectively show the changes in reactivity and control rod worth at the cold shutdown with increases in the average enrichment of the conventional fuel assemblies (as shown in FIGS. 4C, 5A and 5B of Japanese Patent Laid-Open Publication No. 179391/1983) that are loaded in a conventional BWR core. Each of such conventional fuel assemblies has a distance L=13.75 cm and a distance D=13.25 cm. Each of the fuel assemblies has an average enrichment of 3 wt % and an average takeout burn-up of 28 GWd/t. FIGS. 5 and 6 show the characteristics of the fuel assembly wherein L=13.75 cm and D=13.25 cm when the average enrichment is increased from 3 wt %. L denotes the distance between the outer walls of a channel box, and D denotes the distance between the inner walls thereof (refer to FIG. 10). For example, in order to increase the average takeout burn-up 1.5 times or more, it is necessary to increase the average enrichment of a fuel assembly to 4 wt % or more. However, in such fuel assembly having the increased average enrichment, at cold shutdown, the reactivity is increased by about 1% and the control rod worth is decreased about 0.7% as compared with the aforementioned conventional fuel assembly. As a result, it is presumed that the cold shutdown margin of a reactor which is provided as a barometer for indicating whether or not the reactor has the ability to be safely shutdown is decreased by about 2% .DELTA.k.sub.eff. The aforementioned feature can be applied to the fuel assembly shown in FIG. 2 of Japanese Patent Laid-Open Publication No. 178387/1984. This fuel assembly has characteristics which show the same tendencies as those of the fuel assembly shown in FIGS. 5 and 6. In order to fundamentally improve the nuclear properties described above, the ratio of moderator to fuel may be increased, and the average energy of neutrons may be decreased. The embodiments of the present invention have been achieved by paying attention to the effect of a moderator (light water) which is placed outside the channel box. In view of the back fitting of a fuel assembly into the conventional BWR core, it is preferable to maintain an area of a coolant passage in the channel box approximately equal to that of the conventional fuel assembly from the viewpoints of removal of heat and stability due to pressure loss. Considerable methods of increasing the ratio of moderator to fuel while maintaining the area of the coolant passage constant are following two ones. (1) Reduce an amount of the fuel charge, and increase a saturated water region within the channel box (water rod region). (2) Reduce an amount of the fuel charge, and increase a saturated water region outside the channel box (gap water region). FIG. 7 shows a comparison between the methods (1) and (2) with respect to the effect in terms of reducing the increments in reactivity at the cold shutdown. In FIG. 7, the dotted line shows the characteristic of method (1), and the solid line shows the characteristic of method (2). It will be understood that the method (2) exhibits an effect 1.3 times larger than that of method (1). This is because an increase in the gap water region produces a higher degree of concentration of the moderator rather than an increase in the water rod region does, and thus causes neutrons to be efficiently slowed down before being absorbed by fuel materials. As a result, in the method (2), the amount of thermal neutron fluxes in the gap water region is increased and then the control rod worth is also increased. On the other hand, in the method (1), the number of fuel rods must be reduced with an increase in the water rod region, resulting in a reduction in the thermal margin as compared with the method (2 ). It is thus shown that the method (2) is preferable. FIGS. 8 and 9 show the effects in the state wherein fuel assemblies are loaded in a reactor core. In FIGS. 8 and 9, (P--L)/D which is a parameter of the axis of abscissa indicates the ratio of a thickness of the gap water region surrounding the channel box to the distance D between the opposite inner side walls of the channel box, wherein P denotes the pitch of the fuel assemblies arranged in a reactor core, and L denotes the distance between the opposite outer side walls of the channel box which is provided in each of the fuel assemblies, as described above. When the cold shutdown margin of a reactor was investigated in respect of the fuel assembly having an average enrichment of 4 wt % or more, it was found from FIG. 8 that the control rod worth at the cold shutdown is rapidly decreased if the value of (P-L)/D becomes less than 0.12. Therefore, when the average enrichment of a fuel assembly is increased to 4 wt % or more in an attempt to increase the degree of burn-up, it is possible to secure a sufficient cold shutdown margin for a reactor by satisfying the condition (P-L)/D.gtoreq.0.12. The dashed line in FIG. 8 shows the control rod worth of the conventional fuel assembly ((P-L)/D.apprxeq.0.11) shown in Japanese Patent Laid-Open Publication No. 1793913/1983 at the cold shutdown. FIG. 9 shows the changes in the reactivity at the cold shutdown in respect of the value of (P-L)/D. The reference in FIG. 5 indicates the reactivity in respect of the above-described conventional fuel assembly. Even if the cross-sectional area of a water rod in the fuel assembly is changed, the sensitivity to the value (P-L)/D is the same. FIG. 9 shows examples of water rod having cross-sectional areas of 3 cm.sup.2 and 8 cm.sup.2, respectively. If the value of (P-L)/D is increased, the degree of increments in reactivity following the quenching of voids at the cold shutdown is reduced as compared with the conventional fuel assembly. When the value (P-L)/D is 0.12, at the cold shutdown, the increment in reactivity of the water rod having a cross-sectional area of 3 cm.sup.2 is decreased by 1.4% Ak and that of the water rod having a cross-sectional area of 8 cm.sup.2 is decreased by 2.7% .DELTA.k, as compared with the reference value. When (P-L)/D is increased to a value above 0.12, the increments in reactivity are even further reduced than what is described above. As described above, in order to secure a cold shutdown margin which is adequate for any increase in burn-up of a reactor, the value of (P-L)/D is preferably 0.12 or more. Embodiments of the present invention are described below. A preferred first embodiment of the present invention which is used in a BWR is described below with reference to FIGS. 1 to 4, especially FIGS. 3 and 4. A fuel assembly 10 of the first embodiment comprises an upper tie plate 13, a lower tie plate 14, fuel rods 15, a water rod 17, fuel spacers 16, and a channel box 11. Each of the fuel rods 15 is held at both ends thereof by the upper tie plate 13 and the lower tie plate 14. The water rod 17 has a cruciate cross-sectional form, and is disposed at a center of the fuel assembly 10 in the cross-sectional plane thereof. The water rod 17 is also held at both ends thereof by the upper tie plate 13 and the lower tie plate 14. Seven fuel spacers 16 are disposed in the fuel assembly 0 separate from each other in an axial direction of the fuel assembly. These fuel spacers 16 maintain a given gap between the respective fuel rods 15, as well as holding the fuel rods 15 in a bundle. The channel box 11 is mounted onto the upper tie plate 13 so as to surround the outside of the bundle of fuel rods 15 which are held together by the spacers 16. The fuel rods 15 are arranged in 9 rows and 9 columns (9.times.9) so as to surround the water rod 17. The six separate types of fuel rods 21 to 26 described in the following Table 1 are used in the fuel rod 15. TABLE 1 ______________________________________ Reference No. fuel rods 21 22 23 24 25 26 ______________________________________ Enrichment (wt %) 6.8 5.2 3.8 6.8 6.8 5.2 Concentration of gadolinia (wt %) -- -- -- 5 2 2 Number of fuel rods 32 16 4 16 4 4 ______________________________________ The enrichment of the fuel rods 21, 24 and 25 is 6.8 wt %, the enrichment of the fuel rods 22 and 26 is 5.2 wt %, and the enrichment of the fuel rod 23 is 3.8 wt %. Of these fuel rods, the fuel rods 24, 25 and 26 contain gadolinia which is a burnable poison. The concentrations of gadolinia in the fuel rod 24 and the fuel rods 25, 26 are 5 wt % and 2 wt %, respectively. The distributions of the enrichment and of the concentrations of gadolinia in the axial direction of each of the fuel rods are uniform within the effective fuel length (the height to which fuel pellets are charged). The fuel rods 21 to 26 are disposed as shown in FIG. 4. The average enrichment of the fuel assembly 10 is about 6 wt %. The cross-sectional area of a cooling water passage in the water rod 17 is about 9 cm.sup.2 which is greater than the cross-sectional area of 3 cm.sup.2 of the cooling water passage in the conventional fuel assembly. The water rod 17 occupies the same cross-sectional area in the channel box 11 as that in which five fuel rods 15 are arranged. Such an increase in the cross-sectional area of the water rod 17 acts to remove non-uniformity in the distribution of neutron fluxes caused by any increase in the gap water region between the respective fuel assemblies 10 which are loaded within a reactor core, resulting in improvements in the thermal margin and fuel economy. The distance D between the opposite inner walls of the channel box 11 o the fuel assembly 10 is 13.09 cm and the distance L between the outer walls thereof is 13.60 cm. The core of the BWR in which the fuel assemblies 10 are loaded has the arrangement shown in FIG. 2. FIG. 1 is an enlarged view of a portion I of the core shown in FIG. 2. The fuel assemblies 10 are arranged in the reactor core at a pitch P of 15.24 cm in the form of a lattice in the same way as that employed in the conventional fuel assemblies. Each of the fuel assemblies loaded in the core shown in FIG. 2 is the fuel assembly 10 shown in FIG. 1. A control rod 2 is so disposed as to be inserted into a gap among the four fuel assemblies 10 which are arranged adjacent to each other. Reference numeral 3 denotes neutron detectors. Each of the fuel assemblies 10 has a value of (P-L)/D of about 0.125. Thus, it can be seen from FIGS. 8 and 9 that a sufficient cold shutdown margin can be ensured by the fuel assemblies 10 of the first embodiment in a state wherein they are loaded in the core. In other words, when the average enrichment of each of the fuel assemblies 10 is increased by 3 wt % from the 3 wt % level of the conventional fuel assembly to 6 wt %, the increment in reactivity at the time of cold shutdown is increased by 2.5% .DELTA.k/k from that of the conventional fuel assembly, while when the value of (P-L)/D is increased from 0.11, the level of the conventional fuel assembly, to 0.125, the increments in reactivity at the time of cold shutdown can be decreased by 3.5% .DELTA.k/k from the value obtained after being increased by 2.5% .DELTA.k/k. In addition, when the enrichment of each of the fuel assemblies 10 is increased as described above, the control rod worth at cold shutdown is reduced by 1.5% .DELTA.k/k from that of the conventional fuel assembly, while when the value of (P-L)/D is increased from 0.11 to 0.125, the control rod worth can be increased by 0.7% .DELTA.k/k from the value obtained after being reduced by 1.5% .DELTA.k/k. The first embodiment can reduce the increments in reactivity at the time of cold shutdown to a value lower than that of the conventional fuel assembly, as described above, and, during the operation of a reactor, can obtain a void coefficient which is substantially the same that of the conventional fuel assembly. Therefore, the takeout burn-up of each of the fuel assemblies 10 can be increased to twice that of each of the conventional fuel assemblies by using the same structural materials as those of a core in which the conventional fuel assemblies are loaded, without any need to change the structural members thereof. In the first embodiment, the effect in terms of savings on uranium-consumption can be improved by about 20% and the amount of fuel reprocessed can be reduced by about 40% as compared with the conventional fuel assembly. The procedure of changing the arrangement of a core comprising conventional fuel assemblies to the arrangement of a core comprising the fuel assemblies 10 exclusively is described below with reference t FIGS. 10 and 11. FIG. 10 shows a portion of a core in which the conventional fuel assemblies (shown in FIG. 4A of Japanese Patent Laid-Open Publication No. 179391/1983) are disposed exclusively. Each of the conventional fuel assemblies 5 comprises fuel rods 6 which are arranged in 8 rows and 8 comumns (8.times.8) in a channel box 8 and two water rods 7 which are diagonally disposed at a center of the channel box 8. The average enrichment of each of the fuel assemblies 5 is 3 wt %. The fuel assemblies 5 are arranged in the core at a pitch P of 15.24 cm. The distance D between the inner walls of the channel box 8 is 13.25 cm, and the distance L between the outer walls thereof is 13.75 cm. Therefore, the channel box of each of the fuel assemblies 5 satisfies the equation 0.12 <(P-L)/D. In generally, four fuel assemblies constitute a one cell, which are disposed adjacent to one control rod 2 such as to surround it, and one quarter of the fuel assemblies in the core are replaced by new fuel assemblies in each shutdown of the reactor for one fuel cycle. The fuel assemblies 10 are used as the new fuel assemblies. In other words, after the operation of one fuel cycle has been completed, one of the fuel assemblies 5 in the cell which has reached the end of its lifetime (for example, the fuel assembly 5 shown by the arrow F in FIG. 10) is discharged from the core, and a new fuel assembly is loaded in this position in its place. FIG. 11 shows the state wherein one fuel assembly 5 has been removed, and the fuel assembly 10 has been loaded in its place. The distance L (13.60 cm) between the outer walls of the channel box 11 of the fuel assembly 10 is smaller than that (13.75 cm) of the channel box 8 of the fuel assembly 5. Therefore, the width G.sub.2 of a gap water region formed between the fuel assembly 5 and the fuel assembly 10 is greater than that G.sub.1 of the gap water region formed between the fuel assemblies 5. Thereafter, the fuel assemblies 5 in the cell are replaced one by one by a new fuel assembly 10 each time the operation of one fuel cycle has been completed. After the three fuel cycles have been completed, the state shown in FIG. 11 is changed to the state shown in FIG. 1 wherein all the fuel assemblies 5 in the core are replaced by the fuel assemblies 10. Namely, all the fuel assemblies in the core are the fuel assemblies 10. The width G of the gas water region formed between the fuel assemblies 10 in FIG. 1 is greater than the width G.sub.2 in FIG. 11. The type of core shown in FIG. 10 having a low degree of burn-up can be easily changed to the core shown in FIG. 1 having a high degree of burn-up simply by changing the structure of each of the fuel assemblies in the BWR through the above-described operation of changing the fuel assemblies, without any need to change the structure of the other members of the core. A second embodiment of the fuel assembly of the present invention will be now described hereinunder with reference to FIG. 12. A fuel assembly 20 of the second embodiment has the same shape as that of the fuel assembly 10 shown in FIG. 4. The fuel assembly 20 is different from the fuel assembly 10 with respect to the distributions of enrichment of the fuel rods 15 and of the concentrations of gadolinia therein. In other words, the fuel assembly 20 comprises the separate types of the fuel rods 31 to 35 listed in the following Table 2, which are arranged as shown in FIG. 12. TABLE 2 ______________________________________ Reference No. of fuel rods 31 32 33 34 35 ______________________________________ Enrichment (wt %) 6.0 4.3 2.8 6.0 6.0 Concentration of gadolinia (wt %) -- -- -- 5 2 Number of fuel rods 32 20 4 16 4 ______________________________________ Of the fuel rods 31 to 35, the fuel rods 34 and 35 contain gadolinia. The enrichment of each of the fuel rods and the concentrations of gadolinia thereof in Table 2 are values within the effective fuel length and are uniform within this length. The distance L between the outer walls of a channel box 11A of the fuel assembly 20 is 13.66 cm, and the distance D between the inner walls of the channel box 11A is 13.15 cm. The average enrichment of the fuel assembly 20 of the second embodiment is 5 wt %. The value of (P-L)/D of the fuel assembly 20 is about 0.12. A sufficient cold shutdown margin which is the same as that with the fuel assemblies 10 can be obtained in the state wherein the fuel assemblies 20 are loaded in the core. In other words, when the average enrichment of each of the fuel assemblies 20 is increased by 2 wt % from 3 wt % in the conventional fuel assemblies to 5 wt %, the increments in reactivity at the cold shutdown is increased by 1.7% .DELTA.k/k from that with the conventional fuel assemblies, while when the value (P-L)/D is increased from 0.11 to 0.12, the increments in reactivity at the cold shutdown can be reduced by 2.7% .DELTA.k/k from the value obtained after being increased by 1.7% .DELTA.k/k. In addition, the above-described increase in the average enrichment causes the control rod worth at the cold shutdown to be reduced by 1.1% .DELTA.k/k, while an increase in the value (P-L)/D from 0.11 to 0.12 allows the control rod worth to be increased by 0.5% .DELTA.k/k from the value obtained after being reduced by 1.1% .DELTA.k/k. The core of the BWR in which all the fuel assemblies are the fuel assemblies 20 can be obtained from the core shown in FIG. 10 by the same operation of changing fuel assemblies as that used for the fuel assemblies 10. The second embodiment can produce the same effect as that of the first embodiment. In both embodiments, it is preferable for improvements in stability, safety, and economy, and the achievement of a high degree of burn-up to configure the fuel assembly in such a manner that, in the fuel assembly in which a weight ratio of fissionable materials to nuclear fuel materials in a cross-sectional plane vertical to the axial direction of the fuel assembly in a portion of 80% or more of an intermediate portion excluding the upper and the lower end portions thereof is 4% or more, the outer width L of the channel box and the inner width D thereof are determined so as to satisfy the following equation: EQU 0.12D.ltoreq.P-L As described above, the present invention is capable of preventing as much as possible increments in reactivity at the time of cold shutdown and a reduction in a control rod worth at the time of cold shutdown by reducing the size of the fuel assembly relative to the fuel assembly pitch and increasing each of the gap water regions, and thus is capable of ensuring a sufficient cold shutdown margin for a reactor.
abstract
Method for controlling the amount of metal atoms deposited into an oxide layer present on a metal surface, which metal atoms increase the corrosion resistance of metal when present in the oxide film, wherein the metal surface is submerged in water at a selected temperature within the range of about to 200xc2x0 to 550xc2x0 F.; and a solution of a compound containing the metal which increases the corrosion resistance of the metal surface when present in the oxide film is injected into the water. The compound decomposes at the selected temperature to release atoms of the metal which incorporate in the oxide film at a desired loading.
047643056
claims
1. A process for the conditioning of radioactive or toxic waste, which comprises incorporating said waste into a polymerizable mixture consisting essentially of 30 to 45% by wt. epoxy resin, 30 to 50% by wt. pitch and 20 to 25% epoxy resin hardener, and allowing the mixture to harden to obtain a solid block, said polymerizable mixture being filler-free and miscible with organic liquids. 2. A process according to claim 1, wherein the mixture comprises between 30 and 40% by weight pitch. 3. A process according to claim 1, wherein the pitch is a coal pitch obtained from treatment of tars having a low content of insoluble products. 4. A process according to claim 1, wherein use is made of a first liquid constituent comprising pitch and hardener and a second liquid constituent based on epoxy resin, wherein the two liquid constituents are mixed with the waste so as to obtain a homogeneous mixture and wherein the mixture is then allowed to harden to obtain a solid block. 5. A process according to claim 4, wherein the mixture is hardened at between 20.degree. and 60.degree. C. 6. A process according to claim 1, wherein the waste is constituted by large objects. 7. A process according to claim 1, wherein the waste is constituted by organic liquids. 8. A process according to claim 7, wherein the organic liquids are chosen from among drainage oils, organic solvents and scintillation liquids. 9. A process according to claim 1, wherein around the solid block is formed a protective barrier produced from a polymerizable mixture incorporating at least one epoxy resin, pitch and at least one epoxy resin hardener. 10. A process according to claim 1, wherein the waste and the polymerizable mixture incorporating at least one epoxy resin, pitch and at least one epoxy resin hardener are introduced into a cylindrical barrel obtained by hardening a polymerizable mixture incorporating at least one epoxy resin, pitch and at least one epoxy resin hardener and wherein said polymerizable mixture is allowed to harden to obtain a solid block within the barrel. 11. A process according to claim 1, wherein the waste is introduced into a basket, the basket containing the waste is placed in a barrel so as to leave a space between the inner barrel wall and the outer basket wall and the barrel and basket are filled with the polymerizable mixture incorporating at least one epoxy resin, pitch and at least one epoxy resin hardener, the mixture being hardened to obtain in said barrel a solid block having an outer layer formed solely from the polymerized mixture based on epoxy resin, pitch and hardener. 12. A filler-free polymerizable mixture with two constituents for the conditioning of radioactive or toxic waste, which consisting essentially of a first liquid constituent incorporating at least one epoxy resin and a second liquid constituent incorporating a mixture of pitch and at least one epoxy resin hardener, the pitch proportion in the second liquid constituent being 53 to 73% by wt., said mixture being miscible with organic liquids. 13. A mixture according to claim 12, wherein the epoxy resin is a bis-phenol A diglycidyl ether, to which is added a reactive diluent. 14. A mixture according to claim 12, wherein the hardener is an adduct of diaminodiphenyl methane and epoxy resin. 15. A mixture according to claim 12, wherein the hardener comprises a cycloaliphatic polyamine and an adduct of diaminodiphenyl methane and epoxy resin.
claims
1. A beam direct-writing apparatus for performing beam direct-writing on a substrate, comprising: a beam emission part for emitting a direct-writing beam onto said substrate; a deflection part for deflecting said beam; a holding part for holding said substrate; and a path setting part for obtaining a path passing through a plurality of writing points on said substrate, wherein said path setting part executes: a region dividing step for dividing a two-dimensional writing region into a plurality of divided regions so that a divided region is smaller as density of writing points contained in said divided region is higher; a region passing order setting step for setting a region passing order which is an order for said path to pass through said plurality of divided regions; a writing point setting step for setting a first writing point and a second writing point for each of said plurality of divided regions on the basis of said region passing order; a sectional path setting step for obtaining a sectional path passing through points from said first writing point to said second writing point in each of said plurality of divided regions by using a predetermined path setting algorithm; and a sectional path connecting step for connecting said second writing point in each of said plurality of divided regions to said first writing point in the next divided region according to said region passing order. 2. The beam direct-writing apparatus according to claim 1 , wherein claim 1 said region dividing step comprises: a simple division step for dividing said writing region into a predetermined number of divided regions which have almost the same size; and a recursive execution step for recursively executing said simple division step for one out of said predetermined number of divided regions which is selected on the basis of density of said plurality of writing points. 3. The beam direct-writing apparatus according to claim 2 , wherein claim 2 a region passing order among divided regions which are obtained in the first execution of said simple division step is determined in advance, region passing orders among divided regions which are obtained in the second and subsequent executions of said simple division step are determined in advance on the basis of passing manners of a divided region before division, and said region dividing step and said region passing order setting step are executed substantially at the same time. 4. The beam direct-writing apparatus according to claim 3 , wherein claim 3 said region passing orders among said divided regions which are obtained in the second and subsequent executions of said simple division step are determined in advance by using a fractal curve generation algorithm. 5. The beam direct-writing apparatus according to claim 4 , wherein claim 4 each of said plurality of divided regions has a rectangle shape, and said fractal curve generation algorithm is an algorithm for generating the Hilbert Curve. 6. The beam direct-writing apparatus according to claim 3 , wherein claim 3 said region passing order among said divided regions which are obtained in the first execution of said simple division step is in a loop. 7. The beam direct-writing apparatus according to claim 2 , wherein claim 2 said simple division step is recursively executed in said recursive execution step until the number of writing points in each divided region after division becomes not more than a predetermined number. 8. An imaging apparatus for performing an image pickup of a substrate, comprising: a lighting part for emitting illumination light to said substrate; an image pickup part for acquiring image data of said substrate; a holding part for holding said substrate; a transfer mechanism for transferring said image pickup part relatively to said holding part; and a path setting part for obtaining a path passing through a plurality of imaging positions on said substrate, wherein said path setting part executes: a region dividing step for dividing a predetermined region on said substrate into a plurality of divided regions so that a divided region is smaller as density of imaging positions contained in said divided region is higher; a region passing order setting step for setting a region passing order which is an order for said path to pass through said plurality of divided regions; an imaging position setting step for setting a first imaging position and a second imaging position for each of said plurality of divided regions on the basis of said region passing order; a sectional path setting step for obtaining a sectional path passing through said imaging positions from said first imaging position to said second imaging position in each of said plurality of divided regions by using a predetermined path setting algorithm; and a sectional path connecting step for connecting said second imaging position in each of said plurality of divided regions to said first imaging position in the next divided region according to said region passing order. 9. The imaging apparatus according to claim 8 , wherein claim 8 said region dividing step comprises: a simple division step for dividing said predetermined region into a predetermined number of divided regions which have almost the same size; and a recursive execution step for recursively executing said simple division step for one out of said predetermined number of divided regions which is selected on the basis of density of said plurality of imaging positions. 10. The imaging apparatus according to claim 9 , wherein claim 9 a region passing order among divided regions which are obtained in the first execution of said simple division step is determined in advance, region passing orders among divided regions which are obtained in the second and subsequent executions of said simple division step are determined in advance on the basis of passing manners of a divided region before division, and said region dividing step and said region passing order setting step are executed substantially at the same time. 11. The imaging apparatus according to claim 10 , wherein claim 10 said region passing orders among said divided regions which are obtained in the second and subsequent executions of said simple division step are determined in advance by using a fractal curve generation algorithm. 12. The imaging apparatus according to claim 11 , wherein claim 11 each of said plurality of divided regions has a rectangle shape, and said fractal curve generation algorithm is an algorithm for generating the Hilbert Curve. 13. The imaging apparatus according to claim 10 , wherein claim 10 said region passing order among said divided regions which are obtained in the first execution of said simple division step is in a loop. 14. The imaging apparatus according to claim 9 , wherein claim 9 said simple division step is recursively executed in said recursive execution step until the number of imaging positions in each divided region after division becomes not more than a predetermined number. 15. A computer-readable medium carrying a program for obtaining a preferable path passing through a plurality of points in a two-dimensional region, wherein execution of said program by a computer causes said computer to perform: a region dividing step for dividing a region into a plurality of divided regions so that a divided region is smaller as density of points contained in said divided region is higher; a region passing order setting step for setting a region passing order which is an order for said path to pass through said plurality of divided regions; a endpoint setting step for setting a first endpoint and a second endpoint for each of said plurality of divided regions on the basis of said region passing order; a sectional path setting step for obtaining a sectional path passing through said points from said first endpoint to said second endpoint in each of said plurality of divided regions by using a predetermined path setting algorithm; and a sectional path connecting step for connecting said second endpoint in each of said plurality of divided regions to said first endpoint in the next divided region according to said region passing order. 16. The computer-readable medium according to claim 15 , wherein claim 15 said region dividing step comprises: a simple division step for dividing said region into a predetermined number of divided regions which have almost the same size; and a recursive execution step for recursively executing said simple division step for one out of said predetermined number of divided regions which is selected on the basis of density of said plurality of points. 17. The computer-readable medium according to claim 16 , wherein claim 16 a region passing order among divided regions which are obtained in the first execution of said simple division step is determined in advance, region passing orders among divided regions which are obtained in the second and subsequent executions of said simple division step are determined in advance on the basis of passing manners of a divided region before division, and said region dividing step and said region passing order setting step are executed substantially at the same time. 18. The computer-readable medium according to claim 17 , wherein claim 17 said region passing orders among said divided regions which are obtained in the second and subsequent executions of said simple division step are determined in advance by using a fractal curve generation algorithm. 19. The computer-readable medium according to claim 18 , wherein claim 18 each of said plurality of divided regions has a rectangle shape, and said fractal curve generation algorithm is an algorithm for generating the Hilbert Curve. 20. The computer-readable medium according to claim 17 , wherein claim 17 said region passing order among said divided regions which are obtained in the first execution of said simple division step is in a loop. 21. The computer-readable medium according to claim 16 , wherein claim 16 said simple division step is recursively executed in said recursive execution step until the number of points in each divided region after division becomes not more than a predetermined number. 22. A method of obtaining a preferable path passing through a plurality of points in a two-dimensional region, comprising: a region dividing step for dividing said region into a plurality of divided regions so that a divided region is smaller as density of points contained in said divided region is higher; a region passing order setting step for setting a region passing order which is an order for said path to pass through said plurality of divided regions; a endpoint setting step for setting a first endpoint and a second endpoint for each of said plurality of divided regions on the basis of said region passing order; a sectional path setting step for obtaining a sectional path passing through said points from said first endpoint to said second endpoint in each of said plurality of divided regions by using a predetermined path setting algorithm; and a sectional path connecting step for connecting said second endpoint in each of said plurality of divided regions to said first endpoint in the next divided region according to said region passing order. 23. The method according to claim 22 , wherein claim 22 said region dividing step comprises: a simple division step for dividing said region into a predetermined number of divided regions which have almost the same size; and a recursive execution step for recursively executing said simple division step for one out of said predetermined number of divided regions which is selected on the basis of density of said plurality of points. 24. The method according to claim 23 , wherein claim 23 a region passing order among divided regions which are obtained in the first execution of said simple division step is determined in advance, region passing orders among divided regions which are obtained in the second and subsequent executions of said simple division step are determined in advance on the basis of passing manners of a divided region before division, and said region dividing step and said region passing order setting step are executed substantially at the same time. 25. The method according to claim 24 , wherein claim 24 said region passing orders among said divided regions which are obtained in the second and subsequent executions of said simple division step are determined in advance by using a fractal curve generation algorithm. 26. The method according to claim 25 , wherein claim 25 each of said plurality of divided regions has a rectangle shape, and said fractal curve generation algorithm is an algorithm for generating the Hilbert Curve. 27. The method according to claim 24 , wherein claim 24 said region passing order among said divided regions which are obtained in the first execution of said simple division step is in a loop. 28. The method according to claim 23 , wherein claim 23 said simple division step is recursively executed in said recursive execution step until the number of points in each divided region after division becomes not more than a predetermined number.
063296624
abstract
A radiation image forming system utilizing a combination of a silver halide photographic material and radiographic intensifying screens is improved in its radiographic speed and sharpness of the resulting radiation image by employing a rare earth phosphor of the formula M.sub.w O.sub.w X:M' (M is Y, La, Gd or Lu; X is a chalcogen atom or a halogen atom; M' is a rare earth atom which activates M; and w is 2 when X is a chalcogen atom or w is 1 when X is a halogen atom), incorporating into the intensifying screen a fluorescent dye or pigment which absorbs a portion of luminescence emitted by the phosphor and then emits light in a visible region, and employing a photographic material showing a cross-over of 10% or less when it is exposed to radiation in combination with the intensifying screens.
claims
1. A scanning probe microscope comprising: a driving unit for driving one of a sample and a probe microscopically in X, Y and Z directions to cause scanning movement of the one relative to the other; a displacement detector for measuring displacement of the driving unit in the X, Y and Z directions to obtain a plurality of measurement data values in each of the three directions during the scanning movement; and an image correction device for storing the measurement data values output by the displacement detector in the form of a data array for each of the X, Y and Z directions, performing a correction process for correcting the data arrays in the X, Y and Z directions without using calibration data obtained by scanning a specimen having a known shape, and producing an output image based on the corrected data arrays. 2. A scanning probe microscope according to claim 1 ; wherein the correction process comprises correction of an angle of deviation of the displacement detector from an ideal angular position in at least one of the X, Y and Z directions. claim 1 3. A scanning probe microscope according to claim 1 ; wherein the correction process comprises correcting for a drift in measurement data values output by the displacement detector with respect to time. claim 1 4. A scanning probe microscope according to claim 1 ; further comprising a characteristic information measuring unit for measuring representing one or more characteristics of a surface of the sample simultaneously with measurement of data values output by the displacement detector in each of the X and Y directions; wherein the image correction device stores the measurement data values output by the displacement detector and output values of the characteristic information measuring unit as data arrays during the scanning and produces an output image based on the stored data arrays and the characteristic information while performing the correction process in the three directions. claim 1 5. A scanning probe microscope according to claim 1 ; wherein the image correction device comprises a near point choosing unit for choosing one or more measurement data values having X and Y coordinates in the vicinity of those of individual points of an output image produced based on the data arrays, and a correction value calculator for performing the correction process by calculating corrected values for the data arrays constituting intensity values of the individual points of the image output from chosen near points; wherein the X and Y coordinates of the individual points of the output image correspond to displacement of the driving unit in the X and Y directions in an equally spaced manner and the intensity of the individual points corresponds to one of displacement in the Z direction of the driving unit or surface characteristic information of the sample. claim 1 6. A scanning probe microscope according to claim 5 ; wherein the near point choosing unit chooses two or more measurement data values having X and Y coordinates closest to those of a plurality of target points in the output image. claim 5 7. A scanning probe microscope according to claim 6 ; wherein the correction value calculator uses a Z-direction output value of the displacement detector corresponding to the measurement data values chosen by the near point choosing unit as a correction value. claim 6 8. A scanning probe microscope according to claim 6 ; wherein the correction value calculator calculates an average value for Z displacement detector output values included in two or more groups of data chosen by the near point choosing unit as a correction value. claim 6 9. A scanning probe microscope according to claim 5 ; wherein the near point choosing unit chooses three measurement data values in the vicinity of each of a plurality of target points in the output image from the data arrays in the X, Y and Z directions, forms the three chosen measurement data values into a triangle in an XY plane, and determines whether or not a corresponding target point is within the triangle. claim 5 10. A scanning probe microscope according to claim 5 ; wherein the near point choosing unit divides an XY plane containing the data arrays of the displacement detector output values into groups each having three points and forming a triangle, each group including a target point of the output image on which the correction process is performed. claim 5 11. A scanning probe microscope according to claim 10 ; wherein the correction value calculator obtains a correction value using an approximation plane formed within XYZ space from the three groups of data chosen by the near point choosing unit. claim 10 12. A scanning probe microscope according to claim 10 ; wherein the correction calculator obtains an approximation line both passing through and linking individual points of a side and a facing side of a quadrilateral formed in XYZ space, from a group of four items of data chosen by the near point choosing unit. claim 10 13. A scanning probe microscope according to claim 5 ; wherein the near point choosing unit chooses four measurement data values in the vicinity of each of plural target points in the output image from the data arrays of the displacement detector output values in the X, Y and Z directions, forms a quadrilateral in an XY plane using the four chosen measurement data values, and determines whether or not a respective target point is within the quadrilateral. claim 5 14. A scanning probe microscope comprising: driving means for driving one of a sample and a probe microscopically in X, Y and Z directions to cause scanning movement of the one relative to the other; displacement detection means for measuring displacement of the driving means in the X, Y and Z directions to obtain measurement data values in each of the three directions during the scanning; and image correction means for storing the measurement data values output by the displacement detection means in the form of a data array for each of the X, Y and Z directions, performing a correction process for correcting the data arrays in each of the X, Y and Z directions without using calibration data obtained by scanning a specimen having a known shape, and obtaining an output image based on the corrected data arrays. 15. A scanning probe microscope according to claim 14 ; wherein the correction process comprises correction of an angle of deviation of the displacement detection means from an ideal angular position in at least one of the X, Y and Z directions. claim 14 16. A scanning probe microscope according to claim 14 ; wherein the correction process comprises correcting for a drift in measurement data values output by the displacement detection means with respect to time. claim 14 17. A scanning probe microscope according to claim 14 ; further comprising characteristic information measuring means for measuring information representing one or more characteristics of a surface of the sample simultaneously with measurement of data values of the displacement detection means for each of the X and Y directions; wherein the image correction means stores the measurement data values output by the displacement detection means and output values of the characteristic information measuring means as data arrays during the scanning and produces an output image based on the stored data arrays and the characteristic information while performing the correction process in the three directions. claim 14 18. A scanning probe microscope according to claim 14 ; wherein the image correction means comprises near point choosing means for choosing one or more measurement data values having X and Y coordinates in the vicinity of those of individual points of an output image produced based on the data arrays, and correction value calculating means for performing the correction process by calculating corrected values for the data arrays constituting intensity values of the individual points of the image output from chosen near points; wherein the X and Y coordinates of the individual points of the output image correspond to displacement values of the driving means in the X and Y directions in an equally spaced manner and the intensity of the individual points corresponds to one of displacement in the Z direction of the driving means or surface characteristic information of the sample. claim 14
abstract
This invention concerns a device for detecting and locating a radioactive source emitting gamma rays, characterized in that it comprises: first means for determining the direction of the gamma radiation emitting source relative to the centre of the detector comprising: gamma radiation sensing means; a plurality of means for evaluating the gamma radiation flux; means analyzing the gamma radiation flux for determining the direction of-the radiation source; second means for directing the radioactive emitting source comprising mechanical means for causing the device to move, so as to bring its centerline nearer the gamma radiation emitting source; means physically representing the device centerline.
055815871
claims
1. A control rod driving apparatus driving a control rod assembly of a nuclear reactor and disposed in a housing mounted to a reactor pressure vessel of the nuclear reactor, which comprises: a guide tube disposed in the housing; a connection pipe disposed inside the guide tube coaxially therewith and having a first end to which a control rod assembly is connected; a ball spindle disposed inside the connection pipe and supported thereby so as to be rotatable; a ball nut assembly engaged with the ball spindle so as to be axially movable along the ball spindle, said ball nut assembly supporting a second end of the connection pipe; a hydraulic motor unit operatively connected to the ball spindle so as to rotate the ball spindle and including a motor case, a first hydraulic motor inserting the control rod assembly into the reactor core and a second hydraulic motor withdrawing the control rod assembly from the reactor core; and transmission means operatively connected to the hydraulic motor unit and transmitting power from the motor unit to the ball spindle, said transmission means comprising worm gear means operatively connected to the ball spindle and reducing the speed of rotation of the ball spindle and a first bevel gear means operatively connected to one of the hydraulic motors for insertion and withdrawal of the control rod assembly and, said worm gears means supporting the positions of the control rod assembly, wherein when the hydraulic motor unit is driven, the ball spindle is rotated, the ball nut assembly engaged with the ball spindle is axially rotated, and the connection pipe supported by the ball nut assembly is vertically driven to thereby drive the control rod assembly for inserting or withdrawing the control rod assembly into or from a reactor core. a guide tube disposed in the housing; a connection pipe disposed inside the guide tube coaxially therewith and having a first end to which a control rod assembly is connected; a ball spindle disposed inside the connection pipe and supported thereby so as to be rotatable; a ball nut assembly engaged with the ball spindle so as to be axially movable along the ball spindle, said ball nut assembly supporting a second end of the connection pipe; a hydraulic motor unit operatively connected to the ball spindle so as to rotate the ball spindle and comprising a single hydraulic motor of a structure which is reversibly operable, said structure inserting the control rod assembly into the reactor core and withdrawing the control rod assembly from the reactor core and transmission means operatively connected to the hydraulic motor unit and transmitting power from the hydraulic motor unit to the ball spindle, said transmission means comprising a bevel gear means operatively connected to said single hydraulic motor and worm gear means operatively connected to the ball spindle, the worm gear means supporting the position of the control rod assembly, wherein when the hydraulic motor unit is driven, the ball spindle is rotated, the ball nut assembly engaged with the ball spindle is axially rotated, and the connection pipe supported by the ball nut assembly is driven vertically to thereby drive the control rod assembly for inserting or withdrawing the control rod assembly into or from a reactor core. guide tube disposed in the housing; a connection pipe disposed inside the guide tube coaxially therewith and having a first end to which a control rod assembly is connected; a ball spindle disposed inside the connection pipe and supported thereby so as to be rotatable; a ball nut assembly engaged with the ball spindle so as to be axially movable along the ball spindle, said ball nut assembly supporting a second end of the connection pipe; a hydraulic motor unit operatively connected to the ball spindle so as to rotate the ball spindle and including a motor case, a first hydraulic motor inserting the control rod assembly into the reactor core and a second hydraulic motor withdrawing the control rod assembly from the reactor core; and a transmission operatively connected to the hydraulic motor unit and transmitting power from the motor unit to the ball spindle, said transmission comprising a first bevel gear operatively connected to one of the hydraulic motors for insertion and withdrawal of the control rod assembly and worm gear operatively connected to the ball spindle, the worm gear supporting the position of the control rod assembly, wherein when the hydraulic motor unit is driven, the ball spindle is rotated, the ball nut assembly engaged with the ball spindle is axially rotated, and the connection pipe supported by the ball nut assembly is vertically driven to thereby drive the control rod assembly for inserting or withdrawing the control rod assembly into or from a reactor core. guide tube disposed in the housing; a connection pipe disposed inside the guide tube coaxially therewith and having a first end to which a control rod assembly is connected; a ball spindle disposed inside the connection pipe and supported thereby so as to be rotatable; a ball nut assembly engaged with the ball spindle so as to be axially movable along the ball spindle, said ball nut assembly supporting a second end of the connection pipe; a hydraulic motor unit operatively connected to the ball spindle so as to rotate the ball spindle and comprising a single hydraulic motor of a structure which is reversibly operable, said structure inserting the control rod assembly into the reactor core and withdrawing the control rod assembly from the reactor core; and a transmission operatively connected to the hydraulic motor unit and transmitting power from the hydraulic motor unit to the ball spindle, said transmission comprising a bevel gear operatively connected to said single hydraulic motor and a worm gear operatively connected to the ball spindle, the worm gear supporting the position of the control rod assembly, wherein when the hydraulic motor unit is driven, the ball spindle is rotated, the ball nut assembly engaged with the ball spindle is axially rotated, and the connection pipe supported by the ball nut assembly is driven vertically to thereby drive the control rod assembly for inserting or withdrawing the control rod assembly into or from a reactor core. a guide tube disposed in the housing; a connection pipe disposed inside the guide tube coaxially therewith and having a first end to which a control rod assembly is connected; a ball spindle disposed inside the connection pipe and supported thereby so as to be rotatable; a ball nut assembly engaged with the ball spindle so as to be axially movable along the ball spindle, said ball nut assembly supporting a second end of the connection pipe; a hydraulic motor unit operatively connected to the ball spindle so as to rotate the ball spindle and including a motor case, a first hydraulic motor inserting the control rod assembly into the reactor core and a second hydraulic motor withdrawing the control rod assembly from the reactor core; and transmission means operatively connected to the hydraulic motor unit and transmitting power from the motor unit to the ball spindle, said transmission means comprising worm gear means operatively connected to the ball spindle and reducing the speed of rotation of the ball spindle and a first bevel gear means operatively connected to one of the hydraulic motors for insertion and withdrawal of the control rod assembly, the worm gears means supporting the positions of the control rod assembly, wherein when the hydraulic motor unit is driven, the ball spindle is rotated, the ball nut assembly engaged with the ball spindle is axially rotated, and the connection pipe supported by the ball nut assembly is vertically driven to thereby drive the control rod assembly for inserting or withdrawing the control rod assembly into or from a reactor core, the nuclear reactor comprises a boiling water reactor and four fuel assemblies are disposed in respective sections formed as a unit fuel assembly structure by a cross-shaped control rod and wherein a plurality of such unit fuel assembly structures are arranged in a core of the boiling water reactor, the control rods being driven by a control rod driving system including a control rod driving apparatus which has a control rod for a power-adjustment unit, said control, rod drive apparatus having a screw-drive structure which includes a spindle in which a nut engages with a mechanism, said mechanism vertically moving the connection pipe and establishing connection with the control rods and another control rod driving apparatus driving control rods for the units except the power-adjustment unit which is formed into a hydraulic pressure piston drive structure comprising a mechanism vertically moving a connection pipe and establishing connection with said control rod, a piston and a cylinder wherein said screw drive structure is driven by a hydraulic motor, and a source supplying driving water driving the hydraulic pressure piston drive structure and a source supplying driving water driving said screw drive structure have a common hydraulic pressure supply apparatus; and wherein the control rod for the power-adjustment unit includes a drive structure which simultaneously drives a plurality of control rods. a guide tube disposed in the housing; a connection pipe disposed inside the guide tube coaxially therewith and having a first end to which a control rod assembly is connected; a ball spindle disposed inside the connection pipe and supported thereby so as to be rotatable; a ball nut assembly engaged with the ball spindle so as to be axially movable along the ball spindle, said ball nut assembly supporting a second end of the connection pipe; a hydraulic motor unit operatively connected to the ball spindle so as to rotate the ball spindle and including a motor case, a first hydraulic motor inserting the control rod assembly into the reactor core and a second hydraulic motor withdrawing the control rod assembly from the reactor core; and transmission means operatively connected to the hydraulic motor unit and transmitting power from the motor unit to the ball spindle, said transmission means comprising worm gear means operatively connected to the ball spindle and reducing the speed of rotation of the ball spindle and a first bevel gear means operatively connected to one of the hydraulic motors for insertion and withdrawal of the control rod assembly, the worm gear means supporting the positions of the control rod assembly, wherein when the hydraulic motor unit is driven, the ball spindle is rotated, the ball nut assembly engaged with the ball spindle is axially rotated, and the connection pipe supported by the ball nut assembly is vertically driven to thereby drive the control rod assembly for inserting or withdrawing the control rod assembly into or from a reactor core; a hydraulic pressure supply pipe supplying driving water to the hydraulic motor unit wherein a change-over valve is disposed at an intermediate portion of the hydraulic pressure supply pipe, said change-over valve having a structure changing over flow of the driving water while enabling at least two operations of the control rod insertion operation, said two operations comprising a control rod withdrawal operation and an emergency control rod insertion operation and said change-over valve comprises an introduction port introducing the driving water, a plurality of ports branched from the introduction port, spring means disposed inside the respective ports and a valve body opened and closed due to a balance between a biasing force of the spring means and hydraulic pressure transmitted through the introduction port. a guide tube disposed in the housing; a connection pipe disposed inside the guide tube coaxially therewith and having a first end to which a control rod assembly is connected; a ball spindle disposed inside the connection pipe and supported thereby so as to be rotatable; a ball nut assembly engaged with the ball spindle so as to be axially movable along the ball spindle, said ball nut assembly supporting a second end of the connection pipe; a hydraulic motor unit operatively connected to the ball spindle so as to rotate the ball spindle and including a motor case, a first hydraulic motor inserting the control rod assembly into the reactor core and a second hydraulic motor withdrawing the control rod assembly from the reactor core; and transmission means operatively connected to the hydraulic motor unit and transmitting power from the motor unit to the ball spindle, said transmission means comprising worm gear means operatively connected to the ball spindle and reducing the speed of rotation of the ball spindle and a first bevel gear means operatively connected to one of the hydraulic motors for insertion and withdrawal of the control rod assembly, the worm gear means supporting the positions of the control rod assembly, wherein when the hydraulic motor unit is driven, the ball spindle is rotated, the ball nut assembly engaged with the ball spindle is axially rotated, and the connection pipe supported by the ball nut assembly is vertically driven to thereby drive the control rod assembly for inserting or withdrawing the control rod assembly into or from a reactor core and wherein driving water is introduced into the hydraulic motor by a hydraulic pressure supply pipe having a double-pipe structure. a guide tube disposed in the housing; a connection pipe disposed inside the guide tube coaxially therewith and having a first end to which a control rod assembly is connected; a ball spindle disposed inside the connection pipe and supported thereby so as to be rotatable; a ball nut assembly engaged with the ball spindle so as to be axially movable along the ball spindle, said ball nut assembly supporting a second end of the connection pipe; a hydraulic motor unit operatively connected to the ball spindle so as to rotate the ball spindle and comprising a single hydraulic motor of a structure which is reversibly operable, said structure inserting the control rod assembly into the reactor core and withdrawing the control rod assembly from the reactor core; and transmission means operatively connected to the hydraulic motor unit and transmitting power from the hydraulic motor unit to the ball spindle, said transmission means comprising a bevel gear means operatively connected to said single hydraulic motor and a worm gear means operatively connected to the ball spindle, the worm gear means supporting the position of the control rod assembly, wherein when the hydraulic motor unit is driven, the ball spindle is rotated, the ball nut assembly engaged with the ball spindle is axially rotated, and the connection pipe supported by the ball nut assembly is driven vertically to thereby drive the control rod assembly for inserting or withdrawing the control into or from a reactor core, the nuclear reactor comprises a boiling water reactor and four fuel assemblies are disposed in respective sections formed as a unit fuel assembly structure by a cross-shaped control rod and wherein a plurality of such unit fuel assembly structures are arranged in a core of the boiling water reactor, the control rods being driven by a control rod driving system including a control rod driving apparatus which has a control rod for a power-adjustment unit, said control rod drive apparatus having a screw-drive structure which includes a spindle in which a nut engages with a mechanism, said mechanism vertically moving the connection pipe and establishing connection with the control rods and another control rod driving apparatus driving control rods for the units except the power-adjustment unit which is formed into a hydraulic pressure piston drive structure comprising a mechanism vertically moving a connection pipe and establishing connection with said control rod, a piston and a cylinder, wherein said screw drive structure is driven by said single hydraulic motor, a source supplying driving water driving the hydraulic pressure piston drive structure and a source supplying driving water driving said screw drive structure have a common hydraulic pressure supply apparatus, the source supplying driving water driving the hydraulic pressure piston drive structure and the source supplying driving water driving said screw drive structure have a common hydraulic pressure supply apparatus, and wherein the control rod for the power-adjustment unit includes a drive structure which simultaneously drives a plurality of control rods. a guide tube disposed in the housing; a connection pipe disposed inside the guide tube coaxially therewith and having a first end to which a control rod assembly is connected; a ball spindle disposed inside the connection pipe and supported thereby so as to be rotatable; a ball nut assembly engaged with the ball spindle so as to be axially movable along the ball spindle, said ball nut assembly supporting a second end of the connection pipe; a hydraulic motor unit operatively connected to the ball spindle so as to rotate the ball spindle and comprising a single hydraulic motor of a structure which is reversibly operable, said structure inserting the control rod assembly into the reactor core and withdrawing the control rod assembly from the reactor core; and transmission means operatively connected to the hydraulic motor unit and transmitting power from the hydraulic motor unit to the ball spindle, said transmission means comprising bevel gear means operatively connected to said single hydraulic motor and a worm gear means operatively connected to the ball spindle, the worm gear means supporting the position of the control rod assembly, wherein when the hydraulic motor unit is driven, the ball spindle is rotated, the ball nut assembly engaged with the ball spindle is axially rotated, and the connection pipe supported by the ball nut assembly is driven vertically to thereby drive the control rod assembly for inserting or withdrawing the control into or from a reactor core, wherein driving water is introduced into the hydraulic motor unit by a hydraulic pressure supply pipe having a double-pipe structure. a guide tube disposed in the housing; a connection pipe disposed inside the guide tube coaxially therewith and having a first end to which a control rod assembly is connected; a ball spindle disposed inside the connection pipe and supported thereby so as to be rotatable; a ball nut assembly engaged with the ball spindle so as to be axially movable along the ball spindle, said ball nut assembly supporting a second end of the connection pipe; a hydraulic motor unit operatively connected to the ball spindle so as to rotate the ball spindle and comprising a single hydraulic motor of a structure which is reversibly operable, said structure inserting the control rod assembly into the reactor core and withdrawing the control rod assembly from the reactor core; and transmission means operatively connected to the hydraulic motor unit and transmitting power from the hydraulic motor unit to the ball spindle, said transmission means comprising bevel gear means operatively connected to said single hydraulic motor and worm gear means operatively connected to the ball spindle, the worm gear means supporting the position of the control rod assembly, wherein when the hydraulic motor unit is driven, the ball spindle is rotated, the ball nut assembly engaged with the ball spindle is axially rotated, and the connection pipe supported by the ball nut assembly is driven vertically to thereby drive the control rod assembly for inserting or withdrawing the control rod assembly into or from a reactor core. guide tube disposed in the housing; a connection pipe disposed inside the guide tube coaxially therewith and having a first end to which a control rod assembly is connected; a ball spindle disposed inside the connection pipe and supported thereby so as to be rotatable; a ball nut assembly engaged with the ball spindle so as to be axially movable along the ball spindle, said ball nut assembly supporting a second end of the connection pipe; a hydraulic motor unit operatively connected to the ball spindle so as to rotate the ball spindle and including a motor case, a first hydraulic motor inserting the control rod assembly into the reactor core and a second hydraulic motor withdrawing the control rod assembly from the reactor core; and a transmission operatively connected to the hydraulic motor unit and transmitting power from the motor unit to the ball spindle, said transmission comprising a first bevel gear operatively connected to one of the hydraulic motors for insertion and withdrawal of the control rod assembly and a worm gear operatively connected to the ball spindle, the worm gear supporting the position of the control rod assembly, wherein when the hydraulic motor unit is driven, the ball spindle is rotated, the ball nut assembly engaged with the ball spindle is axially rotated, and the connection pipe supported by the ball nut assembly is vertically driven to thereby drive the control rod assembly for inserting or withdrawing the control rod assembly into or from a reactor core, wherein the nuclear reactor comprises a boiling water reactor and four fuel assemblies are disposed in respective sections formed as a unit fuel assembly structure by a cross-shaped control rod and wherein a plurality of such unit fuel assembly structures are arranged in a core of the boiling water reactor, the control rods being driven by a control rod driving system including a control rod driving apparatus which has a control rod for a power-adjustment unit, said control-rod drive apparatus having a screw-drive structure which includes a spindle in which a nut engages with a mechanism, said mechanism vertically moving the connecting pipe and establishing connection with the control rods and another control rod driving apparatus driving control rods for the units except the power-adjustment unit which is formed into a hydraulic pressure piston drive structure comprising a mechanism vertically moving a connection pipe and establishing connection with said control rod, a piston and a cylinder, and said screw drive structure is driven by a hydraulic motor; wherein the source supplying driving water driving the hydraulic pressure piston drive structure and the source supplying driving water driving said screw drive structure have a common hydraulic pressure supply apparatus; and wherein the control rod for the power-adjustment unit includes a drive structure which simultaneously drives a plurality of control rods. a guide tube disposed in the housing; a connection pipe disposed inside the guide tube coaxially therewith and having a first end to which a control rod assembly is connected; a ball spindle disposed inside the connection pipe and supported thereby so as to be rotatable; a ball nut assembly engaged with the ball spindle so as to be axially movable along the ball spindle, said ball nut assembly supporting a second end of the connection pipe; a hydraulic motor unit operatively connected to the ball spindle so as to rotate the ball spindle and including a motor case, a first hydraulic motor inserting the control rod assembly into the reactor core and a second hydraulic motor withdrawing the control rod assembly from the reactor core; and a transmission operatively connected to the hydraulic motor unit and transmitting power from the motor unit to the ball spindle, said transmission comprising a first bevel gear operatively connected to one of the hydraulic motors for insertion and withdrawal of the control rod assembly and a worm gear operatively connected to the ball spindle, the worm gear supporting the position of the control rod assembly, wherein when the hydraulic motor unit is driven, the ball spindle is rotated, the ball nut assembly engaged with the ball spindle is axially rotated, and the connection pipe supported by the ball nut assembly is vertically driven to thereby drive the control rod assembly for inserting or withdrawing the control rod assembly into or from a reactor core, a hydraulic pressure supply pipe supplying hydraulic pressure to the reaction pressure vessel wherein a change-over valve is disposed at an intermediate portion of the hydraulic pressure supply pipe, said change-over valve having a structure changing over flow of the driving water while enabling at least two operations of the control rod insertion operation, said two operations comprising the control rod withdrawal operation and an emergency control rod insertion operation; and wherein said change-over valve comprises an introduction port introducing the driving water, a plurality of ports branched from the introduction port, a spring disposed inside the respective ports and a valve body opened and closed due to a balance between a biasing force of the spring and hydraulic pressure transmitted through the introduction port. a guide tube disposed in the housing; a connection pipe disposed inside the guide tube coaxially therewith and having a first end to which a control rod assembly is connected; a ball spindle disposed inside the connection pipe and supported thereby so as to be rotatable; a ball nut assembly engaged with the ball spindle so as to be axially movable along the ball spindle, said ball nut assembly supporting a second end of the connection pipe; a hydraulic motor unit operatively connected to the ball spindle so as to rotate the ball spindle and including a motor case, a first hydraulic motor inserting the control rod assembly into the reactor core and a second hydraulic motor withdrawing the control rod assembly from the reactor core; and a transmission operatively connected to the hydraulic motor unit and transmitting power from the motor unit to the ball spindle, said transmission comprising a first bevel gear operatively connected to one of the hydraulic motors for insertion and withdrawal of the control rod assembly and a worm gear operatively connected to the ball spindle, the worm gear supporting the position of the control rod assembly, wherein when the hydraulic motor unit is driven, the ball spindle is rotated, the ball nut assembly engaged with the ball spindle is axially rotated, and the connection pipe supported by the ball nut assembly is vertically driven to thereby drive the control rod assembly for inserting or withdrawing the control rod assembly into or from a reactor core, and wherein driving water is introduced into the hydraulic motor unit by a hydraulic pressure supply pipe and said hydraulic pressure supply pipe has a double-pipe structure. a guide tube disposed in the housing; a connection pipe disposed inside the guide tube coaxially therewith and having a first end to which a control rod assembly is connected; a ball spindle disposed inside the connection pipe and supported thereby so as to be rotatable; a ball nut assembly engaged with the ball spindle so as to be axially movable along the ball spindle, said ball nut assembly supporting a second end of the connection pipe; a hydraulic motor unit operatively connected to the ball spindle so as to rotate the ball spindle and comprising a single hydraulic motor of a structure which is reversibly operable, said structure inserting the control rod assembly into the reactor core and withdrawing the control rod assembly from the reactor core; and a transmission operatively connected to the hydraulic motor unit and transmitting power from the hydraulic motor unit to the ball spindle, said transmission comprising a bevel gear operatively connected to said single hydraulic motor and a worm gear operatively connected to the ball spindle, the worm gear supporting the position of the control rod assembly, wherein when the hydraulic motor unit is driven, the ball spindle is rotated, the ball nut assembly engaged with the ball spindle is axially rotated, and the connection pipe supported by the ball nut assembly is driven vertically to thereby drive the control rod assembly for inserting or withdrawing the control into or from a reactor core, wherein the nuclear reactor comprises a boiling water reactor and four fuel assemblies are disposed in respective sections formed as a unit fuel assembly structure by a cross-shaped control rod and wherein a plurality of such unit fuel assembly structures are arranged in a core of the boiling water reactor, the control rods being driven by a control rod driving system including a control rod driving apparatus which has a control rod for a power-adjustment unit, said control-rod drive apparatus having a screw-drive structure which includes a spindle in which a nut engages with a mechanism, said mechanism vertically moving the connecting pipe and establishing connection with the control rods and another control rod driving apparatus driving control rods for the units except the power-adjustment unit which is formed into a hydraulic pressure piston drive structure comprising a mechanism vertically moving a connection pipe and establishing connection with said control rod, a piston and a cylinder, and said screw drive structure is driven by a hydraulic motor, wherein the source supplying driving water driving the hydraulic pressure piston drive structure and the source supplying driving water driving said screw drive structure have a common hydraulic pressure supply apparatus; and the control rod for the power-adjustment unit includes a drive structure which simultaneously drives a plurality of control rods. a guide tube disposed in the housing; a connection pipe disposed inside the guide tube coaxially therewith and having a first end to which a control rod assembly is connected; a ball spindle disposed inside the connection pipe and supported thereby so as to be rotatable; a ball nut assembly engaged with the ball spindle so as to be axially movable along the ball spindle, said ball nut assembly supporting a second end of the connection pipe; a hydraulic motor unit operatively connected to the ball spindle so as to rotate the ball spindle and comprising a single hydraulic motor of a structure which is reversibly operable, said structure inserting the control rod assembly into the reactor core and withdrawing the control rod assembly from the reactor core; and a transmission operatively connected to the hydraulic motor unit and transmitting power from the hydraulic motor unit to the ball spindle, said transmission comprising a bevel gear operatively connected to said single hydraulic motor and a worm gear operatively connected to the ball spindle, the worm gear supporting the position of the control rod assembly, wherein when the hydraulic motor unit is driven, the ball spindle is rotated, the ball nut assembly engaged with the ball spindle is axially rotated, and the connection pipe supported by the ball nut assembly is driven vertically to thereby drive the control rod assembly for inserting or withdrawing the control into or from a reactor core, wherein driving water is introduced to the hydraulic motor unit by a pressure supply pipe and a change-over valve is disposed at an intermediate portion of the hydraulic pressure supply pipe, said change-over valve has a structure changing over flow of the driving water while enabling at least two operations of the control rod insertion operation, said two operations comprising the control rod withdrawal operation and an emergency control rod insertion operation; and wherein said change-over valve comprises an introduction port introducing the driving water, a plurality of ports branched from the introduction port, a spring disposed inside the respective ports and a valve body opened and closed due to a balance between a biasing force of the spring and hydraulic pressure transmitted through the introduction port. a guide tube disposed in the housing; a connection pipe disposed inside the guide tube coaxially therewith and having a first end to which a control rod assembly is connected; a ball spindle disposed inside the connection pipe and supported thereby so as to be rotatable; a ball nut assembly engaged with the ball spindle so as to be axially movable along the ball spindle, said ball nut assembly supporting a second end of the connection pipe; a hydraulic motor unit operatively connected to the ball spindle so as to rotate the ball spindle and comprising a single hydraulic motor of a structure which is reversibly operable, said structure inserting the control rod assembly into the reactor core and withdrawing the control rod assembly from the reactor core; and a transmission operatively connected to the hydraulic motor unit and transmitting power from the hydraulic motor unit to the ball spindle, said transmission comprising a bevel gear operatively connected to said single hydraulic motor and a worm gear operatively connected to the ball spindle, the worm gear supporting the position of the control rod assembly, wherein when the hydraulic motor unit is driven, the ball spindle is rotated, the ball nut assembly engaged with the ball spindle is axially rotated, and the connection pipe supported by the ball nut assembly is driven vertically to thereby drive the control rod assembly for inserting or withdrawing the control into or from a reactor core and wherein driving water is introduced into the hydraulic motor unit by a hydraulic pressure supply pipe and said hydraulic pressure supply pipe has a double-pipe structure. 2. A control rod driving apparatus according to claim 1, wherein a change-over valve is disposed at an intermediate portion of the hydraulic pressure supply pipe, said change-over valve having a structure changing over flow of the driving water while enabling at least two operations of the control rod insertion operation, said two operations comprising a control rod withdrawal operation and an emergency control rod insertion operation. 3. A control rod driving apparatus according to claim 2, wherein said change-over valve comprises an introduction port introducing the driving water, a plurality of ports branched from the introduction port, spring means disposed inside the respective ports and a valve body opened and closed due to a balance between a biasing force of the spring means and hydraulic pressure transmitted through the introduction port. 4. A control rod driving apparatus according to claim 1, wherein said hydraulic pressure supply pipe has a double-pipe structure. 5. A control rod driving apparatus driving a control rod assembly of a nuclear reactor and disposed in a housing mounted to a reactor pressure vessel of the nuclear reactor, which comprises: 6. A control rod driving apparatus according to claim 1, wherein the nuclear reactor comprises a boiling water reactor and four fuel assemblies are disposed in respective sections formed as a unit fuel assembly structure by a cross-shaped control rod and wherein a plurality of such unit fuel assembly structures are arranged in a core of the boiling water reactor, the control rods being driven by a control rod driving system including a control rod driving apparatus which has a control rod for a power-adjustment unit, said control-rod drive apparatus having a screw-drive structure which includes a spindle in which a nut engages with a mechanism, said mechanism vertically moving the connection pipe and establishing connection with the control rods and another control rod driving apparatus for driving control rods for the units except the power-adjustment unit which is formed into a hydraulic pressure piston drive structure comprising a mechanism vertically moving a connection pipe and establishing connection with said control rod, a piston and a cylinder. 7. A control rod driving apparatus according to claim 6, wherein said screw drive structure is driven by a hydraulic motor. 8. A control rod driving apparatus according to claim 7, wherein a source supplying driving water for driving the hydraulic pressure piston drive structure and a source for supplying driving water driving said screw drive structure have a common hydraulic pressure supply apparatus. 9. A control rod driving apparatus according to claim 6, wherein the control rod for the power-adjustment unit includes a drive structure which simultaneously drives a plurality of control rods. 10. A control rod driving apparatus driving a control rod assembly of a nuclear reactor and disposed in a housing mounted to a reactor pressure vessel of the nuclear reactor, which comprises: 11. A control rod driving apparatus according to claim 10, wherein the driving water is introduced into the hydraulic motor unit by a hydraulic pressure supply pipe through which the pressure in the reactor pressure vessel is applied. 12. A control rod driving apparatus according to claim 11, wherein a change-over valve is disposed at an intermediate portion of the hydraulic pressure supply pipe, said change-over valve having a structure changing over flow of the driving water while enabling at least two operations of the control rod insertion operation, said two operations comprising a control rod withdrawal operation and an emergency control rod insertion operation. 13. A control rod driving apparatus according to claim 12, wherein said change-over valve comprises an introduction port introducing the driving water, a plurality of ports branched from the introduction port, a spring disposed inside the respective ports and a valve body opened and closed due to a balance between a biasing force of the spring and hydraulic pressure transmitted through the introduction port. 14. A control rod driving apparatus according to claim 11, wherein said hydraulic pressure supply pipe has a double-pipe structure. 15. A control rod driving apparatus driving a control rod assembly of a nuclear reactor and disposed in a housing mounted to a reactor pressure vessel of the nuclear reactor, which comprises: 16. A control rod driving apparatus according to claim 10, wherein the nuclear reactor comprises a boiling water reactor and four fuel assemblies are disposed in respective sections formed as a unit fuel assembly structure by a cross-shaped control rod and wherein a plurality of such unit fuel assembly structures are arranged in a core of the boiling water reactor, the control rods being driven by a control rod driving system including a control rod driving apparatus which has a control rod for a power-adjustment unit, said control-rod drive apparatus having a screw-drive structure which includes a spindle in which a nut engages with a mechanism, said mechanism vertically moving the connection pipe and establishing connection with the control rods and another control rod driving apparatus for driving control rods for the units except the power-adjustment unit which is formed into a hydraulic pressure piston drive structure comprising a mechanism vertically moving a connection pipe and establishing connection with said control rod, a piston and a cylinder. 17. A control rod driving apparatus according to claim 16, wherein said screw drive structure is driven by a hydraulic motor. 18. A control rod driving apparatus according to claim 18, wherein a source supplying driving water for driving the hydraulic pressure piston drive structure and a source for supplying driving water driving said screw drive structure have a common hydraulic pressure supply apparatus. 19. A control rod driving apparatus according to claim 16, wherein the control rod for the power-adjustment unit includes a drive structure which simultaneously drives a plurality of control rods. 20. A control rod driving apparatus driving a control rod assembly of a nuclear reactor and disposed in a housing mounted to a reactor pressure vessel of the nuclear reactor, which comprises: 21. A control rod driving apparatus driving a control rod assembly of a nuclear reactor and disposed in a housing mounted to a reactor pressure vessel of the nuclear reactor, which comprises: 22. A control rod driving apparatus driving a control rod assembly of a nuclear reactor and disposed in a housing mounted to a reactor pressure vessel of the nuclear reactor, which comprises: 23. A control rod driving apparatus driving a control rod assembly of a nuclear reactor and disposed in a housing mounted to a reactor pressure vessel of the nuclear reactor, which comprises: 24. A control rod driving apparatus driving a control rod assembly of a nuclear reactor and disposed in a housing mounted to a reactor pressure vessel of the nuclear reactor, which comprises: 25. A control rod driving apparatus driving a control rod assembly of a nuclear reactor and disposed in a housing mounted to a reactor pressure vessel of the nuclear reactor, which comprises: 26. A control rod driving apparatus driving a control rod assembly of a nuclear reactor and disposed in a housing mounted to a reactor pressure vessel of the nuclear reactor, which comprises: 27. A control rod driving apparatus driving a control rod assembly of a nuclear reactor and disposed in a housing mounted to a reactor pressure vessel of the nuclear reactor, which comprises: 28. A control rod driving apparatus driving a control rod assembly of a nuclear reactor and disposed in a housing mounted to a reactor pressure vessel of the nuclear reactor, which comprises: 29. A control rod driving apparatus driving a control rod assembly of a nuclear reactor and disposed in a housing mounted to a reactor pressure vessel of the nuclear reactor, which comprises: 30. A control rod driving apparatus driving a control rod assembly of a nuclear reactor and disposed in a housing mounted to a reactor pressure vessel of the nuclear reactor, which comprises: 31. A control rod driving apparatus driving a control rod assembly of a nuclear reactor and disposed in a housing mounted to a reactor pressure vessel of the nuclear reactor, which comprises:
description
The present invention relates to a control rod and a control rod blade for a boiling water reactor, wherein the control rod blade comprises a free edge portion with a recess which comprises a plurality of outlets, arranged in a row, for channels, which are arranged to receive an absorber material and a cover element arranged to be attached along at least a section of the edge portion, which cover element comprises a cover portion arranged to seal the opening of the recess and a support portion arranged to, in a mounted state, abut a wall surface in the recess and to allow the formation of at least one passage between the outlets of the channels in the recess. Usually, control rods for boiling water reactors (BWR) comprises a central part and four control rod blades protruding from the central part, which each is provided at a right angle in relation to the adjacent control rod blades. Usually, conventional control rod blades are manufactured of a sheet of a steel material. The control rod blades are provided with free edge portion with a longitudinally milled recess a. A plurality of channels are drilled in the recess in a row above each other. The channels have a diameter, which is less than the thickness of the metal sheet such that a satisfactory wall thickness is obtained. The channels extend from the free edge portion of the control rod blade towards the central part of the control rods. A suitable absorber material is arranged in the channels. Thereafter, the absorber material is enclosed by rolling the legs of the recess together. Thereafter, the end surfaces of the legs are welded together with a longitudinal weld joint such a hermetic and pressure resistant sealing of the recess is obtained. A relatively deep recess must here be provided at the edge portion of the control rod blade such that the legs will obtain a length such that they can be rolled together. In a welded state, the free edge portion of the control rod blade here gets a rounded shape and consequently, the absorber material has to be arranged at a not completely negligible distance from the end surface of the control rod blade. In order to increase the reactivity effect of the control rod blade, it would be desirable if the absorber material could be arranged closer to the end surface. By a profylax published in Research Disclosure 33925/92 a control rod blade is shown, which is provided with a concave recess at a free edge portion. In order to seal the recess, a cover element, which has a substantially corresponding shape, is here applied in the recess. The cover element comprises a cover portion, which seals the opening of the recess, and a support portion, which comprises a convex contact surface arranged to abut a corresponding concave wall surface of the recess. The cover element is fixed by means of two longitudinal weld joints to the legs of the recess. The support portion comprises a chamfered portion such that a passage is formed between the chamfered wall surface of the support portion and the concave wall surface of the recess, which passage connects the outlets of the channels in the space to each other. The channels here contain a powdered absorber material. The passage allows a flow of the fission gases, which are created during operation, between the channels such that a pressure equalization is obtained. The cover element has a shape, which allows the arrangement of two further passages. These further passages are arranged substantially immediately inside the weld joints. Passages allow distribution of a protective gas to the root side of the weld joints during the welding operation. The cover element has in this case a relatively complicated construction at the same time as a concave-shaped recess results in that the outlets of the channels, at least locally, are located at a relatively large distance from the end surface of the control rod blade. The possibility to arrange the absorber material near the end surface of the control rod blade is thereby reduced. The object of the present invention is to provide a control rod blade having a free edge portion which has a relatively simple construction such that the control rod blade may be manufactured to a low cost at the same time as the construction of the edge portion results in that the control rod blade obtains a high reactivity effect during operation. The above-mentioned objects are achieved with the control rod blade of the initially mentioned kind, which is characterized in that the support portion has a width which is less than the width of the recess and a contact surface which is arranged to abut a bottom surface in the recess. Since the support portion has a width which is less than the width of the recess, at least on one side of the support portion, but preferably on both sides of the support portion, a passage is obtained between a side wall surface of the support portion and the side wall surface in the recess. Such a passage allows for a flow of fission gases during operation such that the pressure between the channels, which lead to the recess, is equalized. Such a cover element may be given a relatively uncomplicated shape and may by that means be manufactured to a low cost. By such co-lateral passages, the recess can be made shallow and the outlets of the channels are thus obtained at a relatively short distance from the end surface of the control rod blade. Thereby, the absorber material can also be arranged at a relatively short distance from the end surface of the control rod blade such that the control rod blade achieves a high reactivity effect during operation. According to a preferred embodiment of the invention, the support portion has a width, which is less than the width of the outlets of the channels. Thereby, the support portion only partly covers the outlets of the channels and the created fission gases are allowed to flow past the support portion and through the passage to adjacent channels. Advantageously, the support portion has a continuos extension along the whole length of the cover element. An attachment element, which comprises a support portion with a continues extension, has a substantially constant cross-section profile along its extension, which allows for a simple manufacturing to a low cost. Such an attachment element also provides a simple mounting since no particular adaptation need to be done of the support portion in relation to the outlets of the channels for obtaining a satisfactory function. Advantageously, the cover element has a substantially T-shaped cross-section profile. Advantageously, a T-shaped cover element comprises an upper cover portion with a substantially rectangular cross-section profile with a width, which substantially covers the opening of the recess and a thickness, which allows for a pressure resistant sealing of the recess. Advantageously, the support portion also has a substantially rectangular cross-section profile with a perpendicularly downwardly extending extension in the recess from a central part of the cover portion. Such a T-shaped cover element is simple to manufacture. According to another preferred embodiment of the invention, the support portion has a substantially plane contact surface arranged to abut a corresponding plane bottom surface in the recess. With a plane contact surface, no local cavities of the space are obtained in the contact area. Such local cavities increase the distance from the outlets of the channels to the end surface of the control rod blade and hence the distance of the absorber material to the end surface. The bottom surface of the recess may be formed as a groove in the areas, which extend between the outlets of the channels. Preferably, said groove has here a width, which corresponds to the width of the support portion. Thereby, the support portion of the cover element obtains in a simple way a correct and stable positioning in the recess during the montage. Consequently, the cover portion of the cover element covers substantially an opening of the recess in said position. However, with such a positioning, narrow slits between the edges of the cover element and the legs of the recess may be obtained. Such slits facilitates a welding of the edges of the cover element to the inner surfaces of the legs when the slits allow for distribution of a protective gas to the passage such that the protective gas may act against the underside of the formed weld. According to another preferred embodiment of the invention, the cover element is attached to the edge portion of the control rod blade by two longitudinal weld joints. Advantageously, such weld joints may be achieved with a TIG-burner. Consequently, a T-shaped cover element provides a passage on each side of the support portion. Hence, the passages allow in addition to the pressure equalization during operation also a distribution of an inert gas to the underside of the weld during the welding operation. By such a supply of an inert gas, it is secured that the weld joints are formed with a high quality. According to another preferred embodiment of the present invention, said absorber material consists of solid absorber bodies. Advantageously, solid absorber bodies are rod shaped and has a circular cross-section profile. Solid absorber bodies have the advantage in relation to powdered absorber material that the absorber material here does not risk to whirl up during the welding operation and to be mixed in the weld joint. Advantageously, said solid absorber bodies comprise boron carbide. Boron carbide is the most frequent absorber material and may be pressed to solid absorber rods by means of a HIP (Hot Isostatic Pressing) process. Alternatively, absorber rods of hafnium may be used. One or several absorber rods may be arranged in each of the channels. When absorber rods of boron carbide absorb neutron radiation, they expand. In order to allow for an expansion of the absorber rod in an axial direction in the channel, a spring may be arranged furthest back in the channel. Thereby, the outer end of the absorber rod can be provided relatively near the cover element without the absorber rod risking to deform the cover element during an axial expansion. FIG. 1 shows a control rod 1 for controlling of the neutron flux in a boiling water reactor (BWR). The control rod 1 comprises four control rod blades 2, which are directed in a right angle in relation to the adjacent control rod blades 2. The control rod blades 2 comprise a plurality above each other, in a row, drilled channels 3, which extend between a free edge portion of the control rod blades 2 and a center, where the control rod blades 2 meet. The control rod blades 2 are manufactured of a sheet material, which preferably is of steel and has a thickness of 7-8 mm. The channels 3, which are drilled in the control rod blades 2 may here have a diameter of 5-6 mm. FIG. 2 shows both an edge portion of a control rod blade 2 before it is enclosed and a cover element 4 for sealing the edge portion. Consequently, the control rod blade comprises a sheet material the free end surface of which is provided with a first U-shaped track 5 by means of a milling operation. The first track 5 has a constant width during its extension along substantially the whole free end surface of the sheet material. Thereafter, a second narrower U-shaped track 6 has been milled centrally in the first U-shaped track 5. The second track 6 also has a constant width during its extension along substantially the whole free end surface of the sheet material. The first 5 and second 6 U-shaped tracks together form a recess 7 in the free edge portion of the control rod blade 2. The recess 7 is restricted laterally by legs 8 having a suitable wall thickness. After or before the manufacturing of the recess 7, a large number of straight channels 3 with a circular cross section have been drilled in the control rod blade 2. Consequently, the channels 3 extend between the free edge portion of the control rod blade 2 and a center, where the control rod blades 2 meet. The channels 3 have a diameter, which is less than the width of the first U-shaped track 5 but which exceeds the width of the second U-shaped track 6. The centrally arranged second U-shaped track 6 thus obtains only an extension in the surface areas 9 which are formed between the outlets of the channels 3 in the recess 7. FIG. 2 shows outlets of six drilled channels 3. The three lowest located channels 3 have here been provided with absorber material in the form of solid absorber rods 10, which, with advantage, are manufactured of boron carbide. The absorber rods 10 have a smaller diameter than the channels 3 such they are allowed to expand radially when they are subjected to radiation during operation. A spring, which is not shown in the figures, is arranged furthest back in the channels 3 such that the absorber rods 10 with a yielding pressure are held in the direction towards the outlets of the channels. The absorber rods 10 are allowed to expand axially in the channels 3 against the action of the spring. The absorber rods 10 comprise a chamfering 11 such that its thickness decreases at the outer end. Since the end surface of the absorber rods 10 is also subjected to radiation, the absorber rods are subjected to the greatest radiation dose at the outer end. By such a chamfering 11, one secures that the absorber rod 10 in this area does not obtain a radial extension such the absorber rod 10 will abut the surrounding channel wall. FIG. 2 thus show also the cover element 4, which is arranged to seal the recess 7 such that the control rod blade 2 obtains an external even end surface. The cover element 4 comprises a length, which corresponds to the length of the recess 7, and has a cover portion 12, which has a width corresponding to the width of the first U-shaped track 5. The upper surface of the cover portion 12 is arranged to substantially form the end surface of the control rod blade in a mounted state. A support portion 13 of the cover element 4 is marked in FIG. 2 with broken lines. The support portion 13 has a continuos extension along the whole length of the cover element 4 and has a width which substantially corresponds to the width of the second track 6. FIG. 3 shows a sectional view along the line A-A in FIG. 2. Here, the first U-shaped track 5 and the design and the depth of the second U-shaped track 6 are more evident than in FIG. 2. The cover element 4 has a substantially T-shaped cross-section profile. The cover portion 12 of the cover element 4 comprises a substantially upper rectangular cross section area with a wall thickness dimensioned for enclosing fission gases with a specific pressure and a width which substantially corresponds to the width of the opening of the recess 7. The support portion 13 of the cover element 4 comprises a lower substantially rectangular cross section area, which has a width substantially corresponding to the width of the second U-shaped track 6. The support portion 13 has a height such that a plane lower contact surface 14 abuts a corresponding plane bottom surface 15 of the second U-shaped track 6 when the upper surface of the cover portion 12 is on a level with the end surface of the legs 8. An absorber rod 10 having a chamfering 11 at the end is arranged in the channel 3. FIG. 4 shows a cross section view of an edge portion of a control rod blade 2 with a mounted cover element 4. Since the support portion 13 has a width which is less than the width of the recess 7, passages 16 are obtained on both sides of the support portion 13, which extend along the recess 7. The passages 16 connect the outlets of the channels 3 to each other and thereby allow for a flow of fission gases between the channels 3 during operation. The overpressure, which is created by the fission gases during operation, may thereby be distributed substantially uniformly between all the channels 3, which lead to the space 7 of the control rod blade 2. The second U-shaped track 6 thus has a width, which substantially corresponds to the width of the support portion 13. Thereby, the cover element 4 obtains, during the montage, a stable positioning in the recess 7 such narrow uniform slits are formed on both sides of the cover element 4 between the edges of the cover portion 12 and the legs 8 of the recess 7. Such slits allow for the distribution of a protective gas to the passages 16 during a welding operation of the edges of the cover portion 12 to the inner surfaces of the legs 8. The cover element 4 is here attached in the recess 7 by means of two longitudinal weld joints 17. Advantageously, such weld joints 17 may be provided with a TIG-burner. Consequently, a T-shaped cover element 4 provides a passage 16 on both sides of the support portion 13. The passages 16 allow, in addition to the above mentioned pressure equalization function during operation, hence also for a distribution of an inert gas to root side of the weld joint during the welding operation. By such a supply of an inert gas, it is secured that the weld joints 17 obtain a high quality. The present invention is not in any way restricted to the embodiment shown in the drawings but may be freely modified within the scope of the claims. The recess does not necessarily need to consist of two U-shaped tracks but may have a substantially arbitrary but functional shape.
049903047
description
DETAILED DESCRIPTION OF THE INVENTION In the following description, like reference characters designate like or corresponding parts throughout the several views of the drawings. Also in the following description, it is to be understood that such terms as "forward", "rearward", "left", "right", "upwardly", "downwardly", and the like, are words of convenience and are not to be construed as limiting terms. In General Referring now to the drawings, and particularly to FIG. 1, there is shown an elevational view of a fuel assembly, represented in vertically or longitudinally foreshortened form and being generally designated by the numeral 10. The fuel assembly 10 basically includes a lower end structure or bottom nozzle 12 for supporting the assembly on the lower core plate (not shown) in the core region of a reactor (not shown), and a number of longitudinally extending guide tubes or thimbles 14 which project upwardly from the bottom nozzle 12. The assembly 10 further includes a plurality of transverse grids 16 axially spaced along the guide thimbles 14 and an organized array of elongated fuel rods 18 transversely spaced and supported by the grids 16. Also, the assembly 10 has an instrumentation tube 20 located in the center thereof and an upper end structure or top nozzle 22 attached to the upper ends of the guide thimbles 14. With such an arrangement of parts, the fuel assembly 10 forms an integral unit capable of being conventionally handled without damaging the assembly parts. As mentioned above, the fuel rods 18 in the array thereof in the assembly 10 are held in spaced relationship with one another by the grids 16 spaced along the fuel assembly length. Each fuel rod 18 includes nuclear fuel pellets 24 and the opposite ends of the rod are closed by upper and lower end plugs 26,28 to hermetically seal the rod. Commonly, a plenum spring 30 is disposed between the upper end plug 26 and the pellets 24 to maintain the pellets in a tight, stacked relationship within the rod 18. The fuel pellets 24 composed of fissile material are responsible for creating the reactive power of the nuclear reactor. A liquid moderator/coolant such as water, or water containing boron, is pumped upwardly through the fuel assemblies of the core in order to extract heat generated therein for the production of useful work. To control the fission process, a number of control rods 32 are reciprocally movable in the guide thimbles 14 located at predetermined positions in the fuel assembly 10. Specifically, the top nozzle 22 includes a rod cluster control mechanism 34 having an internally threaded cylindrical member 36 with a plurality of radially extending flukes or arms 38. Each arm 38 is interconnected to a control rod 32 such that the control mechanism 34 is operable to move the control rods 32 vertically in the guide thimbles 14 to thereby control the fission process in the fuel assembly 10, all in a well-known manner. Turning also to FIG. 2, the instrumentation tube 20 is provided to enable actual flux measurements to be taken under reactor operating conditions. The instrumentation tube 20 is hollow and located in the center of the fuel assembly 10. Also, the instrumentation tube 20 is seated at its bottom end 20A in a recess 40 in the bottom nozzle 12 and located at its top end 20B within a recess in the top nozzle 22. The instrumentation tube 20 is open at its bottom end 20A through the adapter plate 12A of the bottom nozzle 12. The flux measurements are taken by a flux thimble tube 42. The thimble tube 42 is inserted through a coolant flow-limiting seal device 43 attached and extending between a nozzle 44 on the core support plate (not shown) and the bottom nozzle adapter plate 12A, and therefrom into the instrumentation tube 20 through its open bottom end 20A. The thimble tube 42 runs upwardly through most of the entire length of the instrumentation tube 20. Coolant flow passes upward through an annulus 45 formed between the exterior surface 42A of the flux thimble tube 42 and the interior surface 20C of the instrumentation tube 20. Coolant enters this annulus 45 from the underside of the bottom nozzle adapter plate 12A. The coolant exits through the top end of the instrumentation tube 20B and through a bleed orifice 46 formed in the adapter plate 22A of the top nozzle 22. Coolant flow-induced vibration of the thimble tube 42 occurs due to the presence of radial clearance and lack of mechanical connection between the instrumentation and thimble tubes 20, 42. Vibration of the flux thimble tube 42 results in wall degradation and eventual perforation. Vibration-Reducing Features of the Present Invention Referring now to FIGS. 3-7, the present invention provides vibration reducing features for the instrumentation tube 20 in the form of mechanical elements, for instance as seen in FIG. 3, dimples 48 formed in the tube 20, such as by being bulged from the tube wall, so as to protrude or project radially inwardly toward the axis of the tube. The dimples 48 engage and constrain the flux thimble tube 42 within the instrumentation tube 20 such that it maintains physical contact with the interior surface 20C of the instrumentation tube 20 at the dimples 48 thereon. The dimples 48 are formed at a plurality of points being staggered, such as at 0 degrees and 180 degrees, on a single-diametral plane and spaced substantially throughout the length of the instrumentation tube 20. The dimples 48, preferably staggered on a pitch which is compatible with the fuel assembly grid pitch, induce a controlled elastic sinuous deflection of the thimble tube 42, as seen in FIG. 3. The obstructive configuration of the mechanical elements, i.e., dimples 48, and the sinusoidal thimble tube 42 inhibit coolant flow, however, this is negligible. The mechanical elements of the present invention can take other suitable forms. For instance, in FIGS. 4-6, the mechanical elements are in the form of cantilevered spring fingers 50 (only one being shown) formed in the instrumentation tube 20, such as by being cut lengthwise from of the instrumentation tube wall to project radially inwardly therefrom similar to the dimples 48. Preferably, in FIG. 7, the spring fingers 50 are located at the elevation of the grids 16. Then, the grid sleeve 52 will cover the hole in the instrumentation tube 20 created by cutting of the spring fingers 50 and hence prevent the jetting of coolant onto adjacent fuel rods 18. FIGS. 8-10 are longitudinal elevational views, in an enlarged exaggerated form, of instrumentation tubes having vibration-reducing features of still other configurations in accordance with the present invention. In these three embodiments, it's the configuration of the instrumentation tube itself which provides the mechanical elements that engage the flux thimble tube to reduce the aforementioned vibration. In FIG. 8, instrumentation tube 20a has an undulating longitudinal configuration which defines the mechanical elements so as to reduce the vibration of the flux tube when inserted therein. In FIG. 9, instrumentation tube 20b has a spiral configuration which defines the mechanical elements. And in FIG. 10, instrumentation tube 20c has a zig-zag configuration which defines the mechanical elements. The vibration-reducing features on the instrumentation tube 20 have the following advantages. First, vibration is minimized through the relatively small "beam" span with friction damping. Second, no new components are introduced; only existing parts are modified. Third, there is no impact on interfacing of fuel assembly components. Fourth., there is negligible effect on annular cooling flow rate. Fifth, there is no impact on skeleton design and fabrication. Sixth, there are no highly stressed components as a result of the features. Seventh, design parameters are not as critical for dimples 48 as they are for spring fingers 50, so the dimples are preferred. Eighth, the necessity to use the flow-limiting device 43 may be eliminated. Finally, the features are simple to manufacture and performance reliability is 100%. It is thought that the present invention and many of its attendant advantages will be understood from the foregoing description and it will be apparent that various changes may be made in the form, construction and arrangement thereof without departing from the spirit and scope of the invention or sacrificing all of its material advantages, the form hereinbefore described being merely a preferred or exemplary embodiment thereof.
description
Field The present disclosure relates to a method and apparatus for repairing a jet pump slip joint. Description of Related Art Boiling water reactors may include jet pumps having a slip joint feature in which flow through the pump is able to leak out of the slip joint. Leakage is limited to clearances between an inlet mixer and a diffuser. Leakage may result in leakage flow induced vibration, which leads to wear and degradation of the jet pump. At least one example embodiment relates to a method of repairing a jet pump slip joint. In at least one example embodiment, a method of repairing a jet pump slip joint includes removing an inlet mixer from a bore of a diffuser, machining an inner surface of the bore of the diffuser so as to remove damaged metal and form a substantially smooth inner surface, machining an outer surface of the inlet mixer so as to remove damaged metal from the inlet mixer and form a substantially smooth outer surface, and inserting a bushing in the bore of the diffuser. The bushing includes a grooved flange on an upper surface. The grooved flange is configured to receive a gripping portion of a clamp. The bushing includes an internal groove on an inner, bottom surface of the bushing. A seal is inserted in the internal groove of the bushing. In at least one example embodiment, the method may also include inserting the inlet mixer through the bushing and into the bore of the diffuser. The method may also include clamping the bushing to a collar of the diffuser such that the seal is compressed. The method may also include inserting the gripping portion of the clamp in the grooved flange of the upper surface of the bushing, and securing a gripping collar to the collar of the diffuser. In at least one example embodiment, the method may also include mounting a slip joint clamp around the bushing, the diffuser, and the inlet mixer. At least one example embodiment relates to a jet pump slip joint repair assembly. In at least one example embodiment, a jet pump slip joint repair assembly includes at least one clamp and a bushing configured to be inserted in a bore of a diffuser and to surround a portion of an inlet mixer. The clamp includes a gripping surface and a gripping collar. The bushing includes a generally cylindrical sidewall. The sidewall is configured to surround the portion of the inlet mixer. The bushing also includes a grooved flange on an upper surface of the sidewall. The grooved flange is configured to receive the gripping surface of the clamp. At least one cutout is between adjacent portions of the grooved flange. The at least one cutout is configured to receive at least one portion of a slip joint clamp. The bushing also includes a groove on an inner, bottom surface of the sidewall. The assembly also includes a seal in the groove. The seal is flexible and formed of a metallic material. The seal is configured to be compressed when the at least one clamp engages the bushing. In at least one example embodiment, the assembly includes at least two clamps. The at least two clamps apply at least about 2,000 pounds force to the bushing. When the bushing is inserted in the bore of the diffuser, a gap is formed between the grooved flange of the bushing and a top edge of the diffuser. In at least one example embodiment, the gripping surface extends from a gripping body and the gripping body is configured to be inserted in the gripping collar. The gripping body has a generally square-shaped cross-section so as to reduce rotation of the gripping body in relation to the gripping collar. In at least one example embodiment, the gripping body has a generally non-round cross-section so as to reduce rotation of the gripping body in relation to the gripping collar. At least one example embodiment relates to a jet pump slip joint. In at least one example embodiment, the jet pump slip joint includes a diffuser including a bore extending therethrough. An inner surface of the bore has a substantially smooth surface. The jet pump slip joint also includes a bushing inserted in the bore. The bushing includes a generally cylindrical sidewall, a grooved flange on an upper surface of the sidewall, at least one cutout between adjacent portions of the grooved flange, and a groove on an inner, bottom surface of the sidewall. The grooved flange is configured to receive the gripping surface of the clamp. The jet pump slip joint also includes a seal inserted in the groove of the bushing, an inlet mixer inserted into the sidewall of the bushing and the bore of the diffuser, and at least one clamp engaged with the diffuser and the bushing. The at least one clamp is configured to compress the seal. In at least one example embodiment, the seal is formed of a flexible, metallic material. In at least one example embodiment, the at least one clamp includes a gripping surface and a gripping collar. The gripping surface extends from a gripping body. The gripping body has a generally square-shaped cross-section so as to reduce rotation of the gripping body in relation to the gripping collar. In at least one example embodiment, the jet pump slip joint may also include a gap between the grooved flange of the bushing and a top edge of the diffuser. The jet pump slip joint may also include a slip joint clamp extending at least partially around the diffuser and the inlet mixer, at least a portion of the slip joint clamp positioned within the at least one cutout of the bushing. Some detailed example embodiments are disclosed herein. However, specific structural and functional details disclosed herein are merely representative for purposes of describing example embodiments. Example embodiments may, however, be embodied in many alternate forms and should not be construed as limited to only the example embodiments set forth herein. Accordingly, while example embodiments are capable of various modifications and alternative forms, example embodiments thereof are shown by way of example in the drawings and will herein be described in detail. It should be understood, however, that there is no intent to limit example embodiments to the particular forms disclosed, but to the contrary, example embodiments are to cover all modifications, equivalents, and alternatives falling within the scope of example embodiments. Like numbers refer to like elements throughout the description of the figures. It should be understood that when an element or layer is referred to as being “on,” “connected to,” “coupled to,” or “covering” another element or layer, it may be directly on, connected to, coupled to, or covering the other element or layer or intervening elements or layers may be present. In contrast, when an element is referred to as being “directly on,” “directly connected to,” or “directly coupled to” another element or layer, there are no intervening elements or layers present. Like numbers refer to like elements throughout the specification. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items. It should be understood that, although the terms first, second, third, etc. may be used herein to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers, and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer, or section from another region, layer, or section. Thus, a first element, component, region, layer, or section discussed below could be termed a second element, component, region, layer, or section without departing from the teachings of example embodiments. Spatially relative terms (e.g., “beneath,” “below,” “lower,” “above,” “upper,” and the like) may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It should be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the term “below” may encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly. The terminology used herein is for the purpose of describing various example embodiments only and is not intended to be limiting of example embodiments. As used herein, the singular forms “a,” “an,” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “includes,” “including,” “comprises,” and/or “comprising,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. Example embodiments are described herein with reference to cross-sectional illustrations that are schematic illustrations of idealized embodiments (and intermediate structures) of example embodiments. As such, variations from the shapes of the illustrations as a result, for example, of manufacturing techniques and/or tolerances, are to be expected. Thus, example embodiments should not be construed as limited to the shapes of regions illustrated herein but are to include deviations in shapes that result, for example, from manufacturing. Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which example embodiments belong. It will be further understood that terms, including those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein. During operation of a boiling water reactor, a slip joint of a jet pump may leak. The leaks may result in vibration, which leads to wear and degradation of the jet pump. A jet pump slip joint repair assembly may re-establish the slip joint to an improved operable condition. In at least one example embodiment, the jet pump slip joint repair assembly allows for continued use of an existing inlet mixer and/or diffuser. Moreover, the repair may be made and a bushing installed without disassembling and removing additional equipment. At least one example embodiment relates to a jet pump slip joint repair assembly. FIG. 1 is a perspective view of a jet pump slip joint repair assembly according to at least one example embodiment. In at least one example embodiment, a jet pump slip joint repair assembly 10 includes a diffuser 17. The diffuser 17 is a generally cylindrical pipe including a bore extending therethrough. The diffuser 17 also includes a diffuser collar 18 surrounding an opening in the diffuser 17, and a clamping ring 60 extending around an outer circumference of the diffuser 17. A bushing 12 is inserted in the bore of the diffuser 17, such that a top portion of the bushing 12 extends at least partially from the diffuser collar 18. The bushing 12 is also generally cylindrical and is sized and configured to fit snugly within the diffuser collar 18. In at least one example embodiment, an inlet mixer 22 is partially inserted into bushing 12 and the bore of the diffuser 17. The inlet mixer 22 is a generally cylindrical pipe having a smaller outer diameter than an inner diameter of the bushing 12 and the diffuser collar 18. In at least one example embodiment, the jet pump slip joint repair assembly 10 also includes at least one clamp 14. The jet pump slip joint repair assembly 10 may include two or more clamps (e.g., three clamps, four clamps, five clamps, or six clamps). In at least one example embodiment, the clamp 14 includes a gripping surface 40 and a gripping collar 42. The gripping surface 40 extends from a gripping body 45, which may be generally L-shaped. The shape of the gripping body 45, may be adjusted so that the gripping body 45 closely fits against an outer surface of the diffuser 17. A thickness of the gripping body 45 may vary along a length of the gripping body 45. In another example embodiment, the gripping body 45 has a substantially uniform thickness along a length thereof. A bolt 44 secures the gripping body 45 to the gripping collar 42. The gripping body 45 may have a generally square cross-section and may be received in a bore in the gripping collar 42 that also has a generally square cross-section. The square cross-section of the gripping body 45 and the bore of the gripping collar substantially prevent and/or reduce rotation of the securing portion 45. In at least one example embodiment, at least about 2000 pounds force is applied to the seal 20 (shown in FIG. 2 and FIG. 6) so as to compress the seal 20. The jet pump slip joint repair assembly 10 may include four clamps 14, each clamp applying at least about 500 pounds force to the seal 20. In at least one example embodiment, the gripping collar 42 is anchored to the clamping ring 60 of the diffuser 17. The gripping surface 40 of the clamp 14 engages a grooved flange 32 of the bushing 12. The clamp 14 is tightened via the bolt 44 to compress a seal 20 held in a seal groove 50 on an inner surface of the bushing 12 as shown in FIG. 6 and discussed below. In at least one example embodiment, once the clamp 14 is in place, a slip joint clamp 16 is positioned around the slip joint to further secure the slip joint. Portions of the slip joint clamp 16 fit within cutouts 30 in the bushing 12. The slip joint clamp 16 may be the existing slip joint clamp used at the slip joint prior to adding the repair assembly 10 according to at least one example embodiment. In at least one example embodiment, the bushing 12 and the clamp 14 are formed from stainless steel. Since stainless steel also forms many components of the jet pump, the use of stainless steel reduces concerns of thermal expansion once the slip joint is repaired. FIG. 2 is a cross-sectional view of the jet pump slip joint repair assembly of FIG. 1 according to at least one example embodiment. In at least one example embodiment, as shown in FIG. 2, the jet pump slip joint repair assembly 10 is generally the same as in FIG. 1, but the seal 20 is shown partially compressed in the seal groove 50 of the bushing 12 after the clamp 14 is secured to the bushing 12 and the clamping ring 60. In at least one example embodiment, the seal 20 is formed of a flexible, metallic material. The seal 20 aids in preventing and/or reducing leaks between the diffuser 17 and the bushing 12 during operation of the jet pump (not shown). The leakage between the inlet mixer 22 and the bushing 12 is controlled by close tolerance machining that reduces, to the extent possible, the gap between the inlet mixer and the bushing. In at least one example embodiment, when the bushing 12 is inserted in the bore of the diffuser 17, a gap 70 is formed between the grooved flange 32 of the bushing 12 and a top edge of the diffuser 17. Once the at least one clamp 14 is fully secured, the gap 70 is reduced in size and/or disappears. Thus, the gap 70 between the bushing 12 and the top edge of the diffuser 17 allows for visual assurance that full compression of the seal 20 is achieved. The seal groove 50 is sized such that when the bushing 12 bottoms out in the shoulder created in the diffuser collar 18, the seal 20 achieves full compression. If the gap 70 is closed, the seal 20 may not be fully compressed. FIG. 3 is an enlarged cross-sectional view of a diffuser collar according to at least one example embodiment. In at least one example embodiment, as shown in FIG. 3, an inner surface 19 of the diffuser collar 18 of the diffuser may be machined to form a substantially smooth, clean, and generally cylindrical surface. During vibration caused by leakage, the inner surface of the diffuser collar may become damaged. Thus, by machining the inner surface 19 of the diffuser collar 18, the damaged portion of the diffuser collar 18 may be removed so that the slip joint may be repaired. FIG. 4 is a side view of an inlet mixer according to at least one example embodiment. In at least one example embodiment, as shown in FIG. 4, an outer surface 24 of the inlet mixer 22 may be machined to create a clean, generally rounded surface. The machining removes damaged portions of the outer surface 24 to aid in preventing and/or reducing leaks. FIG. 5 is a perspective view of a bushing according to at least one example embodiment. In at least one example embodiment, as shown in FIG. 5, the bushing 12 includes a generally cylindrical sidewall 31. The sidewall 31 is configured to surround the portion of the inlet mixer as shown in FIGS. 1 and 2 as described above. The grooved flange 32 is formed on an upper surface of the sidewall 31. The grooved flange 32 is sized and configured to receive the gripping surface 40 of the clamp 14. In at least one example embodiment, the bushing 12 includes at least one cutout 30 between adjacent portions of the grooved flange 32. The at least one cutout 30 is sized and configured to provide clearance for portions of the slip joint clamp 16 and features attached to the top of the diffuser 17. The diffuser may include ears that aid in loading of the inlet mixer into the diffuser collar. The cutout 30 reduces and/or prevents interference between the ears and the bushing 12. The slip joint clamp 16 may be the original clamp provided about the slip joint 16 so as to reduce repair costs. FIG. 6 is an enlarged, cross-sectional view of a portion of the bushing of FIG. 5 according to at least one example embodiment. In at least one example embodiment, as shown in FIG. 6, the bushing 12 also includes the seal groove 50 on an inner, bottom surface of the sidewall 31. In at least one example embodiment, the grooved flange 32 has a generally U-shaped cross-section so as to receive the gripping portion 40 of the clamp 14. The gripping portion 40 may have a generally U-shaped profile so that the gripping portion 40 is nested in the grooved flange 32. In at least one example embodiment, the bushing 12, the seal 20, and the at least one clamp 14 could be sold and/or packaged as a repair kit for slip joint repair. At least one example embodiment relates to a method of repairing a jet pump slip joint. In at least one example embodiment, a method of repairing a jet pump slip joint includes removing a damaged inlet mixer from a bore of a diffuser. The method also includes machining an inner surface of the bore of the diffuser so as to remove damaged metal and form a substantially smooth inner surface, and machining an outer surface of the inlet mixer so as to remove damaged metal from the inlet mixer and form a substantially smooth outer surface. The method may also include inserting a bushing in the bore of the diffuser. The bushing includes a grooved flange on an upper surface. The grooved flange is configured to receive a gripping portion of a clamp. The bushing also includes an internal groove on an inner, bottom surface of the bushing. A seal is inserted in the internal groove of the bushing. In at least one example embodiment, the method may also include inserting the inlet mixer through the bushing and into the bore of the diffuser. The method may also include clamping the bushing to a collar of the diffuser such that the seal is compressed. The method may also include inserting the gripping portion of the clamp in the grooved flange of the upper surface of the bushing, and securing a gripping collar to the collar of the diffuser. In at least one example embodiment, the method may also include mounting a slip joint clamp around the bushing, the diffuser, and the inlet mixer. The foregoing description of the embodiments has been provided for purposes of illustration and description. It is not intended to be exhaustive or to limit the disclosure. Individual elements or features of a particular embodiment are generally not limited to that particular embodiment, but, where applicable, are interchangeable and can be used in a selected embodiment, even if not specifically shown or described. The same may also be varied in many ways. Such variations are not to be regarded as a departure from the disclosure, and all such modifications are intended to be included within the scope of the disclosure.
summary
claims
1. A collimator for adjusting an X-ray beam, comprising:an up-and-down adjustment mechanism;a left-and-right adjustment mechanism;a supporting member with upper and lower wall surfaces, upper and lower sliding stops, left and right wall surfaces, and left and right sliding stops; andan adjusting plate connected with the supporting member, wherein:the up-and-down adjustment mechanism comprises upper and lower first rotating nuts, upper and lower moving leading screws each threadedly connected with a respective one of the first rotating nuts, and first upper and lower limiting gland covers, wherein each of the moving leading screws is connected with a respective one of the upper and lower sliding stops to drive the upper and lower sliding stops, respectively, to vertically move, and the first upper and lower limiting gland covers are each fixed to a respective one of the upper and lower wall surfaces and confine the respective first rotating nuts to rotate within the respective first limiting gland covers; andthe left-and-right adjustment mechanism comprises left and right second rotating nuts, and left and right horizontally moving leading screws each connected with a respective one of the second rotating nuts, wherein each of the horizontally moving leading screws is connected with a respective one of the left and right sliding stops to drive the left and right sliding stops, respectively, to horizontally move. 2. The collimator for adjusting an X-ray beam according to claim 1, characterized in that:the left-and-right adjustment mechanism further comprises left and right second limiting gland covers that are each fixed to a respective one of the left and right wall surfaces of the supporting member and confine the respective second rotating nuts to rotate within the respective second limiting gland cover. 3. The collimator for adjusting an X-ray beam according to claim 1, characterized in that:each of the upper and lower moving leading screws is provided at ends thereof with opening grooves, and the opening grooves are each connected with a respective one of the upper and lower sliding stops in longitudinal sliding slots provided in the supporting member. 4. The collimator for adjusting an X-ray beam according to claim 1, characterized in that:each of the upper and lower horizontally moving leading screws is provided at ends thereof with abutting surfaces, and the abutting surfaces are each connected with a respective one of the left and right sliding stops of the left-and-right adjustment mechanism provided in the supporting member. 5. The collimator for adjusting an X-ray beam according to claim 1, characterized in that:the first rotating nuts and second rotating nuts are marked with scales and numerals. 6. The collimator for adjusting an X-ray beam according to claim 5, characterized in that:the upper and lower moving leading screws and the upper and lower horizontally moving leading screws are marked at ends thereof with scales and numerals corresponding to the first rotating nuts and the second rotating nuts. 7. The collimator for adjusting an X-ray beam according to claim 1, characterized in that:a fixed stop is provided behind the upper and lower sliding stops of the supporting member. 8. The collimator for adjusting an X-ray beam according to claim 1, characterized in that:the upper and lower sliding stops and the left and right sliding stops are made of tungsten alloy or lead alloy. 9. An adjustment device for adjusting a collimator, comprising:an up-and-down adjustment mechanism;a left-and-right adjustment mechanism; anda supporting member with upper and lower wall surfaces, upper and lower sliding stops, left and right wall surfaces, and left and right sliding stops; wherein:the up-and-down adjustment mechanism comprises upper and lower first rotating nuts, upper and lower up-and-down moving leading screws each threadedly connected with a respective one of the first rotating nuts, and upper and lower first limiting gland covers, wherein each of the moving leading screws is connected with a respective one of the upper and lower sliding stops to drive the upper and lower sliding stops, respectively, to vertically move, and the upper and lower first limiting gland covers are each fixed to a respective one of the upper and lower wall surfaces and confine the respective first rotating nuts to rotate within the first limiting gland covers; andthe left-and-right adjustment mechanism comprises left and right second rotating nuts, and left and right horizontally moving leading screws each connected with a respective one of the second rotating nuts, wherein each of the horizontally moving leading screws is connected with a respective one of the left and right sliding stops to drive the left and right sliding stops, respectively, to horizontally move. 10. The adjustment device for adjusting a collimator according to claim 9, characterized in that:the left-and-right adjustment mechanism further comprises left and right second limiting gland covers that are each fixed to a respective one of the left and right wall surfaces of the supporting member and confine the respective second rotating nuts to rotate within the respective second limiting gland cover. 11. The adjustment device for adjusting a collimator according to claim 9, characterized in that:each of the up-and-down moving leading screws is provided at ends thereof with opening grooves, and the opening grooves are each connected with a respective one of the upper and lower sliding stops provided in a longitudinal sliding slot of the supporting member. 12. The adjustment device for adjusting a collimator according to claim 9, characterized in that:each of the horizontally moving leading screws is provided at ends thereof with abutting surfaces, and the abutting surfaces are each connected with a respective one of the left and right sliding stops provided in the supporting member. 13. The adjustment device for adjusting a collimator according to claim 9, characterized in that:the first rotating nuts and second rotating nuts are marked with scales and numerals. 14. The adjustment device for adjusting a collimator according to claim 13, characterized in that:each of the up-and-down moving leading screws and each of the horizontally moving leading screws are marked at ends thereof with scales and numerals corresponding to the first rotating nuts and the second rotating nuts. 15. The adjustment device for adjusting a collimator according claim 9, characterized in that:a fixed stop is provided behind the upper and lower sliding stops of the supporting member. 16. The adjustment device for adjusting a collimator according claim 9, characterized in that:the upper and lower sliding stops and the left and right sliding stops are made of tungsten alloy or lead alloy.
047643390
summary
BACKGROUND OF THE INVENTION This invention relates generally to a nuclear research reactor, and more particularly to an ultra high thermal neutron flux reactor. High flux research reactors are presently used for a variety of research and testing purposes, including neutron beam research, isotope production, and materials testing. The current state of the art reactors produce flux levels of about 10.sup.15 n/cm.sup.2 s. Production of these flux levels requires generation of core power densities of 2-3 MW/L. Table 1 lists the performance characteristics of current generation, high flux research and test reactors. These reactors include the High Flux Reactor at Institut Lane Langein (ILL) (Grenoble), the High Flux Beam Reactor at Brookhaven National Laboratory (BNL), the High Flux Isotope Reactor at Oak Ridge National Laboratory (ORNL) and the Advanced Test Reactor at Idaho National Engineering Laboratory (INEL). TABLE I ______________________________________ Operating Characteristics of Research Reactors HFR HFBR HFIR ATR (ILL) (BNL) (ORNL) (INEL) ______________________________________ Power (MW) 57 60 100 250 Coolant D.sub.2 O D.sub.2 O H.sub.2 O H.sub.2 O Reflector D.sub.2 O D.sub.2 O Be Be Core volume (L) 46 100 50.9 275 Average power density 1.14 0.60 1.96 0.92 (MW/L) Peak power density -- 2.1 3.1 3.5 (MW/L) Peak surface heat flux 5.0 4.2 3.9 7.0 (MW/m.sup.2) Peak unperturbed thermal 1.5 1.05 1.3 0.85 flux (10.sup.15 n/(cm.sup.2 s) ______________________________________ There is currently an identified need within the research community for an advanced steady-state neutron source with a flux level ten times that of the existing sources. The key to meeting this identified need is the production of a thermal neutron flux of at least 10.sup.16 n/cm.sup.2 s in an ex-core environment which is suitable for a large number of research instruments including hot neutron sources (high temperature graphite) and cold neutron sources (cryogenic sources to scatter neutrons to low energies), neutron beam and guide tubes, materials and irradiation testing, and isotope research. Production of high values of neutron flux requires high fission rate densities (and therefore, high power densities). To obtain an order of magnitude increase in neutron flux, approximately an order of magnitude is required in fission source (power) density compared with current generation high flux research reactors. Small incremental performance gains can be made by flattening the core power distribution with a combination of heavy water coolant and finer gradations in fuel loading, increasing the coolant flow and pressure, and making the core more compact, but these alone will not permit the core power density to be increased sufficiently to produce the desired 10.sup.16 n/cm.sup.2 s flux. Small, compact core volumes are highly desirable to keep the total reactor power as low as possible. The required high core power density results in relatively high heat flux values which present a major challenge to cooling the core. Operation at ultra-high core power densities produces high hot-stripe coolant and fuel plate temperatures, which may exceed critical heat flux and flow instability safety limits. These conditions are a function of coolant mass flow rate, coolant and plate temperatures, surface heat flux conditions, coolant channel geometry and characteristics, and coolant pressure. Critical heat flux is a local cooling disruption that usually occurs at the point of highest heat flux. Flow instability is the process in which boiling in a thin channel produces a transient flow condition that can proceed to fuel plate burnout. Flow instability is linked to the coolant temperatures produced by a hot-stripe along the length of a coolant channel to which poorly mixed coolant flow is exposed. Further, high temperature core conditions lead to the buildup of a thermal-insulating aluminum oxide layer on the fuel plate, which ultimately could lead to the fuel exceeding its melting limits. Therefore in view of these limitations, attainment of the 10.sup.16 n/cm.sup.2 s flux goal cannot be accomplished by a straightforward extrapulation of current technology. An additional disadvantage to conventional high flux research reactors is that the neutron beam tubes must be orientated tangentially to the core. This tangential orientation is required to prevent exposing the field-of-view of the beam tubes to fast neutrons and gammas produced in the core. It is desirable to have direct radial beam access to the high flux environment. Direct radial beam orientation allows more beams to be packed around the core, and exposes less structural tube material to the high flux environment than tangential orientation. A partial split core arrangement is utilized in the National Bureau of Standards Reactor to help reduce fast neutron and gamma background in the beams. Therefore, in view of the above, it is an object of the present invention to provide a nuclear reactor capable of producing an ultrahigh thermal neutron flux. It is a further object of the present invention to provide a reactor capable of producing an ultrahigh thermal neutron flux intensity in an environment accessable to a large number of instruments. It is another object of the present invention to provide a reactor capable of producing an ultrahigh neutron flux which is also capable having neutron beam lines radially orientated toward the reactor. It is still another object of the present invention to provide an ultrahigh neutron flux reactor wherein the oxide formation on the fuel plates is held below the levels experienced in present reactors. It is still a further object of the present invention to provide a nuclear reactor having high fission rate densities and high power densities while maintaining the total reactor power as low as possible. Additional objects, advantages and novel features of the invention will be set forth in part in the description which follows, and in part will become apparent to those skilled in the art upon examination of the following or may be learned by practice of the invention. The objects and advantages of the invention may be realized and attained by means of the instrumentalities and combination particularly pointed out in the appended claims. SUMMARY OF THE INVENTION To achieve the foregoing and other objects and in accordance with the purposes of the present invention, as embodied and broadly described herein, the high flux reactor of this invention may comprise a core which is divided into two symetrical segments, with each of the segments being housed in a pressure vessel. Each of the core segments includes at least one radial fuel plate. The spacing between the plates forms a channel for the flow of a reactor coolant. The pressure vessel has a coolant inlet at one end and a coolant outlet at the other end. The core segments are spaced axially apart, in a fixed position, from each other such that a coolant mixture plenum is formed between them. Means are provided such that a portion of the coolant passes from the coolant inlet to the coolant mixing plenum without passing through the first core segment. The outlet coolant from the first core segment is mixed in the central mixing plenum with bypass coolant that has passed between the upper core segment and the reactor vessel. This mixes the hot-stripe outlet coolant and results in a lower inlet temperature to the lower core segment.
claims
1. A radiation source configured to generate radiation, comprising:a first electrode and a second electrode configured to produce an electrical discharge during use to generate radiation-emitting plasma from a plasma fuel;a fuel supply configured to supply a plasma fuel to a fuel release area that is associated with the first electrode and the second electrode; anda fuel release configured to induce release of fuel, supplied by the fuel supply, from the fuel release area, the fuel release area being spaced-apart from the first electrode and from the second electrode, wherein the first electrode and the second electrode form anodes and the fuel supply is an electrical discharge cathode, or wherein the first electrode and the second electrode form cathodes and the fuel supply is an electrical discharge anode. 2. The source according to claim 1, further comprising a drive configured to rotate the first electrode or the second electrode or the fuel supply, or any combination thereof. 3. The source according to claim 2, wherein the drive is configured to rotate the first electrode and the second electrode. 4. The source according to claim 1, wherein the fuel supply comprises or is part of a fuel transport system configured to transport fuel from a fuel reservoir to the fuel release area. 5. The source according to claim 4, wherein the fuel transport system is configured to transport fuel from the fuel reservoir to the fuel release by way of rotation. 6. The source according to claim 1, wherein the fuel supply is not part of the first electrode or the second electrode, wherein the fuel supply is preferably not part of any of the first electrode and the second electrode. 7. The source according to claim 6, wherein the fuel supply is configured to position the fuel release area in a symmetrical relationship with respect to the electrical discharge area of the first electrode and the second electrode. 8. The source according to claim 1, further comprising a first cooling bath to cool the first electrode or the second electrode or the fuel supply, or any combination thereof. 9. The source according to claim 1, wherein the fuel supply is a rotating wheel, or is a rotationally symmetrical or cylindrical fuel supplying unit, or is connected to a high voltage electrical power source, or any combination thereof. 10. A method to generate radiation, comprising:providing a first electrode and a second electrode;transporting fuel to a fuel release area that is spaced-apart from the first electrode and the second electrode with a fuel supply;inducing release of the fuel from the fuel release area towards an electrical discharge path associated with the first electrode and the second electrode; andgenerating an electrical discharge to generate radiation-emitting plasma from fuel that has been released from the fuel release area, wherein the fuel supply is a third electrode, wherein electrical discharges are evoked between each of the first and second electrode on one hand and the third electrode on the other hand. 11. The method according to claim 10, wherein the electrical discharge is being generated between the first and second electrode, and not via the fuel supply. 12. The method according to claim 10, including positioning the electrodes relative to each other so that, in use, discharge paths extending between the electrodes are substantially curved so as to create a force that compresses the radiation-emitting plasma. 13. The method according to claim 10, including positioning the electrodes relative to each other so that, in use, discharge paths extending between the electrodes are substantially along a straight line. 14. The method according to claim 10, wherein at least part of at least one of the electrodes is rotating or continuously moving through a heat removing medium. 15. The method according to claim 10, wherein the fuel supply is rotating or continuously moving through a heat removing medium. 16. A lithographic apparatus comprising:a radiation source configured to generate radiation, the radiation source comprisinga first electrode and a second electrode configured to produce an electrical discharge during use to generate radiation-emitting plasma from a plasma fuel,a fuel supply configured to supply a plasma fuel to a fuel release area that is associated with the first electrode and the second electrode, anda fuel release configured to induce release of fuel, supplied by the fuel supply, from the fuel release area, the fuel release area being spaced-apart from the first electrode and from the second electrode, wherein the first electrode and the second electrode form anodes and the fuel supply is an electrical discharge cathode, or wherein the first electrode and the second electrode form cathodes and the fuel supply is an electrical discharge anode;a patterning device configured to pattern the radiation; anda projection system configured to project the patterned radiation onto a target portion of a substrate.
060375175
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS Referring to FIG. 1 a waste treatment apparatus 10 includes a molten metal reactor 11 and an electromagnetic field generating arrangement including secondary coil 12. The waste treatment apparatus 10 also includes at least one radiation absorbing module. FIG. 1 shows a form of the invention having a first radiation absorbing module 15 and a second radiation absorbing module 16. As will be discussed in detail below with reference to FIGS. 2 and 3, the field generating arrangement 17 including coil 12 produces a unidirectional electromagnetic field extending through the reactant metal 18 in the molten metal reactor and target areas in which radiation absorbing modules 15 and 16 are located. The electromagnetic field directs or deflects beta particles toward the radiation absorbing modules 15 and 16, and particularly the first module 15. Molten metal reactor 11 includes a reaction chamber 20 having a suitable vessel for containing molten reactant metal 18. Reactor chamber 20, and particularly the reactant metal containment vessel associated therewith, preferably has a generally circular shape. Reactor 11 also includes a drain arrangement (not shown) by which molten metal from the reactor may be drained to form ingots. As set out in U.S. patent application Ser. No. 09/096,617, the ingots serve to encapsulate the radioactive waste materials which collect in the molten reactant metal. Reactor 11 also includes a heating arrangement 21 for heating the reactant metal 18 to the molten state and maintaining the reactant metal in the molten state in a desired reaction temperature range between around 800 degrees Celsius to around 900 degrees Celsius. Heating arrangement 21 includes a heating chamber 22 and burners 23. Although the illustrated form of invention shown in FIG. 1 includes gas-fired heaters, those skilled in the art will readily appreciate that any suitable heating devices may be employed for heating the reactant metal and maintaining the reactant metal in the desired molten state. In any event, molten metal reactor 11 also preferably includes a circulating arrangement including stirrer 26 for circulating the molten reactant metal 18 within reaction chamber 20 and between the reaction chamber and heating chamber 22. The preferred circular shape of the reaction chamber 20 facilitates good molten metal circulation throughout the chamber. Also, both chambers 20 and 22 are sealed to isolate the molten reactant metal 18 from oxygen, and the reactor may include a purging system (not shown) for purging the reactor of air prior to operation. All of the components of the reactor 11 shown in FIG. 1, including the reaction and heating chambers 20 and 22, respectively, may be made from any suitable material which maintains sufficient strength at the operating temperatures of the reactor. All metals which come in contact with the molten reactant metal 18 are coated with a suitable ceramic or other protective material (not generally shown in the figures) for protecting the metal from the molten reactant metal. Any suitable protective material, such as a fused silicate for example, may be used for protecting metals in the apparatus 10 which may be exposed to the reactant metal 18. The bottom of reaction chamber 20 and the associated heating chamber 22 is preferably lined with a thick layer 25 of alumina bricks. Molten metal reactor 11 also preferably includes a waste material input arrangement 28 for introducing waste materials into the reaction chamber 20, and a reaction product recovery arrangement, including a reaction chamber vent 30, for recovering reaction products from the reactor. As shown in FIG. 1, input arrangement 28 includes an input chamber 33 with an exterior air lock door 34 and an interior air lock door 35. Waste material (not shown) is first loaded into the input chamber 33 through the exterior door 34 and then the exterior door is closed. Interior door 35 may then be opened to introduce the waste material into reaction chamber 20. Once the waste material is introduced into reaction chamber 20, a dunking or submerging member 36 may be advanced downwardly by a suitable actuator 37 to submerge the waste material into the molten reactant metal 18. Those skilled and the art will readily appreciate that the waste material input arrangement 28, illustrated diagrammatically in FIG. 1, is shown simply for purposes of describing the invention. Many alternative arrangements may be employed for introducing waste material into reaction chamber 20 while minimizing the introduction of oxygen into the reaction chamber. Alternative arrangements which introduce waste materials in discrete batches such as the illustrated arrangement, as well as continuous waste material introducing arrangements, are well within the scope of those skilled in the art and are to be considered equivalents to the input arrangement 28 shown in the FIG. 1. A large fraction of the reaction products produced by the molten metal reactor 11 are removed from the reactor through reaction chamber vent 30. Organic materials included in the waste materials introduced into reaction chamber 20 react with the molten reactant metal 18 to produce gaseous carbon, elemental gases such as hydrogen and nitrogen, and metal salts which may be an either solid or gaseous form. All of the gaseous products including gaseous carbon, hydrogen, nitrogen, and gaseous metal salts exit reaction chamber 20 through the reaction chamber vent 30. Although not shown in FIG. 1, the gaseous reaction products may be passed through an aqueous scrubber to produce a metal salt solution containing carbon particles. The carbon particles may then be removed from the salt solution by a suitable solids separator and then the water evaporated from the salt solution to recover the metal salts. Solid reaction products produced in the reaction between waste material constituents and reactant metal 18 segregates to the surface of the molten reactant metal. Reactor 11 also preferably includes a solids removal system for removing solids which rise to the surface of the molten metal 18; however, the solids removal arrangement is omitted from the figures so as not to obscure the invention in unnecessary detail. Those skilled in the art will appreciate that any suitable arrangement may be employed for removing solid reaction products from the molten metal reactor 11. The invention is not limited to any particular solids removal arrangement. The embodiment of the invention shown in FIG. 1 also includes a reactant metal input 47 for introducing additional reactant metal or reactant metal components into the system. The reactant metal input 47 includes exterior and interior air lock doors, 48 and 49 respectively, and a pre-heating chamber 50 having a separate burner 23. The separate burner 23 heats the newly introduced metal to a molten state in which it may flow into the heating chamber 22 of the molten metal reactor 11. The illustrated reactant metal input arrangement 47 is shown only for purposes of example and convenience in describing the invention. Any suitable arrangement may be used to add additional reactant metal or reactant metal constituents to the reactor and such alternatives are to be considered equivalents to the illustrated arrangement. Referring to FIGS. 2 and 3, the field generating arrangement 17 includes the secondary induction coil 12 and a voltage supply 55 for inducing a field generating current through the coil. Voltage supply 55 preferably comprises a step-up transformer for producing a high voltage signal to be applied to secondary induction coil 12. The voltage signal produced by the preferred transformer is rectified by suitable means (not shown) so that current is induced only in a single direction in secondary induction coil 12. This unidirectional current flow through secondary induction coil 12 produces the desired unidirectional electromagnetic field through molten reactant metal 18. Voltage supply 55 may induce a current on the order of 10,000 amps, for example, through secondary induction coil 12, to produce a very strong electromagnetic field through molten reactant metal 18. Also, the preferred voltage supply 55 applies a pulsed voltage signal to secondary induction coil 12 rather than a continuous voltage signal. The voltage signal may be pulsed at a frequency of between 1 to 30 kHz. The preferred voltage pulse frequency is approximately 10 kHz. Higher frequencies increase the energy applied to the molten reactant metal 18 through the electromagnetic field. FIG. 3 shows one preferred secondary induction coil 12 made up of a tubular conductor 52 which may be copper for example. In this illustrated form of the invention, coil 12 comprises a single elongated helical coil. Secondary induction coil 12 is fixed on a suitable structure 54 within reactor chamber 20, and the entire coil and associated support structure is encased in a suitable protective material 53 similarly to other metal components of reactor 11. Protective material 53, which may comprise a ceramic material or fused silicate, protects the coil material 52 and its support structure 54 from the molten reactant metal 18 in reactor chamber 20. It will be appreciated that coil 12 need not be mounted within the reaction chamber 20 itself to apply the desired electromagnetic field. In other forms of the invention, secondary induction coil 12 may be located outside of the reaction chamber. In this form of the invention, the wall of the reaction chamber protects the coil material from the reactant metal alloy. Thus the coil need not be encased in the protective material. FIGS. 2 and 3 show the induced electromagnetic field having lines of force F in the direction indicated by arrows D. Referring to FIG. 2, module 15 is preferably positioned generally in an area at which the electromagnetic field strength is greatest. In any event, the electromagnetic field traverses both the molten reactant metal 18 and module 15. Negatively charged beta particles emitted in the area of the field are directed or deflected in direction D. The electromagnetic field directs beta particles emitted generally in the area E toward module 15 where the particles may be absorbed by the radiation absorbing material included in the module. Also, the electromagnetic field produces a pumping or circulating effect in the molten reactant metal 18 in the direction of arrows D. As shown in FIG. 2, field generating arrangement 17 includes a cooling system 60 for cooling each coil 12. The preferred cooling system 60 is similar to systems used to cool the induction coils of an induction furnace and includes a supply 61 of coolant fluid such as water, a suitable pump 62, and a heat exchanger 63. Pump 62 operates to circulate coolant fluid from coolant fluid supply 61 through supply tube 64 and to the tubular conductor 54 from which coil 12 is formed. Heat exchanger 63 cools the coolant fluid after the fluid passes through the coil 12. Referring to FIGS. 1 and 2, the radiation in absorbing modules 15 and 16 are each positioned in a target area which is traversed by the electromagnetic field. The primary or first radiation absorbing module 15 is positioned in a first target area which preferably comprises an area where the electromagnetic field strength is greatest. In the embodiment of the invention shown in FIG. 1, first radiation absorbing module 15 is mounted on a positioning arrangement 65 for positioning the module at the desired location. Positioning arrangement 65 includes a support 66 and a suitable actuator 67 for moving the module 15 along axis L. The preferred positioning arrangement 65 may also be operable to withdraw first radiation absorbing module 15 from the reaction chamber 20 so that the module may be replaced readily. The second radiation absorbing module 16 is positioned in a recess 70 formed in the alumina brick floor of reaction chamber 20. This second radiation absorbing module 16 serves to protect the flooring material by absorbing beta emissions which are deflected toward the floor of the chamber by the electromagnetic field. Each radiation absorbing module 15 and 16 includes at least one radiation absorbing material. The radiation absorbing material absorbs the beta particles emitted from the radioactive waste being treated and also absorbs gamma photons which may be emitted as the beta particles are absorbed. In the preferred form of the invention the radiation absorbing material in each radiation absorbing module 15 and 16 comprises a plurality of layers of tungsten 75 and lead 76. Each layer of tungsten 75 is separated from the adjacent tungsten layer by one of the layers of lead 76. Since lead will go into a molten in state at the preferred temperature of the molten reactant metal 18, each module also preferably includes a spacing arrangement for maintaining the spacing between the tungsten layers 75. The preferred spacing arrangement comprises extension members 77 extending from the tungsten layers 75. The extension members 77 may be integrally formed with the tungsten layers 75 or may be separate pieces of tungsten or other high melting point material. The tungsten 75 and lead 76 layers are preferably at least one millimeter thick and are preferably on the order of about two inches thick. Also, each layer 75 and 76 may comprise a circular disk, although any shape may be employed to provide sufficient radiation absorbing material to safely absorb the predicted beta particle emissions. Each module 15 and 16 also includes a layer of protective material 80. Protective material 80 protects the radiation absorbing material included in the module (the tungsten 75 and lead 76 in the illustrated embodiment) from direct contact with the molten reactant metal 18. Any suitable ceramic or other material which is substantially transparent to the electromagnetic field may be used as the protective material 80. For example, the protective material 80 may comprise a fused silica material. The preferred reactant metal 18 includes primarily aluminum and may include relatively small amounts of iron, copper, zinc, and calcium. Alternatively, or in addition to the aluminum, the reactant metal may include magnesium and/or lithium. The reactant metal 18 also preferably includes materials for absorbing alpha, beta, and gamma radiation such as lead and tungsten. Cadmium, palladium, dysprosium, and/or europium may also be included in the reactant metal 18 for absorbing neutrons which may be released from the decaying radioactive material. For example, the reactant metal may include 40-92% aluminum, 1-60% magnesium, 1-50% lithium, 1-30% cadmium, 1-30% palladium, 1-5% dysprosium, 1-3% europium, 1-40% lead, and 1-30% tungsten, all expressed as a percentage by weight. The particular makeup of the reactant metal preferably depends upon the constituents of the incoming waste material. For example, one preferred reactant metal 18 may comprise an alloy containing approximately 60% aluminum, 5% magnesium, 5% lithium, 3% cadmium, 3% palladium, 2% dysprosium, 10% lead, and 10% tungsten, by weight. The only requirements of the reactant metal 18 are that it be capable of rapidly reacting with the organic materials in the waste materials and contain sufficient radiation absorbing materials to absorb a substantial portion of the radioactive emissions during long term storage. The method according to the invention includes first placing the reactant metal in a molten state and substantially isolating the molten reactant metal 18 from oxygen. These steps may be accomplished with the molten metal reactor 11 shown in FIG. 1. The waste treatment method also includes producing the unidirectional electromagnetic field through the molten reactant metal 18 and through at least one target area such that the electromagnetic field directs negatively charged beta particles toward the target area. With the molten reactant metal 18 in the desired molten state and the electromagnetic field traversing the molt metal, the treatment method further includes introducing the waste material into the molten reactant metal and preferably circulating the molten reactant metal to direct radioactive constituents of the waste material into the area of the molten reactant metal which is traversed by the electromagnetic field. The radiation absorbing modules 15 and 16, and particularly the first radiation absorbing module 15 intercepts the electromagnetic field in the first target area and also intercepts beta particles which have been directed toward the first target area by the electromagnetic field. Thus the radiation absorbing material in the modules 15 and 16 absorbs the beta radiation without being exposed directly to the radioactive material which is now alloyed with the reactant metal 18. The modules 15 and 16 may be replaced as required to provide fresh radiation absorbing material. The useful life of a particular radiation absorbing module, and thus the replacement time for the module, may be estimated from the mass of the radiation absorbing materials included in the module and the predicted beta particle emissions from radioactive waste materials added to the reactor. The above described preferred embodiments are intended to illustrate the principles of the invention, but not to limit the scope of the invention. Various other embodiments and modifications to these preferred embodiments may be made by those skilled in the art without departing from the scope of the following claims.
description
This application claims the priority of U.S. provisional application Ser. No. 60/592,252, filed Jul. 28, 2004 and having the title of “Method and apparatus for in-situ probe tip replacement inside a charged particle beam microscope.” The present application is related to U.S. patent application Ser. No. 10/896,596, filed Jul. 22, 2004, and having the title of: “Method and apparatus for rapid sample preparation in a focused ion beam microscope,” which application is incorporated by reference into the present application. This disclosure relates to the removal of specimens inside focused ion-beam (FIB) microscopes and the preparation of specimens for later analysis in the transmission electron microscope (TEM), and apparatus to facilitate these activities. The use of focused ion-beam (FIB) microscopes has become common for the preparation of specimens for later analysis in the transmission electron microscope (TEM). The structural artifacts, and even some structural layers, in the device region and interconnect stack of current integrated-circuit devices can be too small to be reliably detected with the secondary electron imaging in a Scanning Electron Microscope (SEM), or FIB, which offers a bulk surface imaging resolution of approximately 3 nm. In comparison, TEM inspection offers much finer image resolution (<0.1 nm), but requires electron-transparent (<100 nm thick) sections of the sample mounted on 3 mm diameter grid disks. The in-situ lift-out technique is a series of FIB milling and sample-translation steps used to produce a site-specific specimen for later observation in a TEM or other analytical instrument. Details on methods of in-situ lift-out may be found in the specifications of U.S. Pat. Nos. 6,420,722 and 6,570,170. These patent specifications are incorporated into this application by reference, but are not admitted to be prior art with respect to the present application by their mention in the background. The process of in-situ lift-out can be simplified into three successive steps. The first is the excision of the lift-out sample using focused ion-beam milling and extraction of the lift-out sample from its trench. The second is the holder-attach step, during which the lift-out sample is translated on the probe tip point to the TEM sample holder. Then it is attached to the TEM holder (typically with ion beam-induced metal deposition) and later detached from the probe tip point. The third and final step is the thinning of the lift-out sample into an electron-transparent thin section using focused ion beam milling. However, for in-situ lift-out to be practical for large-wafer FIB chambers or for high-volume TEM sample preparation, the nano-manipulator probe tips must be replaceable without the need to vent the vacuum chamber. Venting of the FIB vacuum chamber is time consuming. It disables the FIB during the venting and re-pumping cycle, and can eventually degrade the performance of the FIB if repeated often. Removing and replacing the entire nano-manipulator probe shaft or nano-manipulator mechanism by way of a vacuum airlock on the FIB chamber is not preferred, because the use of the airlock mechanism adds the extra risk of accidental chamber venting, and because this would require direct access by the user to the periphery of the FIB vacuum chamber. This practice is strongly discouraged by manufacturers of large-wafer in-line FIB's. There is a need for a method and apparatus for handling one or more sample-tip assemblies without the need for venting the vacuum chamber to exchange probe tips. The proposed methods and apparatus disclosed here offer the benefits of dramatically reduced cycle time for in-situ lift-out and improved usage of in-line (within the process control flow) and off-line (external to the process control flow) analytical tools. We disclose a gripper for delivering nano-manipulator probe tips inside a vacuum chamber. The gripper includes an outer tube; a compression cylinder inside of and coaxial with the outer tube; and at least one elastic ring adjacent to the compression cylinder. Preferably, there are two such elastic rings. There is a vacuum seal coaxial with the compression cylinder for receiving and sealing against a probe tip. An actuator is connected to the compression cylinder for compressing the elastic ring and causing it to grip the probe tip. Thus the probe tip can be gripped, transferred to a different location in the vacuum chamber, and released there. Typically, the probe tips manipulated by the gripper will have samples attached to them, and these samples will be transferred to a TEM sample holder. We disclose a TEM sample holder for holding a probe tip with an attached sample. The TEM sample holder includes a bar having opposed ends; an arm attached to each opposed end of the bar; one or more slots for receiving a probe tip; and, each slot having an inner part and an outer part, where the inner part is smaller than the outer part. In different embodiments, the slots may have a flexible tab at the entrance to the outer part, for retaining the probe tip; or, a constriction at the border between the inner part and the outer part of the slot; or, the border between the inner part and the outer part of the slot has a connection strip across it. In a further embodiment the TEM sample holder slot has an area of UV-curable epoxy for retaining a probe tip with an attached sample. The TEM sample holders just described are inserted into a carrier cassette, for removal from the vacuum chamber. A cassette for transferring one or more TEM sample holders comprises a platform; at least one bar extending upwardly from the platform; the bar having a groove; and the groove receiving and holding a TEM sample holder. The cassette may also have a die; the die being received by the groove, and the die receives and holds a TEM sample holder. In another embodiment, the cassette has a platform; at least one bar extending upwardly from the platform; the bar having a slot for receiving a probe tip; and the slot has an inner part and an outer part, where the inner part is smaller than the outer part. We further disclose a magazine for holding one or more probe tips The magazine includes a rod supporting the magazine and concentric with it, and a cartridge. The cartridge has a plurality of longitudinal openings for receiving probe tips, and a shaft concentric with the cartridge. The shaft engages the rod. There is a cover for the cartridge connected to a bearing concentric with the rod. A end cover is attached to the magazine. There is a first alignment hole in the magazine and a second alignment hole in the cartridge cover, so that the cartridge is closed for selectively releasing a probe tip when the alignment holes are aligned with one another and with one of the longitudinal openings in the cartridge. We also disclose methods of using the above-described apparatus to deliver a nano-manipulator probe tip into and out of a FIB. We disclose a novel method and apparatus for the nano-manipulator probe tip exchange. In the preferred embodiment, this mechanical process is performed using a nano-manipulator for vacuum applications. The method and apparatus provide for probe tip exchange without venting the vacuum chamber of the FIB. A suitable nano-manipulator system is the Omniprobe AutoProbe, manufactured by Omniprobe, Inc., of Dallas, Tex. The preferred embodiment includes a nano-manipulator probe shaft with an automated gripper mechanism; a modified probe tip, a probe tip with two metallic collars welded to it; a set of cassettes for bringing the TEM sample holder or holders inside the FIB vacuum chamber and retrieving used probe tips; a set of TEM sample holders; the magazine assembly for the continuous delivery of probe tips into the shaft of nano-manipulator and three methods for exchanging probe tips between the probe shaft and the cassette. In the preferred embodiment, the nano-manipulator probe tip (110) can be delivered into and out of the FIB without venting the vacuum chamber by using the nano-manipulator probe shaft (100) on its way in, and a cassette (260, 265)) and the airlock mechanism (not shown) on its way out. As shown in FIGS. 1, 2 and 3, the gripper comprises of a gripping mechanism (350), located at the internal (to the FIB) termination of the nano-manipulator probe tip shaft (100); a compression mechanism (150), located at the external (to the FIB) termination of the nano-manipulator probe tip shaft; an actuator (120), located outside the FIB and connected to the compression mechanism (150); and the modified probe tip (110). The probe shaft (100) is the portion of the nano-manipulator that holds the probe tip (110) in its position under the ion and electron beams. In the preferred embodiment, the gripping mechanism (350), shown in FIGS. 1 and 2, is the part of the internal termination of the probe shaft (100) and is located on its end, inside the FIB vacuum chamber. As shown in FIGS. 1, 2, and 3, the gripping mechanism (350) has an outer cylindrical tube (130); an inner compression cylinder (140), which is forced to move by the expansion spring (160); and one or more elastic rings (170), which are compressed and released during the movement of the inner compression cylinder (140). The actuator (120), shown in FIG. 3, is located on the external termination of the probe shaft (100). It is used for retraction and can be pneumatic, electromechanical, piezoelectric or use equivalent means. Pneumatic actuation is shown in FIG. 3. The actuator (120) also comprises the compressed gas in-line (180), exhaust line (190) for pneumatic actuation, if used, and a vacuum O-ring seal (200). A probe tip (110) has at least one, and preferably two, collars (210) The collars (210) are preferably made of nickel-plated copper, attached to the probe tip (110) by crimping, is shown in FIG. 4. As shown in FIGS. 1 and 2, the outer diameter of a compression cylinder (140) is slightly smaller than the inner diameter of the outer cylinder (130), to allow its free movement during the operation. The inner diameter of an elastic ring (170) is slightly larger than the outer diameter of the probe shank (230) and is approximately the same as the outer diameter of a probe tip collar (210). Its outer diameter in the uncompressed state is approximately the same as the inner diameter of the outer cylindrical tube (130). There can be one or more elastic rings (170); the rings (170) are made of a resilient material that will constrict in its inner diameter sufficiently to grip the probe shank (230), when compressed, in the direction of the cylindrical axis of the probe shaft (100) by the compressive movement of the compression cylinder (140). The elastic ring (170) material must have suitable resilient properties to enable it to respond to a release of the modified probe tip (110), when the compression cylinder (140) is retracted, even after the extended period of its compressed state, in order to be able to complete the exchange of a probe tip (110) at any time. Commercially-available rubber O-rings are generally suitable for this purpose. Since the interface between the elastic rings (170) and the modified probe tip (110) is not a continuous vacuum seal, the vacuum seal (200) is placed between the outer cylindrical tube (130) and the interface with the pneumatic drive shaft. An alternate embodiment (515) is shown in FIGS. 4, 7 and 8, where each individual probe tip (110) has a protective mechanical shield, or capsule (220), attached to it that prevents damage to the fine probe tip point (115) while the probe tip (205) is in the queue inside the hollow probe shaft (100). In FIGS. 4, 7 and 8, the dimension of the capsule (220) relative to the fine probe tip (115) is exaggerated for clarity. Preferably, the inner diameter of the capsule (220) is about 6 times the outer diameter of the fine probe tip (115). Each capsule (220) can protect either the fine tip point (115) in the next probe tip (205) behind it in the queue, or the fine tip point (115) on the probe tip (205) to which the capsule (220) is attached. The capsule (220) and the probe tip (205) can be mechanically combined into one body, or can be temporarily attached and designed to separate as a probe tip (205) is released from the end of the probe shaft (100). In the preferred embodiment, each capsule (220) has two metallic collars (210) for capture, registration and alignment. The capsule is permanently attached to the back end of the probe tip (205) in order to protect the fine tip point (115) on the next probe tip (205) behind it in the queue. The set of TEM sample holders (405) disclosed in this application is shown in FIGS. 9-20. This set comprises two basic types of TEM sample holders (405), one is designed for a top sample surface milling, and another one is designed for the backside milling. The TEM sample holders for backside milling are shown in FIGS. 9, 12, 15 and 18. These TEM sample holders all have the basic design of a long bar (280) with one or more slots (300) and two short bars (290). The TEM sample holders for the top surface sample milling are shown in FIGS. 10, 13, 16 and 19. These TEM sample holders also have the basic design of a long bar (280) and one or more arm-shaped bars (270), each having a slot (300). The slots (300) in the TEM sample holders are designed to assure the temporary sample-tip assembly (250) attachment to it. Each slot (300) comprises an outer part (310) and an inner part (320), the outer part (310) preferably being the larger. The slots (300) disclosed in this application are of four different design types. The first embodiment of a slot (300) is shown in FIGS. 9, 10 and 11. Here the outer part (310) of a slot (300) is hollow and has a size that will allow the collars (210) of a modified probe tip (110) to fit while sliding in, and the movement will be stopped by the border of the smaller inner part (320) of a slot. The border of an outer part (310) of a slot (300) has a spring-like tab (325) on its shorter side, which will temporarily lock the sample-tip assembly (250) inside the TEM sample holder (405). The inner part (320) of a slot (300) can have a tubular or a rectangular cross-section. The approximate position of a sample-tip assembly (250) locked in a TEM sample holder (405) is shown in FIGS. 9 and 10. The second embodiment a slot (300) is shown in FIGS. 12, 13 and 14. Here the outer part (310) of a slot (300) can be of a circular or a rectangular cross-section, with the outer part (310) preferably being larger. In this design, the slot (300) comprises two prongs (330) located in the border between the outer (310) and the inner part (320) of a slot (300). These prongs (330) will be deformed after the sample-tip assembly (250) is pressed into the TEM sample holder (405) material and this will temporarily attach the sample-tip assembly (250) to a TEM sample holder (405). The approximate position of a sample-tip assembly (250) pressed into a TEM sample holder (405) is shown in FIGS. 12 and 13. The third design of a slot (300) is shown in FIGS. 15, 16 and 17. Again, the outer part (310) of a slot (300) can be of a circular or a rectangular cross-section, with the outer part (310) preferably being larger. In this design, the slot (300) comprises a connection strip (340) located in the border between the outer (310) and the inner parts (320) of a slot (300). This connection strip (340) will be deformed after the sample-tip assembly (250) is pressed into the TEM sample holder (405) material and this will temporarily attach the sample-tip assembly (250) to a TEM sample holder (405). The approximate position of a sample-tip assembly (250) locked in a TEM sample holder (405) is shown in FIGS. 15 and 16. The fourth design of a slot (300) is shown in FIGS. 18, 19 and 20. Here the outer (310) and the inner parts (320) of a slot (300) can be of a tubular or a rectangular cross-section, the outer part (310) preferably being larger. The surface of a slot is covered with a thin layer of epoxy (335), which can be hardened using UV cure, where the UV light rays are preferably delivered through fiber optics (not shown), but can also be delivered by broad illumination of the sample holder (405). The sample-tip assembly (250) is pressed into the slot (300) of a TEM sample holder (405) and so is temporarily attached to the TEM sample holder (405). The approximate position of a sample-tip assembly (250) locked in a TEM sample holder (405) is shown in FIGS. 18 and 19. A cassette has a first (260) and second (265) embodiments, as shown in FIGS. 21-24. The cassette is preferably made of aluminum, although other materials with similar mechanical properties could be used. The cassette (260 or 265) carries different modifications of TEM sample holders (405) from a set inside the FIB vacuum chamber, and carries the used probe tips (110) outside the FIB vacuum chamber through a vacuum airlock, in the same manner as the integrated circuit wafer is carried in and out of the FIB chamber. The cassette (260 or 265) can be attached to a base or a platform that resembles a wafer, or to any other base or a platform, and fits into the wafer-gripping mechanism of the FIB stage. The vertical dimension of the cassette (260 or 265) plus any carrier on which it is attached, must be less than the maximum height that can pass through the wafer exchange airlock on the FIB chamber. The cassettes (260) and (265), shown in FIGS. 21-24 may have a rectangular or any other convenient shape. The cassette (260) of the first embodiment comprises of two parts, the first being a platform (410), and the second includes one or more bars (420). The bars (420) have grooves (400) where the dies (370) may be inserted. The die (370) of this embodiment allows one to attach the sample-tip assembly (250) to a TEM sample holder permanently, using a press or any other method without the additional alignment. The second cassette embodiment (265) includes a platform (410) with one or more bars (430), where the bar (430) itself is a die (370) that will be used in a press to attach the sample-tip assembly (250) to the TEM sample holder (405) permanently, or, alternatively, the bar (430) itself can function as a TEM sample holder. The second cassette embodiment (265) also has one or more bars as shown in FIGS. 23 and 24. The cassette (260, 265) can be placed on any platform or can represent any platform or stage, including the stage or a die of a apparatus for rapid sample preparation, if this apparatus is located inside the FIB vacuum chamber. Such an apparatus is disclosed in the co-pending U.S. patent application titled “Method and apparatus for rapid sample preparation in a focused ion beam microscope,” as cited above and incorporated into the present application. The apparatus is referred to in the present application as a “press.” The magazine assembly (500) of the preferred embodiment is shown in FIG. 25. The cartridge (465), preferably cylindrical, has one or more cylindrical openings (470) arranged at a constant radius from the axis of the cartridge (465), where every but one opening (470) has the modified probe tip (110) inside it. The cartridge has an inner cylindrical shaft (480) with a key (475) on the wall of the shaft. The inner lid or cover (455) has one alignment hole (460) of the same size as the cylindrical opening (470) located at the same radial distance from the lid center as the distance between the cylindrical axis of cartridge (465) and the cylindrical opening (470). The diameter of the cover (455) is equal to the diameter of a cartridge (465). The outer cover (505) of a cartridge (465) comprises a cylindrical cover (485) that has at least one alignment hole (460) of the size of the cylindrical opening (470), located at the same radial distance from the center of the cover (455) as the distance between the cartridge's (465) cylindrical axis and the cylindrical opening (470). The outer cover (505) further comprises a rod (495) attached to it and extending inside and outside the outer surface of the cover (505). A groove in the rod (495) (not shown) matches the key (475) on the wall of the inner shaft (480) of a cartridge (465). The bearing (490), preferably a ball bearing, is attached to the outer surface of an outer cover (505). The vacuum seal (440) and the spinning mechanism (not shown) are located outside the magazine assembly (500). The spinning mechanism can be an electric motor or other kind of motor. The alternate embodiment (515) of the gripper, shown in FIG. 4, is intended for use with the magazine assembly (500), and comprises an inner compression cylinder (450), an expansion spring (160), a probe shaft (100) for the successive set of probe tips (360), a compressed gas in-line (180), an exhaust line (190) (both for pneumatic actuation), three vacuum O-ring seals (200), located inside the outer cylindrical tube (130), and the shutter seal (440) for the vacuum-safe connection with the magazine assembly (500). The entire sample preparation process can take place in the in-line FIB, or it can be separated for three steps, where the first step takes place in the in-line FIB, the second step takes place outside the FIB, and the third step takes place in the off-line FIB. The first method is the single tip method. In this scenario, the modified probe tip (110) is being delivered via the nano-manipulator probe shaft (100) inside the FIB vacuum chamber. This method uses a gripper kit. The gripper kit comprises the gripper (350), described above, that is a part of the nano-manipulator probe shaft (100), a modified probe tip (110), the set of TEM sample holders (405), and a set of cassettes (260 or 265), all as described above. The cassettes carry the TEM sample holder (405) and new probe tips (110) into the FIB vacuum chamber and the probe tips with TEM samples attached (the sample-tip assemblies (250)) out of the FIB vacuum chamber, through the existing FIB vacuum chamber airlock mechanism. The press described in the co-pending application cited above that is used to permanently attach the sample-tip assembly (250) to a TEM sample holder (405) can be located either inside or outside the FIB vacuum chamber. The cassette (260), carrying the TEM sample holder coupon (405), can be brought inside the vacuum chamber ahead of time or anytime during the process using the existing airlock mechanism. The cassette (260) can be placed on a stage of a press or the cassette (265) can be the outer die itself. The sequence of events can be changed, so the sample or samples (240) can be thinned first to a thickness appropriate for TEM inspection by ion beam milling, and then the sample-tip assemblies (250) could be attached to the TEM sample holder (405). The second method, referred to as the continuous feed method, involves a continuous length of probe tip material (380), which is located inside the nano-manipulator probe shaft (100). The probe tip (110) can be mechanically cut or ion milled away from the remaining length of the continuous probe tip material (380) after the lift-out sample (240) is attached to it for transfer to the cassette (260) or (265). The length of continuous probe tip material (380) inside the probe shaft (100) is then extended so that the tip of this material is at the correct position to function as the new probe tip. The length of continuous probe tip material (380) can be shaped in advance with narrow sections (390) at predetermined intervals to facilitate the separation step. Ideally, during the process of separating the existing sample-tip assembly (250) from the length of continuous probe tip material (380) the tip of the length of probe tip material is formed in an appropriate shape for it to function as the new probe tip. The cassette (260) or (265) with one or more sample-tip assemblies (250) can be carried out of the chamber through the airlock mechanism or moved to another location inside the FIB. The process can be repeated until the cassette is full and is ready to be carried out of the vacuum chamber for further sample investigation. The third method, referred to as the capsule method, involves a succession of individual probe tips (360) contained inside the cylindrical hollow probe shaft (100), a magazine assembly (500) of new probe tips (110) located at the external end of the probe shaft (100), a gripper (350) at the internal end of the probe shaft (100), and the cassette (260) or (265) inside the FIB vacuum chamber, as described above. After the sample (240) is attached to the probe tip point (115), the created sample-tip assembly (250) is released from the probe shaft (100) and is transferred to a specific slot (300) in a TEM sample holder (405) located in the cassette (260) or (265). As the sample-tip assembly (250) is released from the probe shaft (100), the capsule (220) for the next probe tip (110) is also released and the next probe tip (110) is advanced into position at the internal end of the probe shaft (100). The magazine assembly (500) at the external end of the probe shaft (100) provides a supply of new probe tips (110) to be injected into the probe shaft (100). The magazine assembly (500) can be maintained under common vacuum with the probe shaft (100) and FIB chamber. The magazine assembly (500) is preferably circular, with probe tips (110) arranged at a constant radius from the center, or in a linear or rectangular shape with a means for advancing the probe tips (110) to the position for injection into the probe shaft (100) through the opening (460) in the upper cylindrical cover (485). The injection means can be a piston (not shown) actuated by pneumatic, electromagnetic, piezoelectric or other suitable actuation. The magazine assembly is assembled such that both alignment holes (460) and the one cylindrical opening (470) of a magazine cartridge that is filled in with the cartridge (465) material, are aligned, which alignment assures the closed state of a cartridge (465). After the sample-tip assembly (250) is completed with the sample (240) and is released to a TEM sample holder (405), the magazine spinning means is actuated by any electrical, pneumatic or piezoelectric means, the magazine cartridge (465) rotates using the ball bearing (490), so the alignment holes (460) in covers (455) and (485) and the next cylindrical opening (470) of the cartridge (465) are aligned, and the new probe tip (205) is injected into the probe shaft (100). As new probe tips with capsules (220) are injected into the probe shaft (100), the queue of probe tips (205) inside the probe shaft (100) is advanced, the previous probe tip (110), now with a TEM sample (240) attached, is selectively ejected from the probe shaft (100) into the cassette (260) or (265), and the next probe tip (205) in the queue inside the probe shaft (100) is presented at the internal end of the probe shaft (100) and captured by the gripping mechanism (350) The gripping mechanism (350) at the internal end of the probe shaft (100) is similar to that described above, but allows for the queue of probe tips (205) to proceed down the center of the hollow probe shaft (100). The compression cylinder (140) of the gripper (350) is retracted to permit the ejection of the previous probe tip (205) with a TEM sample (240) attached and the positioning of the replacement probe tip (110). The process of injecting probe tips (205) into the probe shaft (100) and transferring tip-sample assemblies (250) into the cassette (260) or (265) can be continued until the cassette (260) or (265) is full, or until the magazine cartridge (465) is empty.
claims
1. A system for dispensing radioactive liquids, the system comprising:a radiation containment chamber including an enclosure constructed of a radiation shielding material; anda liquid dispensing apparatus at least partly disposed in an interior of the enclosure, the liquid dispensing apparatus including:a support arm rotatable about a rotation axis;an actuator operatively connected to the support arm and configured to at least one of rotate the support arm about the rotation axis and displace the support arm in a direction parallel to the rotation axis;a pipette assembly mounted to the support arm, the pipette assembly including a pipette tip defining an opening through which liquids are aspirated and dispensed, a piston, and a stepper motor operatively connected to the piston to control linear displacement of the piston;a controller connected to the stepper motor and configured to control the stepper motor to control displacement of the piston, wherein the controller is configured to determine a number of steps by which to rotate the stepper motor based on a non-linear relationship between a volume of liquid aspirated or dispensed by the pipette assembly and a corresponding number of steps by which the stepper motor is rotated. 2. The system of claim 1, wherein the controller is positioned outside of the radiation containment chamber. 3. The system of claim 2, wherein the controller is further configured to:receive an input associated with a target transfer volume;determine a number of steps by which to rotate the stepper motor based on the input; andcontrol a supply of current to the stepper motor based on the determined number of steps to rotate the stepper motor by the determined number of steps. 4. The system of claim 2, wherein the stepper motor is a first stepper motor, and wherein the actuator includes a second stepper motor operatively connected to the support arm to displace the support arm in a direction parallel to the rotation axis, wherein the controller is further configured to:control the second stepper motor to position the pipette tip at a first height;control the first stepper motor to displace the piston and aspirate a first volume of radioactive liquid from a vial while the pipette tip is positioned at the first height;control the second stepper motor to position the pipette tip at a second height lower than the first height; andcontrol the first stepper motor to displace the piston and aspirate a second volume of radioactive liquid from the vial while the pipette tip is positioned at the second height. 5. The system of claim 1, wherein the stepper motor is a first stepper motor, and wherein the actuator includes a second stepper motor operatively connected to the support arm and configured to rotate the support arm about the rotation axis, and a third stepper motor operatively connected to the support arm and configured to displace the support arm in a direction parallel to the rotation axis. 6. The system of claim 5 further comprising a support frame including a base and a column extending vertically upward from the base, wherein the second and third stepper motors are positioned within an interior of the column. 7. The system of claim 5, wherein the second and third stepper motors are operatively connected to the support arm through a rotatable shaft, wherein operation of the second stepper motor rotates the shaft, and wherein operation of the third stepper motor displaces the shaft in the direction parallel to the rotation axis, wherein rotation of the rotatable shaft causes the support arm to rotate about the rotation axis, and wherein displacement of the shaft causes the support arm to move in the direction parallel to the rotation axis. 8. The system of claim 1 further comprising a first vial assembly and a second vial assembly, wherein each of the first and second vial assemblies include a vial and a radiation shield at least partially enclosing the vial, and wherein the actuator is configured to rotate the support arm such that the pipette assembly is movable between a first position, in which the pipette assembly is positioned to aspirate a liquid from the vial of the first vial assembly, and a second position, in which the pipette assembly is positioned to dispense the liquid into the vial of the second vial assembly. 9. The system of claim 8, wherein at least one of the vials of the first and second vial assemblies contains a radioactive liquid. 10. The system of claim 9, wherein the radioactive liquid includes one of Germanium-68, Strontium-87m, Technetium-99m, Indium-111m, Iodine-131, and Thallium-201. 11. An apparatus for dispensing radioactive liquids, the apparatus comprising:a support arm rotatable about a rotation axis;an actuator operatively connected to the support arm, the actuator including a second stepper motor and a third stepper motor, the second stepper motor operatively connected to the support arm and configured to rotate the support arm about the rotation axis, the third stepper motor operatively connected to the support arm and configured to displace the support arm in a direction parallel to the rotation axis; anda pipette assembly mounted to the support arm, the pipette assembly including a pipette tip defining an opening through which liquids are aspirated and dispensed, a piston, and a first stepper motor operatively connected to the piston to control linear displacement of the piston;wherein the apparatus is free of radiation-sensitive electronics. 12. The apparatus of claim 11, wherein the apparatus is capable of operating for at least 10 cumulative hours within a high radiation area. 13. The apparatus of claim 11, wherein the apparatus is capable of operating for at least 10 cumulative hours in a radioactive field of 5 million millirems per hour. 14. The apparatus of claim 11, wherein the pipette assembly has a dispense capacity of at least 1.0 mL and a dispense tolerance better than +/−5.0% of a target volume over a dispense range of 0.1 mL to 1.0 mL. 15. The apparatus of claim 11, wherein the pipette assembly has a dispense capacity of at least 4.0 mL and a dispense tolerance better than +/−2.0% of a target volume over a dispense range of 0.1 mL to 4.0 mL. 16. The apparatus of claim 11, further comprising a support frame including a base and a column extending vertically upward from the base, wherein the second and third stepper motors are positioned within an interior of the column. 17. A method of dispensing radioactive liquid using a dispensing apparatus including a pipette assembly mounted on a rotatable support arm, the pipette assembly including a pipette tip, a piston, a controller, and a stepper motor operatively connected to the piston, the method comprising:positioning the pipette assembly above a first vial using the support arm;aspirating a volume of radioactive liquid from a first vial by displacing the piston in a first direction using the stepper motor;determining, using the controller, a number of steps by which to rotate the stepper motor based on a non-linear relationship between a volume of liquid aspirated or dispensed by the pipette assembly and a corresponding number of steps by which the stepper motor is rotated;rotating the support arm to position the pipette assembly above a second vial; anddispensing at least a portion of the volume of radioactive liquid into the second vial by displacing the piston in a second direction opposite the first direction using the stepper motor. 18. The method of claim 17, further comprising receiving, at the controller connected to the stepper motor, an input associated with a target transfer volume, wherein aspirating a volume of radioactive liquid from the first vial includes controlling, using the controller, a supply of current to the stepper motor based on the input to displace the piston in the first direction. 19. The method of claim 18, further comprising:controlling, using the controller, the supply of current to the stepper motor based on the determined number of steps. 20. The method of claim 17, wherein aspirating a volume of radioactive liquid from the first vial includes:aspirating a first volume of radioactive liquid while the pipette tip is positioned at a first distance from a bottom of the first vial;lowering the pipette to a second distance from the bottom of the first vial; andaspirating a second volume of radioactive liquid while the pipette tip is positioned at the second distance from the bottom of the first vial.
claims
1. An incore monitoring method of a nuclear reactor, comprising performing the following steps in order: (1) measuring neutron flux levels at a plurality of pitch levels corresponding to a plurality of local power range monitor sensors arranged in an axial direction inside a detector assembly installed in the nuclear reactor; (2) performing a power distribution calculation using a three-dimensional simulation to obtain a first calculation of thermal characteristics of a fuel assembly group consisting of fuel assemblies adjacent to the corresponding detector assembly, based on values indicated by the plurality of local power range monitor sensors of the corresponding detector assembly at a first time; (3) performing during a first cycle at least one of (a) adjusting a reactor core flow rate for regulation reactor power and (b) operating control rods in the reactor core for regulation reactor core power; (4) performing during said first cycle and after step (3) a plurality of second calculations of thermal characteristics in which the power distribution calculation is not performed, based on values indicated by the plurality of local power range monitor sensors at second times, subsequent to the first time, and based on the thermal characteristics calculated in the first calculation at the first time; (5) monitoring during said first cycle and after steps (3) and (4), the plurality of thermal characteristics calculated during said first cycle in the plurality of second calculations; (6) performing during a second cycle at least one of (a) adjusting a reactor core flow rate for regulation reactor power and (b) operating control rods in the reactor core for regulation reactor core power; (7) performing during said second cycle and after step (6) said plurality of second calculations of thermal characteristics in which the power distribution calculation is not performed, based on values indicated by the plurality of local power range monitor sensors at third times, subsequent to the first and second times, and based on the thermal characteristics calculated in the first calculation at the first time; and (8) monitoring during said second cycle and after steps (6) and (7), the plurality of thermal characteristics calculated during said second cycle in the plurality of second calculations. 2. The method as recited in claim 1 , wherein: claim 1 at least one of steps (3) and (6) includes adjusting the reactor core flow rate; and said method further comprises the step of: suspending the adjusting of the reactor core flow rate when at least one of the monitored plurality of thermal characteristics calculated in the plurality of second calculations exceeds a limit. 3. The method as recited claim 1 , wherein claim 1 at least one of steps (3) and (4) includes operating said control rods in said reactor core, said method further comprising the step of: suspending the operating of the control rods when at least one of the plurality of thermal characteristics calculated in the plurality of second calculations exceeds a limit. 4. The method as recited in claim 1 , wherein the first calculation and the plurality of second calculations are performed using a plurality of detector assemblies disposed adjacent fuel assemblies, said fuel assemblies being symmetrically disposed about a reactor core of the nuclear reactor so that each one quarter of the reactor core is identical with respect to the number and position of the fuel assemblies, and the plurality of local power range monitor sensors are non-symmetrically disposed adjacent to ones of the fuel assemblies so that each of the one quarter of the reactor core is different with respect to at least the position of the local power range monitor sensors. claim 1 5. The method as recited in claim 1 , further comprising: claim 1 calculating thermal characteristics of one local power range monitor sensor based on values indicated by local power range monitor sensors being symmetrical to, and at the same pitch level of, the respective local power range monitor sensor in terms of a quarter of the reactor core in rotation or in an axis, provided the respective local power range monitor sensor outputs no signal. 6. The method as recited in claim 1 , further comprising: claim 1 calculating thermal characteristics of a faulty local power range monitor sensor based on a mean value of values indicated by local power range monitor sensors being symmetrical to, and at the same pitch level of, positions adjacent to the respective faulty local power range monitor sensor in terms of a quarter of the reactor core in rotation or in an axis. 7. The method as recited in claim 1 , wherein the first and plurality of second calculations of thermal characteristics includes a linear heat generation rate, and the method includes monitoring the linear heat generation rate. claim 1 8. The method as recited in claim 7 , further comprising: claim 7 providing an alert signal when a maximum linear heat generation rate based on the monitored linear heat generation rate exceeds a predetermined limit. 9. The method as recited in claim 7 , wherein claim 7 at least one of steps (3) and (4) includes operating said control rods in said reactor core, said method further comprising the step of: acquiring a function designating a changing rate of the linear heat generation rate corresponding to the plurality of local power range monitor sensors with parameters of a changing rate of values indicated by the respective plurality of local power range monitor sensors and a position status of the control rod, based on values indicated by the plurality of local power range monitor sensors and the linear heat generation rate of the respective plurality of local power range monitor at the first time and second times, respectively, and; correcting the calculated linear heat generation rate based on the function. 10. The method as recited in claim 7 , further comprising: claim 7 calculating at least some of the plurality of second calculations of the thermal characteristics at a plurality of pitch levels of the plurality of local power range monitor sensors; and calculating thermal characteristics at a pitch level in which no local power range monitor sensor exists based on the calculated thermal characteristics at the corresponding pitch levels. 11. The method as recited in claim 1 , wherein the thermal characteristics includes a critical power ratio, and the method includes: claim 1 monitoring the critical power ratio calculated at the second times; and providing an alert signal when a minimum critical power ratio based on the monitored critical power ratio exceeds a predetermined limit. 12. The method as recited in claim 11 , wherein claim 11 at least one of steps (3) and (6) includes adjusting the reactor core flow rate; and said method further comprises the steps of: acquiring a function showing a correlation of a critical power and the reactor core flow rate based on the critical powers and the reactor core flow rate at the first time and second times; and correcting the calculated critical power ratio based on the function. 13. Incore monitoring equipment of a nuclear reactor, comprising: a detector assembly configured to be installed in the nuclear reactor, including local power range monitor sensors to measure neutron flux levels at a plurality of pitch levels; a three-dimensional simulator for calculating a power distribution including a first calculation of thermal characteristics of a fuel assembly group consisting of fuel assemblies adjacent to the corresponding detector assembly, based on values indicated by the plurality of local power range monitor sensors of the corresponding detector assembly at a first time; a control rod operation unit for operating control rods in a reactor core of the nuclear reactor to regulate reactor power, and for suspending operation of the control rods when the monitoring unit detects the thermal characteristics exceeds a predetermined limit; a recirculation flow control unit for adjusting a reactor core flow rate to regulate reactor power, and for suspending adjustment of the reactor core flow rate when the monitoring unit detects the thermal characteristics exceeds a predetermined limit; a calculating unit for performing during a first cycle and after operation of at least one of said control rod operation unit and said recirculation flow control unit, a plurality of second calculations of thermal characteristics in which the power distribution calculation is not performed, based on values indicated by the plurality of local power range monitor sensors at a second time, subsequent to the first time, and based on the first calculated thermal characteristics at the first times; said calculating unit operative for performing during a second cycle, subsequent to said first cycle, and after operation of at least one of said control rod operation unit and said recirculation flow control unit, said plurality of second calculations of thermal characteristics in which the power distribution calculation is not performed, based on values indicated by the plurality of local power range monitor sensors at a third time, subsequent to the second time, and based on the first calculated thermal characteristics at the first time; a monitoring unit, operative, after said calculating unit performs said plurality of second calculations during said first cycle, for monitoring said plurality of thermal characteristics calculated during said first cycle; and said monitoring unit operative, after said calculating unit performs said plurality of second calculations during said second cycle, for monitoring said plurality of thermal characteristics calculated during said second cycle.
046833790
abstract
A sunlamp whose cylindrical envelope contains a mixture of three substances the first of which emits radiation with pronounced peaks in the red, blue and green bands of the visible range of the spectrum, the second of which emits radiation with a less pronounced peak in the long-wave portion of the UVA band, and the third of which emits with an even less pronounced peak radiation in the short-wave portion of the UVA band and down to 300 nm in the UVB band. The lamp is photobiologically effective in the UV range and is sufficiently bright in the range of visible light.
054616484
claims
1. A supercritical water oxidation reactor, comprising: a vessel with an interior surface, the interior surface having a corrosion-resistant, artificial diamond coating; a cooling section, located at an inlet of said vessel, said first cooling section surrounding an outer region of said vessel; a heat exchanger, located contiguously to said first cooling section, said heat exchanger surrounding an inner region of said vessel; a second cooling section, located at an outlet of said vessel, said second cooling section surrounding a second outer region of said vessel; a porous cylindrical baffle, positioned within said cylindrical vessel, for transferring an oxygenating agent; and a trap, located at the outlet of said vessel, for removing waste products. a vessel with an interior surface, the interior surface having a corrosion-resistant, artificial diamond coating; a first cooling section, located at an inlet of said cylindrical vessel, said first cooling section surrounding an outer region of the said vessel; a heat exchanger, located contiguously to said first cooling section, said heat exchanger surrounding an inner region of said vessel; a second cooling section, located at an outlet of said vessel, said second cooling section surrounding an outer region of said vessel; a porous shaft having a helical extension, said shaft being positioned within said vessel; and a trap, located at the outlet of said vessel, for removing waste products. 2. A supercritical water oxidation reactor as claimed in claim 1 further comprising a spring-loaded, graphite-reinforced polytetrafluorethylene seal located at each end of said vessel. 3. A supercritical water oxidation reactor as claimed in claim 1 wherein the exterior surface of said cylindrical baffle has a thin, artificial diamond-like coating. 4. A supercritical water oxidation reactor as claimed in claim 1 wherein the corrosion-resistant, artificial diamond coating has a thin, crystal-like structure. 5. A supercritical water oxidation reactor comprising: 6. A supercritical water oxidation reactor as claimed in claim 5 further comprising a spring-loaded, graphite reinforced polytetrafluorethylene seal located at each outlet end of said vessel. 7. A supercritical water oxidation reactor as claimed in claim 4 wherein the exterior surface of said shaft has a thin, artificial diamond-like coating. 8. A supercritical water oxidation reactor as claimed in claim 5 wherein the corrosion-resistant, artificial diamond coating has a thin, crystal-like structure.
summary
summary
summary
050323512
description
Referring to FIG. 1, a fuel bundle B is illustrated. The fuel bundles includes an upper tie plate 42, a lower tie plate 40, which lower tie plate 40 connects through a nose piece N to a boiling water nuclear reactor. In this exemplary construction, individual fuel rods L interconnect the respective tie plates through certain threaded fuel rods also known as tie rods. A channel 25 is mounted about the group of fuel rods. Channel 25 functions to confine fluid flow from nose piece N in through the respective lower tie plate 40 and out through upper tie plate 42. During the operation of a nuclear reactor steam is generated in the fuel bundle assembly. In the particular fuel rod here shown, certain partial length fuel rods 30 are shown. It is to be understood that the spacer design here can be utilized both with full length and partial length fuel rods. As can be seen, the fuel bundle here shown has been broken away at the channel to expose the spacers S1 and S2. The reader will understand that approximately five to eight such spacers are used in the typical fuel rod construction. As has been made clear, the prior art contains many varieties of spacer. An improvement on the so-called "cross point" spacer is the subject of this invention. A typical prior art cross point spacer is illustrated in FIG. 2. Referring to FIG. 2, a prior art cross point spacer construction is shown for a 9.times.9 fuel array. The reader will understand that fuel arrays of varying densities of rods can be covered by the spacer construction of this invention including 8.times.8, 9.times.9 and 10.times.10 fuel rod arrays. Referring to the fuel rod array illustrated in FIG. 2, a series of tube members C' are shown connected by full depth first grid members 51 and second grid members 52. Grid members 51 are orthogonally aligned with respect to grid members 52. Typically fabrication is by welding the respective members 51, 52 to the outside of the respective tube members C'. Surrounding the entire construction there is provided a band D which band D encircles the periphery of the spacer. A portion of the spacer is broken away to illustrate the spacer construction. It is a purpose of this invention to disclose a simplified spacer construction over the construction of FIG. 2. Moreover, a simplified method of spacer construction is set forth. Referring to FIG. 3, a tube member C is illustrated. Tube member C includes transverse notches 60 and longitudinal notches 61. These respective notches 60, 61 have a depth for the full reception of overlying grid members. In exploded relation overlying the tube member C, there is illustrated the construction of the grid members. A transverse member 62 is illustrated with an upwardly exposed notch 64. Similarly, a longitudinal member 63 is illustrated with a downwardly exposed notch 65. Construction is apparent from the exploded view. Specifically, transverse member 62 is confronted to longitudinal member 63 at the confronting notches 64, 65. A grid of the desired dimension is formed such as that grid G illustrated in FIG. 4. Two grids are formed. An upper grid G1 and a lower grid G2. These upper and lower grids fasten to the upper and lower portions of the spacer. Tube member C is notched with respective transverse and longitudinal grooves 60, 61 at the top. Similar transverse and longitudinal grooves 60, 61 are notched at the bottom. It is into these respective notches that the identical top and bottom grids G1 and G2 are placed. At the end of such placement, a semirigid construction of tube members C and grids G1, G2 is formed as shown in FIG. 4 (only grid G1 there being shown in the partial plan view). After assembly, welds are made at locations 5 (FIG. 4) where the grid members fit into notches in the tubes. It will be observed that the spacer at this stage forms a semi-rigid structure. The transverse and longitudinal grid members are interlocked by fitting into the respective notches. This interlocking feature holds the grid members in the correct positions. The grids in turn interlock with the tube members and hold them in their correct position. In contrast to the prior art construction, no jig is required to position the spacer parts for welding. Thereafter, the surrounding band segments N1, N2 are fastened as by welding to the grids, the particular band construction here shown including two band halves joined at 71, 72 typically by a butt weld. Referring to FIG. 5, the completed spacer is illustrated in perspective. As a final step, a drill bit D is shown removing excess material from the final tube member Cx. It will be understood that all excess material has been similarly removed from similar tube members. Viewing the completed spacer it can be seen that a unitary structure having a minimum amount of material has been constructed. Specifically, an upper grid G1 and a lower grid G2 are all interconnected by the tube members C. At the same time, the butt welded and surrounding band N forms an integral spacer structure having a minimum of parasitic neutron absorbing material. Referring to FIG. 6, there is shown in plan view a spacer construction identical to that shown in FIG. 5. In this spacer construction a variable pitch is shown between contained fuel rods L. Specifically, the fuel rods of FIG. 6 are arrayed in a 9.times.9 array. This 9.times.9 array includes eight groups of nine fuel rods each. The groups are designated E1 through E8. The fuel rods in each group are separated by a first and relatively narrow distance 80. In-between the respective groups the rod separation is greater. For example, the rod separation between groups E3 and E4 is illustrated at 82. While it is not the purpose of this application to explain the theoretical nuclear efficiencies of such a fuel design, it can be seen the spacer construction technique and resulting spacer construction is capable of accommodating such a variable pitch. Likewise, the band N can admit of modification. It will be seen that the band N includes upper flow diverting tabs 90, which tabs are known in the prior art. Referring to FIGS. 7A and 7B, an alternate construction is illustrated. Specifically, certain of the tube members C have been replaced by so-called swirl vanes S. Specifically, swirl vanes S include a continuous upper grid member 100. Continuous upper grid member forms an integral part of grid G1. The respective swirl vane members S all depend at sections 101, 102, 103 on one side of tube member C and at members 104, 105, etc. on the opposite side of cylinder C. These respective members are separated from one another by gaps 110. The swirl vane members 101-105 are each twisted 360.degree. with respect to the upper and continuous grid member 100. Thereafter the respective members 101, 102, 103 are formed into a unitary and linear bottom grid member 120. This unitary grid member is appropriately notched at orthogonal notches 61 so that the resultant continuous grid member 120 mates with the lower grid G2. It can thus be seen that the disclosed swirl vane construction integrally participates in the formation of the upper and lower grids G1, G2. From the enclosed description it will be understood that this construction technique and spacer embodiment will admit of numerous modifications, such as twist angles of 270.degree., 180.degree. and 90.degree..
description
The present invention relates generally to a control system for a transformer or reactor and means for carrying out diagnostics of a tap-changer and methods for carrying out such diagnostics. Control systems for monitoring and changing of tap settings on transformers have been utilized with varying levels of success and efficiency. Historically, control systems did not provide for the sophisticated levels of control and monitoring that are highly desirable by means of network connections. There are relatively large numbers of control cabinets and installations where the control system, while electronic in nature, is not compatible with current networking and communications technology. It is desirable to convert many of the existing control system over to a format that may easily be controlled and monitored remotely over, for example, a network. However, a problem involved with this conversion is that the costs involved with removing the control system and cabinet of the existing system and fully replacing it with an updated electronic system are correspondingly high, both in terms of materials and labor. For example, control systems for changing of tap settings of a transformer comprise a relatively large amount of input and output signals, both for electronic control and electronic monitoring. These signals however, are generated having a particular format, voltage level, current, etc. To replace the equipment so that a desired signal format can be generated is impractical and extremely costly. It is also critical that during operation of the tap-changer, that the operation of the tap-changer be monitored so that catastrophic failure is avoided. In relatively large commercial applications, the heat build up that may occur in a tap-changer due to, for example, incomplete switch over or change of a tap setting, can quickly lead to a catastrophic failure of the transformer. This could present a danger to individuals as well as be quite costly both in terms of replacement cost for the transformer and associated equipment, and downtime for customers. Therefore, an effective monitoring system is critical to the safe functioning of these systems. However, the monitoring systems of many current tap-changers do not lend themselves well to being integrated with a networked control system. The numerous formats of the control and monitoring signals in existing installations further compound the challenge. Still another challenge facing tap-changing systems is that, even during normal use of the transformer, the various contacts in the tap-changer will wear over time as current is switched by the tap-changer. For example, over time, the contacts for the tap-changer can carbonize, or develop carbon deposits on the surface of the contacts. This is highly disadvantageous because carbon deposits tend to insulate or increase the resistance to the flow of current. With the relatively high voltages typically used for commercial applications, heating and also arcing will occur at these higher resistance locations. The arcing in turn generates very high heat depositing still more carbon on the surface of the contact. If ignored, overheating and arcing due to the poor contact can lead to catastrophic failure of the device may occur. Accordingly, what is desired is a control system that has the versatility to be integrated and/or retrofitted with existing control signal formats and simultaneously may be integrated with a networked control and monitoring system. It is further desired to provide a networked control system and method that may effectively be used with existing tap-changing systems to monitor the changing of tap settings and for entering an alarm condition when preset limits are exceeded. It is still further desired to provide a networked diagnostic control system and method that may be integrated with existing tap-changing system that will substantially minimum or even prevent carbonization of the tap-changer. These and other objects are achieved in one advantageous embodiment by the provision of a networked electronic control system that may be fully integrated with an existing transformer/reactor into an existing control cabinet. An integrated electronic control and monitoring system according to a first advantageous embodiment of the invention, may comprise an electronic board rack including, a main processor board and various peripheral boards. It is contemplated that the boards may be configured to handle both analog and digital input/output signals of various types and formats so that these may be directly coupled to the electronic control system. This provides the advantage that it is possible to combine and exchange boards of all types in the rack. Further each signal may be configured individually at any channel on each board. Various control and/or monitoring signals are sent and received, via, for example, an interface converter from TCP/IP over serial communication to Ethernet working in both directions. In this manner, it is possible, for example, to make all cooling control configurations from remote locations. Start/Stop temperatures for each cooler group may further be set individually. Still further, groups can be included and/or excluded from the more advanced cooling control, while temperatures settings for advanced cooling control can be adjusted. For monitoring purposes, data collecting from electronic control systems may be accomplished by an OPC (Object-linking and embedding for Process Control) server. Additionally, an alarm and trip limits for protection sensor can be remotely set on an individual basis. The networked system, further allows for support for sensors that communicates via CAN (Control Area Network) bus, e.g. Hydran, where the CAN sensors may be configured directly in the electronic control system. When voltage measurement is connected to the transformer electronic control system, it is possible to regulate voltage with LTC via bus communication. Also provided is a system and method that may be integrated with existing equipment, for monitoring and notifying a user of a malfunction after, for example, a tap-changer operation. During a tap-changer operation, when the tap-changer is switching from one set of contacts to another, there typically will be arcs and current by-passes through resistors. If the change-over is not completed, additional arcing and current through the resistors can occur. This may cause a sudden spiking of the temperature in the equipment cabinet that, for example, an installed monitoring system may not be able to draw a conclusion on rapidly enough to prevent damage to the equipment. Accordingly, the installed control system may, in another advantageous embodiment, send out an alarm and may even trip the transformer if preset threshold values are exceeded. To accomplish this, a first temperature measurement is obtained and stored that comprises an actual temperature measured in the tap-changer at the time for the switching operation. A second calculated temperature taking into consideration various criteria related to the tap-changing operation is further obtained and stored. These two temperatures will be used as references for additional temperature measurements taken for a period of time in the tap-changer after the switching operation. It is advantageous to measure temperature in the tap-changer up to, for example, five minutes after the switching operation. It is advantageous to use the second calculated temperature to compensate for multiple operations of the tap-changer, which may generate additional heating. If however, the temperature increase in the tap-changer exceeds preset increase values, the system may alarm at a first lower threshold level, and may further trip at a second higher threshold level. Further, a system and method are provided that may be integrated with existing equipment, for monitoring transformer/reactor operation and tap-changer operation to substantially prevent tap-changer carbonization. For example, if a tap-changer is placed on one contact for an extended period of time, the possibility of contact carbonization substantially increases. Once carbonization begins, the contacts are being damaged, therefore, one object for the diagnostic method is to substantially avoid carbonization. Accordingly, it is contemplated that a contact should only be maintained in a particular position for a recommended connection time to avoid development of carbonization. Therefore, the amount of time that a particular contact has been in one position is measured and compared to the recommended connection time. When the measured time in one position has reached the recommended connection time, a warning system will communicate to the customer that it is time for exercising the tap-changer. It is further contemplated that the recommended connection time may be variously calculated. For example, the measured temperature in the tap-changer will influence the recommended connection time as will the measured current passing through the contact. It is contemplated that the higher the temperature and the higher the current, the shorted the recommended connection time will become. Alternatively, the lower the measured temperature and the lower the measured current, the longer the recommended connection time will become. It should be noted that the recommended connection time may be different, for example, in the fine and course selectors. It is still further contemplated that it may be desirable to take into consideration the previous contact position and/or positions of the contact in determining a recommendation for exercising the tap-changer. It is important that the system knows particular tap-changer design as movement of contacts is dependent on that. For some tap-changer types, a change in the tap-changer position is not enough to move a fine contact from one position to another; sometimes two operations in the same direction are needed. To move the course (change-over) selector, sometimes a large amount of operations in one direction is needed. For this application the following terms and definitions shall apply: The term “data” as used herein means any indicia, signals, marks, symbols, domains, symbol sets, representations, and any other physical form or forms representing information, whether permanent or temporary, whether visible, audible, acoustic, electric, magnetic, electromagnetic or otherwise manifested. The term “data” as used to represent predetermined information in one physical form shall be deemed to encompass any and all representations of the same predetermined information in a different physical form or forms. The term “network” as used herein includes both networks and internetworks of all kinds, including the Internet, and is not limited to any particular network or inter-network. The terms “first” and “second” are used to distinguish one element, set, data, object or thing from another, and are not used to designate relative position or arrangement in time. The terms “coupled”, “coupled to”, and “coupled with” as used herein each mean a relationship between or among two or more devices, apparatus, files, programs, media, components, networks, systems, subsystems, and/or means, constituting any one or more of (a) a connection, whether direct or through one or more other devices, apparatus, files, programs, media, components, networks, systems, subsystems, or means, (b) a communications relationship, whether direct or through one or more other devices, apparatus, files, programs, media, components, networks, systems, subsystems, or means, and/or (c) a functional relationship in which the operation of any one or more devices, apparatus, files, programs, media, components, networks, systems, subsystems, or means depends, in whole or in part, on the operation of any one or more others thereof. In one advantageous embodiment, a universal control system for a tap-changer for retrofit or new tap-changer equipment is provided, the control system comprising, an electronic board rack for receiving various electronic boards, and a plurality of boards positioned in the electronic board rack, the plurality of boards selected to variously send and/or receive analog and/or digital signals to and from the existing tap-changer equipment. The system is provided such that the plurality of boards each has at least one channel, and the analog and digital input and output signals directly couple to the control system via a network connection by means of the plurality of boards. The system is further provided such that the analog and digital input and output signals are individually configurable on each channel on each board. In another advantageous embodiment, a diagnostic system for protecting a tap-changer is provided comprising, a sensor positioned to detect temperature changes, and an initial measured temperature, indicating an initial temperature measured by the sensor prior to initiating a tap change. The system further comprises a calculated temperature value, calculated according to the particular tap change to take place, and a threshold value determined based on the initial measured temperature and the calculated temperature value, at which an alarm is generated. They system still further comprises a second measured temperature indicating an actual temperature measured by the sensor after a tap change has been initiated. The system is provided such that the alarm is generated by the diagnostic system if the second measured temperature exceeds the threshold value. In still another advantageous embodiment, a diagnostic system for preventing degradation to electrical contacts in a tap-changer is provided comprising, a contact measurement device for measuring a contact position, and a contact signal generated by the contact measurement device, indicative of whether the contact is open or closed. The system further comprises a threshold time value related to a maximum amount of time that the contact may be closed, and a controller for receiving the contact signal and generating a closed time value based on the contact signal. The system is provided such that the controller compares the closed time value to the threshold time value to determine if the closed time value exceeds the threshold time value. The system still further comprises a warning message generated by the diagnostic system indicating that the threshold time value has been exceeded. Other objects of the invention and its particular features and advantages will become more apparent from consideration of the following drawings and accompanying detailed description. Referring now to the drawings, wherein like reference numerals designate corresponding structure throughout the views. FIG. 1 illustrates one advantageous embodiment of universal control system 10 and generally comprises transformer (tap-changer and cooling group(s)) 12, transformer cabinet 14 and tap-changer control system 16. Transformer (tap-changer and cooling group(s)) 12 is, in one advantageous embodiment, provided with temperature sensors 18, which are provided to sense the temperature in the vicinity of the tap-changer and transformer top oil and transformer bottom oil temperatures. Temperature sensors 18 may comprise virtually any type of commercially-available temperature sensors. Transformer (tap-changer and cooling group(s)) 12 may also be variously provided with contact sensor 22 for measuring the total time a contact (not shown) has been closed, and with a current sensor 24 to measure the amount of current passing through the contact. In one embodiment, the signals generated by the various sensors (i.e. temperature sensor 18, contact sensor 22 and current sensor 24) may be transmitted via a coupling 26 to processor board 30 positioned on electronic board rack 28 located inside cabinet 14. It is contemplated that the various sensors may communicate with universal control system 10 via, for example, a Control Area Network bus. Processor board 30 is coupled to electronic board rack 28 by means of a bus 32, onto which, processor board 30 may be attached. Also depicted in FIG. 1 are peripheral board 1 (34) through peripheral board n (34′), which indicates that virtually any number of various peripheral boards (34, 34′) may be attached to bus 32 and are selected based on the particular application. Processor board 30 and peripheral boards (34, 34′) variously communicate with the transformer (tap-changer and cooling group(s)) 12 via a coupling 36. It is contemplated that a relatively wide variety of peripheral boards (34, 34′) may be made available to communicate with existing tap-changers and/or existing cooling group(s). The signals may comprise, for example but are not limited to, sent and/or received analog and/or digital signals in a wide variety of signal formats. This versatility is advantageous because the wide variety peripheral boards (34, 34′) may be selected from to interface with existing equipment each having particular signal formatting and structure. An interface converter 38 is further positioned in the station control room. Interface converter 38 is provided to send and receive the various analog and/or digital input and output signals from TCP/IP over a network connection 40. Network connection couples electronic board rack 28 to an OPC server. By way of example and not limitation, network connection in a preferred embodiment comprises a serial communication to Ethernet. However, it is further contemplated that network connection 40 could comprise any one or more of, for instance, the Internet, an intranet, a LAN (Local Area Network), a WAN (Wide Area Network) or a MAN (Metropolitan Area Network), a frame relay connection, an Advanced Intelligent Network (AIN) connection, a synchronous optical network (SONET) connection, a digital T1, T3 or E1 line, Digital Data Service (DDS) connection, DSL (Digital Subscriber Line) connection, an ATM (Asynchronous Transfer Mode) connection, FDDI (Fiber Distributed Data Interface) or CDDI (Copper Distributed Data Interface) connections. Tap-changer control system 16 may further generate and alarm 42 when certain criteria are met. Turning now to FIG. 2, processor board 30 is illustrated in greater detail. In this particular embodiment, temperature signal 44, voltage signal 46, contact signal 48 and current signal 50 are shown coupled 26 to processor board 30. It should be noted that any of the various sensors illustrated in FIG. 1 may be utilized either alone or in any combination. It should further be noted that, while all of the temperature signals are shown coupled 26 to processor board 30, it is contemplated that any or all of the signals may instead be coupled to peripheral boards (34, 34′) as desired. Illustrated on processor board 30 is first threshold temperature 52, which is related to an initial temperature measurement, taken prior to a tap-change maneuver. The temperature is further measured after the tap-change maneuver for a selected time period, (i.e. five minutes) but may comprise any time period desired. The second temperature measurement is compared to the first threshold temperature and if the first threshold is exceeded 54, a temperature alarm signal 56 may be generated. Also illustrated in FIG. 2 is threshold time value 58, which may comprise a total time value that the contact (not shown) has been closed. The total time value may, in one advantageous embodiment, take into consideration the measured temperature at or near the tap-changer, the time the contact has been closed and the total amount of current passed through the contact. Each of these measurements can affect the total time value. If the threshold time value is exceeded 60, a contact alarm signal 62 may be generated. Still further illustrated in FIG. 2 is second temperature threshold 64, which is related to the initial temperature measurement taken prior to a tap-change maneuver and further includes compensation for the maneuver(s) to take place. If the temperature measurement taken after the tap-changer maneuver exceeds the second temperature threshold 66, a power interruption signal 68 may be generated in order to interrupt power to the equipment to prevent damage and/or excessive wear thereto. While these various calculations are illustrated as performed locally by processor board 30, it is contemplated that they may be variously performed by peripheral cards (34, 34′) or by tap-changer control system 16 with the variously boards acting to transmit the data to and from transformer (tap-changer and cooling group(s)) 12. Also illustrated in FIG. 2 is cooling control signal 80, which may include for example, the start/stop temperature for each cooler 82 for control of the various cooling groups. Finally, tap-changer control signal 84 is shown sent via bus 32 to any of the various boards 30, 34, 34′. Referring now to FIG. 3, tap-changer control system 16 is illustrated in greater detail. For example, alert signals 70 are shown sent via bus 32a to tap-changer control system 16 and include, for instance, temperature alarm signal 56, contact alarm signal 62 and power interruption signal 68. Once an alert signal 70 is received, tap-changer control system 16 may then take appropriate action including generation of a particular alarm or interrupting the electrical power to the tap-changer and cooling group(s) 12 and may include a notification of such a shutdown. Please note that while the alarm generation is illustrated as generated in tap-changer control system 16, it is contemplated that it may be accomplished by processor board 30 or other equipment. Data signals 72 are illustrated as sent to tap-changer control system 16 and may include the various measurement signals 44, 46, 48 & 50 listed in connection with FIG. 2. Also illustrated is first temperature threshold 74 and second temperature threshold 76, which may be either calculated by the system depending upon the initial temperature taken before the tap-change maneuver and the particular maneuver to take place. Alternatively, either or both of these thresholds may be set by user input criteria 78. In this manner, a versatile control system is provided that may effectively and efficiently be integrated with, for example, existing tap-changer equipment and cooling groups. Typically, the electronic board rack 28 may be retrofit into the tap-changer cabinet 14, eliminating the need to re-wire or install new equipment. In fact, it is contemplated that existing sensor may further be utilized limiting the need to re-wire existing control wiring. Turning now to FIGS. 4A and 4B, a flow diagram of one advantageous embodiment of universal control system 10 is illustrated. When a signal has been received that a tap-change maneuver shall take place, an initial step of measuring the temperature in the tap-changer 102 is performed. Once this measurement is taken, the measurement may then, in one embodiment, be stored 104 as desired. It is also contemplated that it may be desired to determine the particular tap-change maneuver to take place 106 so as to calculate a reference temperature 108 related to the particular tap-change maneuver. This reference temperature may then be stored 110. The system may then proceed to set a first threshold value for an alarm condition to occur based on the initial temperature measurement 112. Still further, the system may then set a second threshold value for an interruption of electrical power based on the reference temperature value 114. Alternatively, it is contemplated that both steps 112 and 114 may be accomplished by direct user input criteria from a user(s). At this point the particular tap-change may be performed 116. After the tap-change has been accomplished, it is contemplated that it is advantageous to measure the temperature in the vicinity of the tap-changer for a specified time period, such as for example, but not limited to, five minutes after the maneuver is performed. The next step for the system is to determine if this selected time period has expired 118. If the time period has expired, the system can proceed to finish 120 until another tap-change is to take place. If however the time period has not expired, the system proceeds to measure the temperature in the vicinity of the tap-changer 122. Once this measurement is obtained, the system may then determine if the measured temperature exceeds the first threshold value 124. If this has not occurred, the system returns to querying whether the time period has expired 118. If the temperature measurement does exceed the first threshold value, the system may generate and send a temperature alarm 126. After a temperature alarm is generated and sent 126 the system may then determine whether the measured temperature exceeds the second threshold value 128. If not, the system returns to querying whether the time period has expired 118. If however, the second threshold value is exceeded, the system may then proceed to send a trip signal. Optionally, the system may further generate and send a notification of the interruption 132. The system may then finish 120 awaiting reset whether locally or remotely. Referring now to FIG. 5, a flow diagram of another process performed by system 10 is illustrated. Initially, the system determines a threshold value that is representative of the total time a contact may be closed 202. The system may take into consideration temperature measured in the tap-changer and the current passing through the contact. The system then performs a series of measurements including, for example, measuring the time the contact has been closed 204, measuring the temperature in the tap-changer 206, and measuring the current passing through the tap-changer 208. Once all of this information has been gathered, the system may then generate a closed time value based on the measured, time, temperature and current 210. The various measure each affect the closed time value. For example, the higher the temperature measurement, the shorter the closed time value will be. Further, the lower the current, the longer the closed time value will be. In this manner, the system can compensate for many factors that can affect carbonization of the contact so as to substantially avoid any carbonization taking place. The system then determines whether the closed time value exceeds the threshold value 212. If not, the system proceeds to back to measuring the various values including time, temperature and current. If however, the system determines the closed time value does exceed the threshold value, the system may proceed to generate a warning message 214. The warning message may contain a message that the contact needs to be exercised or changed to avoid carbonization. It should be noted that, while various functions and methods have been described and presented in a sequence of steps, the sequence has been provided merely as an illustration of advantageous embodiments, and that it is not necessary to perform these functions in the specific order illustrated. It is further contemplated that any of these steps may be moved and/or combined relative to any of the other steps. In addition, it is still further contemplated that it may be advantageous, depending upon the application, to utilize all or any portion of the functions described herein. Although the invention has been described with reference to a particular arrangement of parts, features and the like, these are not intended to exhaust all possible arrangements or features, and indeed many other modifications and variations will be ascertainable to those of skill in the art.
claims
1. A method for operating a charged particle beam emitting device comprising a charged particle beam source having an emitter surface, the method comprising the steps of:(a) placing the charged particle beam source in a vacuum of a given pressure, the charged particle beam source exhibiting a high initial emission current I0 and a lower stable mean emission current IS under given operational conditions;(b) applying the given operational conditions to the charged particle beam source for emitting charged particles from the emitter surface, so that the emission current of the charged particle beam source is higher than the stable mean emission current IS;(c) performing a cleaning process by applying at least one heating pulse to the charged particle beam source for heating the emitter surface to a temperature TC, whereby the cleaning process is performed before the emission current of the charged particle beam source has declined to the lower stable mean emission value IS; and(d) repeating the cleaning process to keep the emission current of the charged particle beam source continuously above the substantially stable emission value IS. 2. The method as claimed in claim 1, wherein the cleaning process is performed at predefined time intervals or when the emission current has dropped to a predefined value IC, wherein IC is higher than the stable mean emission value IS. 3. The method as claimed in claim 2, wherein IC is defined as IC=αI0 with α>0.9. 4. The method as claimed in claim 2, wherein a predefined maximum value Imax, and a predefined minimum value Imin=IC>IS is selected, whereby a mean deviation value ΔI is defined as ΔI=Imax−Imin, and the cleaning process is performed when the emission current has fallen from the maximum emission value Imax to Imin. 5. The method as claimed in claim 4, wherein ΔI/Imax=γ, and γ is about 0.1. 6. The method as claimed in claim 1, whereinthe charged particle beam emitting device is an electron beam emitting device,the charged particle beam source is an electron emitter for emitting electrons andthe given operational conditions comprise a given pressure and a given energy applied to the emitter surface of the electron emitter to extract electrons. 7. The method as claimed in claim 6, wherein the electron emitter is a cold field emitter and the energy is an electric field. 8. The method as claimed in claim 1, wherein:the charged particle beam emitting device is an ion beam emitting device; andthe charged particle beam source is an ion emitter for emitting ions. 9. The method as claimed in claim 1, wherein step (a) comprises a master cleaning process for cleaning the emitter surface such that the charged particle beam source exhibits the high initial emission current I0 and the lower stable mean emission current IS. 10. The method as claimed in claim 9, wherein the master cleaning process comprises at least one master heating pulse to heat the emitter surface to a temperature TMC. 11. The method as claimed in claim 10, wherein TMC is about 2500 K to 2800 K. 12. The method as claimed in claim 1, wherein the charged particle beam source has an emitter tip at which the emitter surface is formed and wherein a thermal build-up process is performed to sharpen the emitter tip. 13. The method as claimed in claim 12, wherein multiple heating pulses are applied to the charged particle beam source during the build-up process. 14. The method as claimed in claim 13, wherein the heating pulses heat the emitter surface to a temperature TB, which is higher than the temperature TC of the cleaning process. 15. The method as claimed in claim 1, wherein the cleaning process is automatically synchronized with non-operational periods of the charged particle beam emitting device. 16. The method as claimed in claim 15, wherein the non-operational periods are periods during which the charged particle beam is defocused or deflected from a sample or specimen. 17. The method as claimed in claim 15, wherein the non-operational periods are triggered by an impulse of a timer, a drop of the emission current, an exchange of a sample or specimen, calibration of the charged particle beam emitting device, a sample or specimen movement, or a stage movement. 18. A method for operating an electron beam emitting device comprising a cold field emitter having an emitter surface, the method comprising the steps of:(a) placing the cold field emitter in a vacuum of a given pressure, the cold field emitter exhibiting a high initial emission current I0 and a lower stable mean emission current IS under a given electric extraction field;(b) applying the given electric extraction field to the cold field emitter for emitting electrons from the emitter surface, so that the emission current of the cold field emitter is higher than the stable mean emission current IS;(c) adjusting the strength of the electric extraction field to keep the emission current substantially stable and continuously above the mean stable emission current IS at a predefined value IC being higher than IS;(d) performing a cleaning process by applying at least one heating pulse to the cold field emitter for heating the emitter surface to a temperature TC, whereby the cleaning process is performed when the strength of the electric extraction field exceeds a predefined reference value; and(e) repeating the adjusting step (c) and the cleaning process to keep the emission current of the cold field emitter continuously above the substantially stable emission value IS. 19. The method as claimed in claim 18, wherein the predefined value IC is defined as IC=αI0, wherein α>0.9. 20. The method as claimed in claim 18, wherein TC is about 2200 K to 2500 K. 21. The method as claimed in claim 18, wherein the pulse width of the at least one heating pulse is 1 second to 2 seconds. 22. The method as claimed in claim 18, wherein each cleaning process comprises about 10 heating pulses and, in particular, 2 to 4 heating pulses being applied to the particle beam source or cold field emitter about every 1 second to 3 seconds. 23. The method as claimed in claim 18, wherein the electron beam emitting device comprises a suppressor electrode arranged around the cold field emitter, and wherein a suppressor voltage is applied to the suppressor electrode during the cleaning process. 24. The method as claimed in claim 23, wherein the suppressor voltage is between 300 V and 1000 V. 25. A method for operating a charged particle beam emitting device comprising a charged particle beam source having an emitter surface, the method comprising the steps of:(a) generating a charged particle beam;(b) focusing the generated charged particle beam onto a sample or specimen; and(c) automatically performing a cleaning process for cleaning the emitter surface upon occurrence of a triggering event, wherein the triggering event is an impulse of a timer, a decline of an emission current of the charged particle beam emitting device, an exchange of the sample or specimen, a calibration period, a sample or specimen movement, or a stage movement. 26. The method as claimed in claim 25, wherein the charged particle beam is defocused or deflected from the sample or specimen during the cleaning process. 27. A charged particle beam emitting device comprising:a charged particle beam source for emitting charged particles, the charged particle beam source comprising an emitter surface;a voltage unit configured to apply a voltage to the charged particle beam source for generating a charged particle beam;a heating element configured to heat the emitter surface; anda control unit comprising an input configured to receive a trigger signal, the control unit being operative to control the heating element to apply at least one heating pulse to the emitter surface of the charged particle beam source during the generation of the charged particle beam upon reception of a trigger signal, generated in response to a triggering event wherein the triggering event is an impulse of a timer, a decline of an emission current of the charged particle beam emitting device, an exchange of the sample or specimen, a calibration period, a sample or specimen movement, or a stage movement. 28. The charged particle beam emitting device as claimed in claim 27, wherein the charged particle beam emitting device comprises a beam blanker, the control unit being operative to control the beam blanker to deflect the generated particle beam upon reception of the trigger signal. 29. The charged particle beam emitting device as claimed in claim 27, wherein the charged particle beam emitting device comprises a focusing unit configured to focus and defocus the charged particle beam onto a sample or specimen, the control unit being operative to control the focusing unit to defocus the generated charged particle beam with respect to the sample or specimen upon reception of the trigger signal. 30. The charged particle beam emitting device as claimed in claim 27, wherein the charged particle beam emitting device is an electron beam emitting device and the charged particle beam source is a cold field electron emitter. 31. The charged particle beam emitting device as claimed in claim 30, wherein the electron beam emitting device is a scanning electron microscope (SEM), a transmission electron microscope (TEM), or a scanning transmission electron microscope (STEM). 32. The charged particle beam emitting device as claimed in claim 27, wherein the charged particle beam emitting device is an ion beam emitting device and the charged particle beam source is an ion emitter. 33. The charged particle beam emitting device as claimed in claim 27, wherein the emitter surface of the charged particle beam source has a radius of curvature of less than 250 nm. 34. The charged particle beam emitting device as claimed in claim 27, wherein the charged particle beam emitting device comprises a measuring element configured to measure the emission current of the charged particle beam source and a triggering unit adapted to provide a trigger signal when the emission current has dropped to a predefined value. 35. The charged particle beam emitting device as claimed in claim 27, wherein the charged particle beam emitting device comprises a carrying element configured to movably carry a specimen, a motion controller unit configured to control the carrying element, and a synchronizing means adapted to provide a trigger signal upon movement of the carrying element. 36. A charged particle beam emitting device comprising:a charged particle beam source for emitting charged particles, the charged particle beam source comprising an emitter surface;a voltage unit for applying a voltage to the charged particle beam source for generating a charged particle beam;a heating element configured to heat the emitter surface; anda control unit comprising an input for receiving a trigger signal, the control unit being operative to control the heating element to apply at least one heating pulse to the emitter surface of the charged particle beam source during the generation of the charged particle beam upon reception of a trigger signal;characterized in that the charged particle beam emitting device further comprises a measuring element for measuring the emission current of the charged particle beam source, and a triggering unit adapted to provide the trigger signal when the voltage applied by the voltage unit exceeds a predefined voltage value.
055286461
summary
BACKGROUND OF THE INVENTION a) Field of the Invention The present invention relates to a sample vessel for X-ray microscopes, and more specifically to a vessel which is to be applied to microscopy using light sources emitting X-rays or soft X-rays and configured so as to be capable of containing samples to be observed together with an aqueous solution. b) Description of the Related Art In recent years remarkable progress has been made in research and development of light sources emitting X-rays and optical elements for X-rays. X-ray microscopes are offered as commercial products which have been obtained as one kind of systems developed by utilizing the progress. These X-ray microscopes are of various types which utilize imaging means such as a grazing incidence optical system of a Walter type (FIG. 1A), a Fresnel zone plate utilizing diffraction (FIG. 1B) and a direct incidence type Schwarzschild optical system comprising two spherical mirrors coated with multi-layer films (FIG. 1C). Shown in FIG. 1A are Hyperboloids of revolution HR, reflecting surfaces RS, an object point OP, an Image point IP, and an ellipsoid of Revolution ER. Shown in FIG. 1B(2) are a Focal point of virtual Image VI, a Focal point of a real image RI, and a zone plate AP. Shown in FIG. 1C are an Image point Ip and an object point op. It is known that these X-ray microscopes have spatial resolution which is ten times or more higher than that of the ordinary optical microscopes using the visible rays owing to a fact that the X-ray microscopes have limits of diffraction which are lowered in proportion to the wavelengths of X-rays. X-ray microscopes which use wavelengths of several hundred angstroms, for example, have merit in that these instruments permit us to expect to obtain resolution as high as 50 nanometers or so and, in addition, the development of compact plasma light sources which emit lasers having high luminance has accelerated the development of X-ray microscopes for laboratory use. Under these circumstances, X-ray microscopes are now regarded as excellent microscopes which are to be used in the future. Further, attention is now being concentrated on X-ray microscopes using soft X-rays, i.e., soft X-ray microscopes, in addition to the X-ray microscopes which use the ordinary X-ray wavelength. The soft X-ray microscopes feature merits in that they permit microscopy with resolution higher than that available with the optical microscopes, and in that they do not require, unlike electron microscopes, any pretreatment of samples to be observed. Moreover, since soft X-rays damage biological samples far less than electron beams, these rays are applicable to microscopes for observing biological samples with high resolution and in a non-colored state or in conditions where the samples are kept nearly in their living conditions as the occasion demands. On the other hand, research is now being actively conducted for the utilization of soft X-rays which are within the so-called "window of water" region (soft X-rays having wavelengths within a range from 23 .ANG. to 44 .ANG.) since it has been clarified that biological samples can be observed in nearly living conditions thereof when soft X-rays within this region are used. Now, description will be made of conventional examples of operating principles and configurations of soft X-ray microscopes with reference to FIG. 2, FIG. 3 and FIG. 4. In the case of an imaging type soft X-ray microscope M which is illustrated in FIG. 2, a sample S to be observed contained in a sample vessel V is irradiated with soft X-rays which are emitted from a soft X-ray source LS and condensed by a condenser lens C, and a transmission image of the sample is imaged for detection by an objective lens O on a detector D. Since soft X-rays are absorbed remarkably by air, or have very low transmittance through air, a passage for the soft X-rays must be kept under vacuum and all members from the soft X-ray source LS to the detector D must be accommodated in a vacuum chamber VC. (A soft X-ray microscope of this type will hereinafter be referred to as a vacuum enclosed type.) Further, a soft X-ray microscope of a type illustrated in FIG. 3 is used for microscopy of biological samples which cannot be kept under vacuum. In the case of this type of soft X-ray microscope, the vacuum chamber is divided into two vacuum chambers: a vacuum chamber VC.sub.1 which accommodates a section including the members from the soft X-ray source LS to the condenser lens C and has an exit window W.sub.1 disposed on an exit end face of this section; and another vacuum chamber VC.sub.2 which accommodates another section including members from the objective lens O to the detector D and has an entrance window disposed on an entrance end face of this section. A sample to be observed S contained in a sample vessel V is placed in a space d which is reserved so as to be as narrow as possible between the exit window emergence W.sub.1 and the entrance window W.sub.2 of the vacuum chambers VC.sub.1 and VC.sub.2 respectively that is, in a space AS which is opened to an atmosphere. (A soft X-ray microscope of this type will hereinafter be referred to as an atmosphere-open type.) In this type of soft X-ray microscope, the sample to be observed S is irradiated with soft X-rays which are emitted from the soft X-ray source LS and condensed by the condenser lens C, and emerge through the exit window W.sub.1. Soft X-rays which have transmitted through the sample to be observed S are received through the entrance window W.sub.2 and a transmission image of the sample S is imaged for detection by the objective lens O on the detector D. When a sample, in particular a biological sample, is to be observed while it is kept in a wetted condition or while avoiding a drying of the sample under vacuum by using the soft X-rays, types of configurations of sample vessels applicable to the microscopy are different depending upon whether a soft X-ray microscope to be used is the vacuum enclosed type or the atmosphere-open type. When a soft X-ray microscope of the vacuum enclosed type is to be used for the microscopy, the sample to be observed must be kept in water under vacuum. In this case, the sample vessel V is configured so as to contain the sample to be observed S together with an aqueous solution AQ within an internal space IS which is reserved by forming two thin films F on a front surface and a rear surface of a relatively thin sample holding base plate B prepared so as to form the internal space. When a soft X-ray microscope of the atmosphere-open type which has a sample holding space open to atmosphere is to be used for the microscopy, it is unnecessary to form the thin films F on the sample base plate B, but it is sufficient to hold the sample to be observed S and the aqueous solution AQ in an internal space of the sample vessel V. As is judged from the fact described above that the soft X-rays are absorbed by an air layer formed in the sample holding space, however, it is necessary to configure the sample vessel so as to be capable of keeping the sample holding space under a pressure as low as possible. Furthermore, FIG. 5 exemplifies a sample vessel which has been conventionally proposed. (See Japanese Patent Preliminary Publication No. Sho 63-298200.) This sample vessel V is configured as a pair of structures each of which consists of a silicon base plate SB and a thin film of silicon nitride F approximately 0.3 .mu.m thick formed on one surface of the silicon base plate SB, for example, by CVD method, and has an opening W which is to be used as an entrance window or an exit window and formed by anisotropically etching the other surface of the silicon base plate SB. A sample accommodating chamber CH is formed by cementing a spacer SP made of a material containing silicon and having a predetermined thickness to a surface of the thin film of silicon nitride F of one of the structures with a bonding agent containing silicon. Water containing biological samples is accommodated in the sample accommodating chamber CH and a surface of the thin film of silicon nitride F of the other structure is cemented to the spacer SP by using the same bonding agent so as to enclose the water containing the biological samples. The sample vessel V which has accommodated the water containing the biological samples and the sealed sample accommodating chamber as described above is set in a vacuum chamber and evacuated to a predetermined pressure when the vacuum enclosed type soft X-ray microscope is to be used for the microscopy. When the latter atmosphere-open type of soft X-ray microscopy is to be used, in contrast, the sample vessel is set in a space reserved between the exit window and the entrance window of the vacuum chambers preliminarily evacuated to predetermined pressure levels before effecting the microscopy using soft X-rays. Each of the conventional sample vessels described above has a defect in that it allows the biological sample enclosed together with water to move freely during a period of time between when the sample is set in the microscope to when the microscopy starts, and during the observation of the sample. In other words, a slight movement of the biological sample hinders observation in case of X-ray microscopes and soft X-ray microscopes which have visual fields which are not as broad. The conventional sample vessels have a common defect in that they can hardly allow observation of a specific sample continuously for a long time since biological samples are moved due not only to convection of the water itself containing the biological samples, and activities of the cells of the biological samples, but also gravity and buoyancy applied to the biological samples in postures thereof. On the other hand, even the soft X-rays which are within the "window of water" (a soft X-ray having a wavelength of 40 .ANG., for example) exhibits a transmittance of the order of 14% for a water layer 5 .mu.m thick, for example, which is not so high. It is therefore more advantageous to prepare a sample vessel so as to set a layer of an aqueous solution containing a biological sample thinner (in a direction in which soft X-rays transmit therethrough), but it is more difficult to prepare a sample vessel which sets a thinner layer of an aqueous solution. Overall transmittance is made very low when the sample vessel for the vacuum enclosed type soft X-ray microscope is applied with no modification to the atmosphere-open type soft X-ray microscope in which soft X-rays pass through at least one air layer formed between the exit window W.sub.1 and the entrance window W.sub.2 of the vacuum chambers VC.sub.1 and VC.sub.2 on respectively. Even in a case where the soft X-rays which are within the "window of water" region are to be used for microscopy, a problem lies in how the sample to be observed S and the aqueous solution AQ are held relative to the internal space IS when the thin films F sandwiching the sample holding base plate B are omitted in the sample vessel V. Moreover, use of the sample vessel illustrated in FIG. 5 poses a problem which is described below. When a soft X-ray microscope adopts a white light source and a solid detector such as CCD's, the super-thin films which contain silicon and are used for composing the sample vessel allow transmission also of the visible rays and the detector detects the visible rays in addition to the desired soft X-rays, whereby the microscope cannot provide a microscopic image formed with the desired soft X-rays. In addition, when an X-ray source is a plasma light source emitting a laser, the sample vessel may be damaged by particles flying from the light source. SUMMARY OF THE INVENTION Objects of the present invention which have been made in view of the circumstances described above are as follows. That is, the first object of the present invention is to provide a sample vessel for X-ray microscopes which permits easily locating a sample to be observed within narrow visual fields of the X-ray microscopes for a long time. The second object of the present invention is to provide a sample vessel which eliminates not only the visible rays but also rays having wavelengths longer than those of soft X-rays before incidence onto a detector and has reinforce super-thin films. In order to attain the primary object of the present invention described above, the sample vessel for X-ray microscopes according to the present invention comprises a first member having an entrance window allowing transmission of at least X-rays, a second member having an exit window disposed in opposition to the entrance window, a spacer which is disposed between the first member and the second member so as to form a space for enclosing samples to be observed therein, and a mesh member disposed within the space for the samples for limiting movements of the samples to be observed. In order to attain the second object of the present invention, the sample vessel for X-ray microscopes according to the present invention has an entrance window coated with a metal material. In a preferred formation of the present invention, the mesh member is formed by braiding, into a mesh-like form, a wire material which is made of a substance forming at an angle of contact smaller than 90.degree. at ambient temperature relative to an aqueous solution enclosed together with samples to be observed in the space described above. Owing to the form of the mesh member, a water film which sustains itself due to surface tension is formed in each mesh and a sample is held in this water film. The wire material is one of metal such as nickel, a high molecular compound polymer or an inorganic compound. Further, the mesh member is formed so as to be freely put and taken into and out of the space described above. In the sample vessel for X-ray microscopes according to the present invention, the first member comprises a base plate having an opening of incidence matched with a window which forms an entrance window and a thin film disposed between the base plate and the space mentioned above. The thin film is coated with a metal material. This metal coating enhances mechanical strength of the window of incidence, serves for preventing damage of the sample vessel by particles flying from light sources even when a plasma light source emitting a laser is used and can lower the possibility of breakage of the window due to pressure differences. These and other objects as well as the features and the advantages of the present invention will be apparent from the following detailed description of the preferred embodiments to be referred to in conjunction with the accompanying drawings.
description
This application claims priority from U.S. Provisional Patent Application No. 60/527,106, filed Dec. 4, 2003, for “Maskless, Microlens EUV Lithography System with Grazing-Incidence Illumination Optics”, the entire disclosure of which is incorporated by reference. U.S. Pat. No. 6,498,685 (Ref. 1, incorporated herein by reference) disclosed an EUV lithography system comprising a xenon LPP (laser-produced plasma) illumination source, reflective illumination optics, microlens arrays, and microshutter SLM's (spatial light modulators), for achieving high-resolution, maskless printing. The illumination optics in the specific embodiment employed two Mo/Be (molybdenum/beryllium) multilayer mirrors for collimating the illumination. Mo/Be mirrors have a reflectance peak at 11.4 nm, which is well-matched to the xenon emission peak at 11 nm. Other prior-art EUV systems currently under commercial development (projection systems) use Mo/Si (molybdenum/silicon) mirrors, which are not as well-matched to the xenon source. (The Mo/Si reflectance peak is at 13.4 nm.) But Mo/Si mirrors are nevertheless preferred over Mo/Be due to the high toxicity of beryllium. The maskless system could also be designed to work with Mo/Si mirrors, but the efficiency of any system employing multilayer mirrors operating at near-normal incidence is significantly limited by the narrow bandpass of such mirrors (e.g., 0.27 nm FWHM for a Mo/Be mirror, and 0.56 nm FWHM for Mo/Si). As a result of this efficiency loss, EUV projection lithography systems are anticipated to have very high illumination power requirements, e.g., 400 W hemispherical source emittance in a 2% wavelength band at 13.5 nm. (This is for a throughput of 120 300-mm wafers per hour, Ref. 2.) Prior-art EUV systems employing mask projection optics require near-normal-incidence mirrors, at least in the projection optics, in order to achieve high-resolution imaging of the mask onto the printing surface. But the collection optics need not necessarily use near-normal-incidence optics. For example, Cymer Corp. has been developing a system that uses a grazing-incidence collimator mirror (Refs. 3 and 4), and a similar system is disclosed in Ref. 5. However, the primary motivations for using a grazing-incidence mirror in this context are that its tolerance to source-generated debris is much better than Mo/Si mirrors and it is comparatively inexpensive. Improved optical efficiency is not a major consideration because the efficiency is fundamentally limited by the narrow bandpass of the projection optics mirrors. The present invention improves upon the maskless EUV system disclosed in Ref. 1 by eliminating the use of near-normal-incidence mirrors and relying entirely on grazing-incidence mirrors in the illumination optics. For the purposes of this disclosure, a “grazing-incidence” mirror is defined as a mirror that operates at a grazing angle of less than 25 degrees (i.e., an incident angle to the normal greater than 65 degrees). In one aspect of the invention, a printing exposure apparatus comprises a radiation source, illumination optics consisting of one or more grazing-incidence mirrors, a modulator mechanism, a microlens array (ruthenium elements in a specific embodiment), and a scanning mechanism. The illumination optics convey radiation from the radiation source to the microlens array, and the microlens array focuses the radiation onto a corresponding array of focal points on a printing surface. The modulator mechanism modulates the radiation, whereby the focal points' exposure intensity levels are controllably varied, and the scanning mechanism establishes relative motion between the printing surface and the microlens array in synchronization with the modulator mechanism as the printing surface is exposed. This forms a synthesized, high-resolution exposure image on the printing surface. In a specific embodiment, the mirrors are preferably all ruthenium elements operating at a grazing angle of approximately 15° or less. As a result of using grazing-incidence illumination optics, the spectral bandwidth of the exposure radiation on the microlens array is not significantly limited by mirror reflections. For the purposes of this disclosure, “not significantly limited . . . ” is defined to mean that the illumination radiation on the microlens arrays has a FWHM spectral bandwidth of at least 2 nm.) In this embodiment, each of the mirrors preferably has a reflection efficiency of at least 82% (at wavelength 11 nm. In a specific embodiment, the source illumination is preferably collected by collimating collection optics, the collimated output from which is subdivided and distributed by distribution optics consisting of flat, grazing-incidence fold mirrors to illuminate one or more wafer print modules. In this embodiment, there are two print modules, each comprising 32 printheads covering a 300-mm wafer, and each printhead comprises 3,629,988 microlens apertures and microshutters (embodying the modulator mechanism) distributed over a 24-mm square printhead aperture. In some embodiments, at least one mirror is apodized to minimize edge diffraction effects, which may be accomplished by a diffraction grating that performs the apodization disposed on a periphery of the mirror's surface. Similarly, in some embodiments, at least one mirror has a reflectance characteristic that is configured to improve illumination uniformity on the microlens array, which may be accomplished by a diffraction grating structure disposed on the mirror surface. Further, in some embodiments, at least one mirror has disposed on a surface thereof a diffraction grating structure that performs a spectral-filtering function. In view of the possibility of embodiments such as the above-mentioned, it should be emphasized that for the purposes of this disclosure, a statement that the illumination optics, or a portion thereof, consists of one or more grazing-incidence mirrors (or at least one grazing-incidence mirror should not be seen as excluding the possibility of a coating, grating, or other structure on a surface or portion of the mirror surface. A further understanding of the nature and advantages of the present invention may be realized by reference to the remaining portions of the specification and the drawings. Table of Contents The following Table of Contents is provided to aid the reader in referring to specific portions of the specification. Illumination Source Microlens Design Microlens Optical Performance Print Quality Microlens Manufacture and Alternative Designs Printhead and Wafer Module Configuration Printing Throughput and EUV Power Requirements Collection Optics Distribution Optics Edge Effects and Apodization Efficiency Tuning Spectral Filtering Control System Architecture References ConclusionIllumination Source The illumination source is preferably a xenon LPP source (Refs. 2 and 6), which is preferred over alternative sources because of its small source size (<100 micron). A much larger source would degrade optical resolution. Also, the LPP is a relatively “clean” source in terms of debris generation. (Plasma sources other than xenon might also be considered. For example, krypton has a couple of spectral peaks near 10 nm that could be used for EUV lithography, Ref. 3.) The source is assumed to operate at a 10 kHz repetition rate, and the SLM microshutters operate in synchronization with the source at a 10 kHz frame rate. More specifically, the source may be a liquid-jet xenon LPP source comprising a vertical xenon filament flowing across a horizontally-directed laser beam. A representative LPP output power requirement is approximately 93 W hemispherical source emission in the wavelength range 10–12 nm. Microlens Design FIGS. 1A–1C illustrate the microlens design in a specific embodiment. This microlens embodiment is similar to the Ref. 1 design as to overall geometry, and as such the figures are labeled “Prior Art.” However, the dimensions of the specific microlenses are different and lens material is preferably ruthenium. The Ref. 1 system uses molybdenum microlenses. Molybdenum has somewhat better transparency at 11 nm, but ruthenium has better optical contrast. Other lens materials such as rhodium may be more appropriate for some applications. In a preferred embodiment, the first-stage microlens aperture diameter is 10 microns and the fill factor is 50% (compared to 5 microns and 63%, respectively, in Ref. 1), and the microlens substrate thickness (i.e., the first-stage microlens focal length) is 385 mm (vs. 171 mm for Ref. 1). The first- and second-stage microlens center thicknesses are 100 nm (vs. 20 nm in Ref. 1). As will be described further below, the lenses are designed to provide a wafer-plane focus spot with a FWHM (full width at half maximum) of 42 nm (compared to 58 nm for the Ref. 1 system). The design comprises meniscus, refractive lens elements, and is configured to be relatively simple and easy to manufacture. Alternative, more complex designs (multi-element lenses, Bragg diffraction lenses, phase Fresnel lenses) could exhibit much better optical resolution and efficiency, but may be more difficult to manufacture. The specific embodiment uses two-stage microlens arrays, but alternative embodiments may use three or more stages (e.g., see Ref. 1, FIG. 16) or may use single-stage microlens arrays (Ref. 7). The first-stage microlens L1 focuses incident EUV radiation onto focal plane 101 proximate to wafer surface 102 (FIG. 1A). An enlarged view of L1 is shown in FIG. 1B, and FIG. 1C shows an enlarged view of the focal region. The second-stage microlens L2 is located with its entrance aperture centered at or near the L1 focal point 103 on focal plane 101. (Although the lenses are focusing elements, they have a meniscus form because the refractive index of ruthenium is less than 1 at EUV wavelengths.) The top surface of L2 is designed to focus collimated incident radiation toward virtual focus 104, and the bottom surface further focuses the radiation toward focal point 105 on surface 102. A microshutter 106 proximate to L2 modulates the beam intensity at focal point 105. Microlens dimensional data is tabulated in FIG. 2. D1 and D2 are the aperture diameters of L1 and L2, respectively. The “z” quantities are vertical height coordinates of various design points relative to the wafer, as follows: z1: L1 top-surface vertex 107 (FIG. 1B) z2: L1 bottom-surface vertex 108 (FIG. 1B) z3: L1 focal plane 101 (FIGS. 1A, 1C) Z4: L2 top-surface vertex 109 (FIG. 1C) z5: L2 bottom-surface vertex 110 (FIG. 1C) z6: wafer surface 102 (FIGS. 1A, 1C) z7: L2 top-surface virtual focus 104 (FIG. 1C) The microlens dimensional scale is chosen to balance several competing design objectives. From the standpoint of optical performance, a smaller lens scale would be preferred for a variety of reasons: (1) Smaller lenses would be thinner at the edge and hence less absorbing, resulting in improved optical efficiency. (2) The L2 transmittance would improve most near the edge of the lens and would thus have the effect of increasing the numerical aperture, resulting in improved optical resolution. (3) The effects of chromatic dispersion and of the extended source size scale in proportion to lens focal length, so these factors would be less significant with smaller lenses. (4) With smaller lenses the total number of lenses would be greater, and hence printing throughput would increase. (5) The positional range of the SLM shutters scales with the lens dimensions. On the other hand, several competing design factors weight against small lenses: (1) Smaller lenses may be more difficult to manufacture. (2) A smaller lens scale would result in a shorter working distance between the printhead and the wafer. (3) With smaller lenses, the printheads would be thinner, more flexible and fragile. The design illustrated in FIGS. 1A–1C and 2 is an estimated best compromise between the above factors. The design was developed by the following procedure: The L1 and L2 aperture dimensions and center thickness were predetermined, and the L2 design was constrained to evenly distribute its optical power between the top and bottom L2 surfaces (i.e., the paraxial wavefront curvature difference across the top surface equals the difference across the bottom surface). The L2 focal length was selected to achieve a 40-nm point-spread function FWHM at wavelength 11 nm, and subject to this constraint the L1 focal length was selected to approximately optimize optical efficiency. The small working distance (about 2 micron) between the bottom L2 surface and the wafer creates concerns about surface contamination or possible damage from particle contact. The lens surface should preferably have a cleanable, damage-resistant coating similar to coatings used for thin-film heads. For example, NanoFilm Technologies (Ref. 8) produces a DLC/Si coating for such applications. The coating is 3 nm thick and has ±5% uniformity over large deposition areas. The EUV transmission loss of such a film would be only several percent. Carbon buildup on the lens could be periodically cleaned by methods similar to those used for EUV multilayer mirrors (e.g., atomic hydrogen cleaning, Ref. 9). Microlens Optical Performance FIG. 3 illustrates the microlens monochromatic point spread function (PSF) for several wavelengths. The horizontal axis represents position on the wafer plane, and the vertical axis represents power flux density, assuming unit flux density incident on L1. The PSF curves are labeled with the corresponding wavelengths (λ). The energy level falls off for wavelengths shorter or longer than the 11 nm design wavelength for two reasons: First, the chromatic dispersion in L1, combined with the spatial filtering action of the L2 aperture, tends to filter out short and long wavelengths. Second, long wavelengths are more strongly absorbed by ruthenium. The data tabulated in FIG. 4 illustrates these factors. The second and third columns of FIG. 4 tabulate ruthenium's refractive index contrast, 1−N, and absorption factor K, as a function of wavelength. (The complex refractive index is N+iK.) The refractive index contrast varies by a factor of 2.1 over a 3 nm wavelength band centered at 11 nm. The bulk absorption of ruthenium, which varies exponentially with K/wavelength, increases significantly toward the longer wavelengths. The microlenses' spectral filtering effect is augmented by the LPP's emission spectrum, which is sharply peaked near 11 nm. For purposes of the present design, the xenon LPP spectrum is assumed to have the form tabulated in column 4 of FIG. 4 (“Xe intensity”) and illustrated in FIG. 5. (See also FIG. 2 in Ref. 2.) The wavelength range 9.375–12.625 nm is divided into 13 intervals of width 0.25 nm, and the proportion of LPP emission energy in each interval is assumed to have the value tabulated in FIG. 4. (In practice the relative energy content of the short wavelengths would be somewhat reduced by the mirrors' reflectance spectrum, although this effect is neglected here.) The wafer-plane PSF size is affected by the LPP source spectrum, and also by the source size. The source is not imaged directly onto the wafer, but is rather imaged by L1 onto the L2 aperture. Consequently, optical resolution is not very sensitive to source size, but if the source is excessively large the spatial filtering action of the L2 aperture on off-axis source points will tend to broaden the PSF and reduce optical efficiency. For the present design, the collimated source size at the L1 entrance aperture is assumed to be 0.5 mrad (milliradians). (The collection optics achieves 0.5 mrad collimation with a 100-micron plasma source.) Image resolution is also strongly influenced by image digitization. A typical exposure pattern, such as a line or contact hole, is formed as a superposition of overlapping exposure spots centered at the points of a square printing grid. The number of spots overlapping a typical image point should preferably be great enough that a single defective pixel will not significantly affect the printed image. This implies that the dot density should be considerably higher than the optical resolution limit. On the other hand, an excessively high dot density will adversely impact printing throughput. An 8-nm printing grid step is assumed for the present design. (By comparison, the optical resolution limit is 26 nm.) FIG. 6 illustrates an example of an 8-nm grid pattern that could be used to print a contact hole. There are 21 exposure points (indicated by small, solid dots, e.g., dot 601) distributed on a 5-by-5 grid with the corner points omitted. FIG. 7 illustrates a cross-section of the 21-point exposure profile 701, which has a 50-nm FWHM, and FIG. 6 illustrates the exposure contour 602 at the 50% level. FIG. 8 tabulates the FWHM for several types of exposure spots: With an ideal monochromatic, point illumination source the PSF has the form illustrated by the “11 nm” curve in FIG. 3, which has a computed FWHM of 40.01 nm. With a point source and the assumed xenon LPP spectrum (FIG. 5) the FWHM is 41.57 nm. Including the 0.5 mrad source extent increases the FWHM just slightly to 41.72 nm. Finally, taking into account the source size and spectrum, the 21-point exposure of FIGS. 6 and 7 has a FWHM of 49.72 nm. The horizontal axis in FIG. 7 represents position on the wafer plane, and the vertical axis represents the wafer-plane energy flux density (integrated over the 3.25-nm spectral band of FIG. 5, and summed over the 21 laser pulses), assuming unit flux density (per laser pulse) incident on L1. If the resist exposure threshold is 5 mJ/cm2, the actual incident flux could be chosen so that the peak level of curve 701 (approximately 10000) corresponds to 10 mJ/cm2 (i.e., the incident flux on L1 is approximately (10 mJ/cm2)/10000=1 μJ/cm2 per pulse). In this case the 50% contour in FIG. 6 would correspond to the 5 mJ/cm2 threshold and the exposure pattern would produce a 50-nm contact hole. As described in Ref. 1, the scan pattern is designed so that the exposure spots generated by any one microlens are widely spaced and do not overlap significantly. This ensures that printing defects will not be closely clustered, and therefore will not significantly affect the printed pattern. For example, FIG. 6 illustrates the effect of a single defective pixel. If point 603 is unexposed (e.g., due to a stuck microshutter), the exposure contour has a slightly distorted form 604. (Other exposure points covered by the defective pixel would be sufficiently far from point 603 that they do not significantly affect the printed image near the missing point.) The resulting image error, defined as the maximum distance from any point on contour 604 to the nearest point on contour 602, is 2.1 nm. The error can be significantly reduced by exposing a nearby point. For example, if point 605 is exposed to compensate for unexposed point 603 the printed image has the form represented by contour 606, and the image error is reduced to 0.6 nm. Print Quality FIGS. 9–11 illustrate print quality metrics for the 50-nm contact hole pattern of FIG. 6. (The print metric definitions are adapted from Ref. 10; see sections 2.7.6–2.7.8.) Contour 602 in FIG. 9 represents the design image. The actual printed contour 901 is distorted by error factors such as focus, exposure, lens distortions, and lens positioning. The assumed imaging tolerance requirement is that every point on contour 901 must be within 2.5 nm of some point on contour 602. The tolerance limits are illustrated as curves 902 and 903. FIG. 10 illustrates the ED (exposure-defocus) window for the 50-nm contact hole, based on the 2.5-nm imaging tolerance. Curves 1001 bound the set of defocus and exposure values for which the imaging tolerance is achieved. The “Exposure” axis in FIG. 10 represents the exposure flux density per pulse incident on L1, measured in units of the resist exposure threshold. For example, if the resist exposure threshold is 5 mJ/cm2, then an exposure value of 2·10−4 in FIG. 10 corresponds to an incident flux on L1 of (2·10−4)·(5 mJ/cm2)=1 μJ/cm2 per pulse. The DOF-EL curve (depth of focus versus exposure latitude) corresponding to FIG. 10 is illustrated in FIG. 11 (curve 1101). The total window (area under curve 1101) is 12.8% μm. In practice the process window represented by curves 1001 and 1101 may be significantly restricted by optical aberrations induced by lens surface distortions. Non-systematic aberration errors that vary randomly between lenses are not too significant, because their effects tend to statistically average out to zero. (As illustrated in FIG. 6, even a completely dead pixel would not, by itself, result in an out-of-spec image.) Systematic errors that are consistent across a printhead or across an entire print module could, in some cases, be corrected by making compensating adjustments in exposure or processing conditions. Of greater concern are errors that are systematic across a printed image feature (i.e., the errors induced by different lenses are additive), but are non-systematic on a larger scale and hence cannot be corrected. The effect of such systematic, uncompensated errors is considered here. The print quality is primarily affected by low-order Zernike distortions, in particular, axisymmetric distortions and odd-symmetric distortions that have non-zero center tilt. FIG. 12 tabulates the most significant axisymmetric and odd-symmetric Zernike distortions orders. (The “R” functions are defined in Ref. 11, section 9.2.1.) The functions tabulated in FIG. 12 are scaled so that each has a unit RMS (root-mean-square) value over the unit circle. The ρ coordinate represents radial position on an optical surface, with ρ=1 corresponding to the clear-aperture radius (as defined by a geometric ray trace of an edge ray), and θ represents azimuthal position. Assuming the “Modeled surface distortions” tabulated in FIG. 12, the common process window has the form represented by curves 1002 (FIG. 10) and 1102 (FIG. 11). The RMS values in FIG. 12 represent surface distortions measured normal to each surface—not wave aberrations. (The latter are smaller by a factor of 1−N=0.064 at wavelength 11 nm; cf. FIG. 4.) Curves 1002 and 1102 are based on one thousand simulated printed images with random combinations of distortions that are at the FIG. 12 RMS limits. The total window represented by curve 1102 is 4.9% μm. The imaging quality is most strongly affected by wedge errors in L2, which are represented by odd-symmetric Zernike orders for the top and bottom L2 surfaces. As indicated in FIG. 12, a 0.15-nm RMS error is assumed for these orders. A centering error in L2 is equivalent to a surface wedge error; thus it is important that the lenses be manufactured by a process that achieves stringent (nanometer-scale) centering accuracy. In addition, the symmetry of the L2 lens form, and the axial alignment between top and bottom L2 surfaces, must be maintained to a high degree of accuracy. Microlens Manufacture and Alternative Designs FIG. 13 conceptually illustrates a printhead cross-section. The microlenses L1 and L2 and microshutter 106 are supported on a plate 1301 containing open channels 1302 between corresponding microlenses for EUV transmission. The microlenses are formed in ruthenium films 1303 and 1304. The channels could potentially be fabricated by methods similar to those used to make microchannel plates. For example, Ref. 12 describes a LIGA process for forming a nickel mold, which is filled with spin-on glass and then removed by reverse electroplating, leaving microchannels in the glass structure. (“LIGA” is an acronym for LIthografie Galvanoformung Abformung., which is German for lithography electroplating and molding.) The microlenses can be fabricated by a variety of processes such as focused ion beam etching or deposition or various masking processes (Refs. 13–18) or gray-scale etching (Ref. 19). Following is an outline of one possible process that could be used to form the L2 lenses (see FIGS. 14A–14E). A resist film 1401 (FIG. 14A) is coated on a mask layer 1402, and a dense array of holes (e.g., 1403) is formed in the resist using a 3-beam interference lithography process (Ref. 20). (It should be possible to fabricate holes with good uniformity and nanometer-scale centering accuracy by this method.) A subset of the resist holes is used to form holes in the mask layer (e.g., hole 1404, FIG. 14B). The resist is stripped, and the mask hole array is used to form the top L2 surface 1405 in the ruthenium layer 1304, using a masked ion etching or deposition process (FIG. 14C). A patterned spacer layer 1406 maintains the mask 1402 and ruthenium layer 1304 in a fixed positional relationship while an ion beam 1407 is projected through the mask and is directionally scanned and modulated to form the desired surface shape. The mask and spacer are removed, and the printhead superstructure (including L1 lenses and microshutters) is assembled on the ruthenium layer. (The printhead superstructure is not shown in FIGS. 14A–14E, but has the form illustrated in FIG. 13.) A second mask layer 1408 is then positioned below the ruthenium layer 1304 by means of a patterned spacer layer 1409, and is coated with resist 1410 (FIG. 14D). EUV illumination 1411 is projected through the printhead and is focused by surface 1405 through mask layer 1408 and into the resist 1410. The developed resist pattern is transferred into the mask 1408, which is subsequently used to form the bottom L2 surface 1412 by the same process used to form the top surface 1405 (FIG. 14E). Fabricated surface errors may optionally be corrected by the steps illustrated in FIGS. 15 and 16. The errors are first mapped over the printhead by means of a metrology process (FIG. 15) in which EUV illumination 1501 is projected through the microlens array 1304 and is analyzed by means of a detector array 1502 comprising an aperture array 1503 and detector elements such as element 1504. The detector array is laterally scanned across the microlens array's focal plane, and the detector signals are analyzed to determine the power transmission of individual microlenses and the focal point centering errors. The scan may be performed at various focus levels to determine focus errors, and may be repeated with the detector array in various positions and orientations in order to average out errors in the detector system itself. (Rather than using the detector array 1502, the lens errors may alternatively be mapped by printing and measuring a focus-exposure matrix.) After the errors have been mapped, an ion beam 1601 (FIG. 16) covering a localized region on the microlens array 1304 is raster-scanned over the array and is modulated to make small, region-specific corrections in the lens surface geometry. The scan may be repeated with the ion beam at different incident angles. Oblique-incidence scans may be used to correct odd-symmetric surface distortions, as illustrated in FIG. 16. Normal-incidence scans may be used to primarily correct lens thickness errors, or may be used in combination with oblique scans to correct thickness and focus errors. (The masked etch step of FIG. 14E would leave the lenses slightly under-etched, and the remaining excess material would be removed in the final corrective step of FIG. 16.) After the final etch, the metrology process (FIG. 15) is repeated for quality control and to determine calibration parameters for the printhead's focus/alignment servomechanism. The above process could significantly reduce low-order lens aberrations. It may not be possible to correct high-order aberrations by this method, but the effects of such aberrations could, to some extent, be counterbalanced by inducing compensating low-order aberrations in the lenses. The process illustrated in FIGS. 14A–14E, 15, 16 could be extended to form more complex, higher-performing microlenses. For example, FIG. 17 illustrates a two-element L2 design, which is similar to the single-element design of FIGS. 14A–14E, but with an additional ruthenium layer 1701 and lens surface 1702 formed on the bottom (cf. FIG. 15 in Ref. 1). The space 1703 between the embedded surface 1412 and layer 1701 could be filled with a high-index material such as boron or lithium, and the bottom lens surface 1702 could be formed by the same type of masked etching or deposition process used to make surface 1412. A limitation of the two-element lens configuration of FIG. 17 is that the L2 optical absorption is greatly increased by the extra element, possibly necessitating a reduction in lens size and working distance to reduce the loss. FIG. 18 illustrates an alternative lens type, a Bragg diffraction lens, that does not have this limitation. The lens is similar to a zone plate lens, except that the “zones” have very high aspect ratios and are optimally tilted in the manner of Bragg diffraction gratings. (An L2 lens formed as a conventional, thin zone plate lens would exhibit poor optical efficiency due to the combination of the very small grating period and the low optical contrast of EUV materials.) The Bragg lens 1801, illustrated cross-sectionally in FIG. 18, has axial symmetry about a vertical axis 1802 and comprises radially-stratified layers of a low-index material (e.g., layer 1803) and a high-index material (e.g., layer 1804). The action of the diffracting layers is analogous to grazing-incidence mirrors that reflect and focus incident radiation 1805 onto a focal point 1806 on the wafer surface 102. The Bragg diffraction mechanism is not efficient for small diffraction angles, so the central portion of the lens is masked by an obscuration 1807. (Alternatively, a meniscus, refractive lens, or Fresnel lens, could be used in the inner region, provided that its thickness can be controlled to accurately phase-match the inner and outer lens regions.) The particular design illustrated in FIG. 18 is configured to operate at a 13.5-nm design wavelength, and the low- and high-index layers are molybdenum and silicon. The lens diameter is 2 micron and the numerical aperture is 0.5, resulting in a focal length of 1.7 micron. The central obscuration's numerical aperture is 0.17. There are 18 grating periods, and the minimum grating period is 27 nm. The diffraction point-spread function has a theoretical FWHM of 13.1 nm (for collimated, monochromatic illumination). The diffraction efficiency in the first order exceeds 50% at 13.5 nm (neglecting any substrate or superstrate transmission loss), with about 90% of the transmitted energy in the first order. The lens has a very wide spectral band (>2 nm bandwidth), but chromatic dispersion could significantly limit optical resolution unless the spectrum is adequately narrowed. Thus, a system employing such lenses may need multilayer mirrors or other mechanisms (such as the mirror spectral filtering method described later in this disclosure) to limit the spectrum. Due to chromatic dispersion, the lens's dimensional scale may be a critical determinant of system optical efficiency. The efficiency is proportional to the usable spectral bandwidth, which is inversely proportional to the lens scale. Moreover, the required number of grating periods is proportional to the lens scale, so a small-size lens would be preferred from the standpoint of manufacturability as well as optical efficiency. FIGS. 19A–C illustrate a method for constructing Bragg diffraction lenses. An array of vertical, cylindrical, silicon posts (e.g., post 1901, shown cross-sectionally in FIG. 19A) is formed on a substrate 1902 at the designated lens positions. (The posts are preferably formed by interference lithography.) The array is filled in with a filler layer 1903, which is subsequently planarized and etched back to the substrate. FIG. 19A illustrates the structure half-way through the etch process. The etchant 1904 has partial selectivity for silicon, resulting in a vertical taper in the post. (The etchant composition may be varied during the process to modify the silicon selectivity and optimize the profile shape.) The post's cylindrical profile before etching is indicated as 1905, and the resulting tapered profile after etching is indicated as 1906. The post is then coated with conformal layers of molybdenum and silicon (such as molybdenum layer 1803 and silicon layer 1804, FIG. 19B). After each silicon layer is deposited, the structure is again filled with a filler layer 1907, which is etched back to induce a vertical thickness gradient in the silicon. For example, FIG. 19B illustrates the layer 1804 cross-section half-way through the etch process. The layer profile before etching is indicated as 1908, and the profile after etching is indicated as 1909. In this way, the layers' Bragg angles and surface curvature are optimized as the multiple layers are built up. After all the layers are formed, the top and bottom surfaces of the structure are planarized and etched to define the lens 1801 (FIG. 19C). For optimum optical performance, the lens thickness would be radially graded, with the central region being thicker. [In a variation of the above process, the Bragg lens layers may be deposited on the wall of a conical hole, rather than on a post.] Bragg lenses could be constructed for use at 11 nm wavelength by using, e.g., ruthenium for the low-index layers and boron or lithium for the high-index layers. Optical performance would not be as good as molybdenum/silicon lenses operating at 13.5 nm, however much better performance could be achieved by using vacuum as the high-index medium. A lens of this type could be formed by the process outlined above, with a sacrificial material substituted for the high-index medium. After forming the lens, the sacrificial layers are etched out, leaving the low-index layers as free-standing shell structures. FIG. 20 is a cross-sectional view of such a lens 2001. The lens diameter is 2 micron, and the numerical aperture is 0.5. The low-index layers (e.g., 1803) are preferably ruthenium, and the high-index layers (e.g., 1804) are empty spaces between the ruthenium layers. The layers are supported on a substrate 2002 such as a DLC (diamond-like carbon) layer (Ref. 8). The illustrated design is configured to have ruthenium layers with a minimum thickness of approximately 10 nm (although thinner layers could provide marginally better optical performance). In this particular design illustration the lens aperture comprises two annular zones, an inner zone indicated by its radial section 2003, and an outer zone with radial section 2004. The inner zone is configured to operate in the first diffracted order, while the outer zone operates in the second order (i.e., the grating period in the outer zone is doubled relative to a first-order Bragg grating). This reduces the number of layers in the outer zone by a factor of two and increases the layer spacing relative to a first-order lens (although it also approximately doubles the lens thickness in the outer zone). In this design the outermost ruthenium layer thickness is approximately 10 nm and the outermost vacuum layer thickness is approximately 34 nm. The lens's first-order diffraction efficiency exceeds 60% at the 11 nm design wavelength (excluding substrate losses), with over 85% of the transmitted energy going into the first order. The focus spot's monochromatic point spread function has a theoretical FWHM of 10.6 nm. It may also be possible to use Bragg lenses for lithography at x-ray wavelengths. For example, Ref. 21 describes a similar type of structure that focuses hard x-rays for microscopy applications. The above design options apply primarily to the L2 lens. For L1, other options are available due to its comparatively large size and low numerical aperture. One alternative would be to form L1 as a zone plate lens. Although zone plate lenses are limited by diffraction efficiency losses, absorption losses would be considerably less than a refractive lens of the type illustrated in FIG. 1B. (The latter exhibits only 15% transmittance at the 11-nm design wavelength.) Another option would be to configure L1 as a phase Fresnel lens (cf. FIGS. 14A, 14B in Ref. 1; see also Ref. 22). A method for manufacturing phase Fresnel lenses is illustrated in FIGS. 21A–21D. A replica lens mold 2101 (FIG. 21A) is formed, e.g., by means of nanoimprint lithography. The mold is filled with a deposited ruthenium layer 1303 (FIG. 21B), which is subsequently planarized (FIG. 21C) and relased from the mold (FIG. 21D) to leave a free-standing lens. (A large array of lenses would be formed in parallel by this method.) Printhead and Wafer Module Configuration FIG. 22A shows a plan view of a printhead 2201. The printhead aperture has dimensions 24-by-24 mm. The L1 lens apertures are distributed over the printhead in a hexagonal pattern, as illustrated by the enlarged view in FIG. 22B. The L1 apertures (e.g., 2202) have a 10 micron diameter and 13.6 micron center spacing, resulting in a lens fill factor of approximately 0.5 (FIG. 22A is only a schematic illustration. The actual number of L1 apertures per printhead is 3.6·106.) A wafer print module (FIG. 23) comprises 32 printheads (e.g., printhead 2201) distributed in 6-by-6 array with the corner elements omitted. The printhead center spacing is 48 mm (twice the aperture width). The printheads are disposed above the wafer 2301a. Either the wafer, the printheads, or both are laterally scanned during the printing process. FIG. 23 illustrates the wafer in four scan positions (2301a, 2301b, 2301c, 2301d) relative to the printheads. At each position a raster scan is performed to expose the portion of the wafer covered by the printheads. The total wafer-plane printable area relative to the wafer aperture 2301a is illustrated by the dashed line 2302. The printable area is four times the aggregate printhead aperture area. 97% of the wafer is within the printable area, and 93% of the printable area is within the wafer aperture. Two wafer print modules having the form of FIG. 23 are supplied illumination from a single LPP source. FIGS. 24–26 show more detail of the microlens layout and the raster scan pattern. (This design was developed by the procedure demonstrated in Ref. 1, Eqs. 8.55–8.97.) Dimensional values, which are tabulated in FIG. 26, are all integer multiples of the printing grid step, G=8 nm (Eq. 2601). The printhead 2201 comprises microlens apertures (e.g., aperture 2401), which are arranged in rows (“row 1”, “row 2”, etc.) with the even-numbered rows offset relative to the odd-numbered rows to form the hexagonal layout pattern. The number of pixels per row (Npixel/row) is 1026 (Eq. 2602), and the number of rows per printhead (Nrow/head) is 3538 (Eq. 2603). The lens center spacing within each row (ΔXpixel G) is 23.392 μm (Eq. 2604), and the center offset between rows (ΔYpixel G) is 6.784 μm (Eq. 2605). The nominal printhead dimensions (Wx and Wy) are 24.000192 mm (Eq. 2606) and 24.001792 mm (Eq. 2607). These are the exact stepping displacements between scan positions 2301a, 2301b, 2301c, and 2301d in FIG. 23. If circle 2402a in FIG. 24 is interpreted as the position of a particular L1 aperture relative to the wafer in scan position 2301a (FIG. 23), then the dashed circles 2402b and 2402d represent the same aperture's position (relative to the wafer) in scan positions 2301b and 2301d, respectively. [Note: In Ref. 1 the printing grid steps Gx and Gy were adjusted slightly to satisfy Eqs. 8.92 and 8.97, but in the present design G is fixed and Wx and Wy are instead adjusted to satisfy these relationships.] At each scan position the printheads are raster-scanned over the wafer so that each printhead pixel prints a dot pattern of the form illustrated in FIG. 25. Starting with dot 2501, the pixel prints a serpentine pattern of dots in synchronization with the pulsed EUV source. The number of pulses per raster line (Npulse/line) is 2924 (Eq. 2608), and the number of raster lines per scan (Nline/scan) is 848 (Eq. 2609). The pixel's dot spacing between pulses (ΔXpulse G) and the spacing between raster lines (ΔYline G) are both 104 nm (Eqs. 2610, 2611). This dimension is chosen so that there is no significant overlap between the exposure spots generated by any particular pixel, to avoid clustering of image defects from bad pixels. For some applications a different scan pattern might be used to better optimize the tradeoff between print quality and throughput. For example, the raster pattern could skip every other dot on each raster line, and could also skip every other raster line. This would increase the printing grid step from 8 nm to 16 nm (still well within the 26 nm optical resolution limit), but would quadruple throughput. (However, the EUV power would also have to be quadrupled to maintain the same exposure level.) Printing Throughput and EUV Power Requirements FIG. 27 summarizes the factors that go into the wafer throughput calculation. The total number of laser pulses per scan is Npulse/scan =2.4·106 (Eq. 2701). There are four scans per print cycle, so the total number of pulses per cycle is Npulse=9.9·106 (Eq. 2702). Dividing this by the 10 kHz source repetition rate (vsource, Eq. 2703) yields a total scanning time per cycle (tcycle—scan) of 16.53 min (Eq. 2704). Taking into account the throughput overhead for wafer loading, alignment, and field stepping, the total time per cycle is estimated at tcycle≅20 min (Eq. 2705). This is the time to print two wafers, so the print time per wafer is twafer≅10 min (i.e. 6 wph, Eq. 2706). The EUV power calculation comprises the factors summarized in FIG. 28. First, the total print area is computed (Eqs. 2801–2804). The number of pixels per printhead is Npixel/head=3.6·106 (Eq. 2801), and multiplying this by the 32 printheads per module and the 2 modules gives the total number of pixels, Npixel=2.3·108 (Eq. 2802). Factoring in the number of pulses per print cycle (Npulse) yields the total number of print dots, Ndot=2.3·1015 (Eq. 2803), and multiplying this by the printing grid cell area (G2) gives the total print area per print cycle (this is for two wafers): Aprint=1475.cm2 (Eq. 2804). Next, the wafer-plane energy density requirement is estimated. This calculation is based on the 21-point exposure pattern illustrated in FIGS. 6 and 7. The horizontal axis in FIG. 7 represents position on the wafer plane, and the vertical axis represents the wafer-plane energy flux density (integrated over the 3.25-nm spectral band of FIG. 5, and summed over all laser pulses), assuming unit flux density (per laser pulse) incident on L1. The clearing exposure level (i.e. the wafer-plane energy flux with all points on the 8-nm grid exposed) would be higher than the peak of curve 701 by a factor of 2.12. (The peak level is 9820, and the clearing exposure level is 20800.) The actual incident flux is chosen so that the 50% level on curve 701 corresponds to the assumed resist exposure threshold, QA—resist=5 mJ/cm2 (Eq. 2805); thus the exposure pattern in FIG. 6 would produce a 50-nm contact hole. The 21-point exposure pattern peaks at QA—peak=10 mJ/cm2 (Eq. 2806), and the clearing exposure level is QA—clear=21.2 mJ/cm2 (Eq. 2807). Multiplying this by the total print area Aprint yields the maximum total EUV energy Qprint delivered to the wafer plane (within the 3.25-nm spectral band) per print cycle, Qprint=31.26J (Eq. 2808). The various system efficiency factors are then divided out of Qprint to determine the source power requirement. The spectrally-averaged L1, L2 transmittance efficiency over a 3.25-nm spectral band centered at 11 nm is ηL1,L20.0172 (Eq. 2809). This factor takes into account the microlens absorption loss and vignetting by the L2 aperture, and is weighted by the xenon spectrum (FIG. 5). The L1 aperture fill factor is nominally 0.5, however a more accurate calculation based on FIG. 24 gives ηff=0.495 (Eq. 2810). Dividing ηL1, L2 and ηff out of Qprint, the total EUV energy delivered to the printheads per print cycle is determined to be Qheads=3673.J (Eq. 2811). The collimation optics (described in the next section) have an optical efficiency of ηcoll=0.0808 (Eq. 2812). This factor includes the geometric efficiency from a 2π−sr (steradian) hemispherical source emission range to the 64 24-mm-square printhead apertures, and also includes reflection losses at the collimation mirrors, but does not include the fold mirror reflectance. The fold mirrors have a combined reflection efficiency of ηfold=0.485(Eq. 2813). This includes two mirrors operating at a 15° grazing angle and three operating at 10.3°. (These efficiencies were calculated at the 11-nm wavelength, neglecting the mirror's reflectance spectrum.) An additional loss factor ηmisc=0.8 (Eq. 2814) is also assumed to take into account miscellaneous losses such as optical attenuation by the ambient xenon gas, or DLC lens coating losses. Combining these efficiency losses with Qheads, the estimated source energy requirement is Qsource=1.171·105 J per print cycle (Eq. 2815). Dividing this by the cycle scan time (tcycle—scan=992.sec, Eq. 2704) yields the source power Φsource, 3.25 nm=118.1 W (Eq. 2816). This is the power over 2π sr, within a 3.25-nm spectral band centered at 11 nm. It may be more useful to specify the power in a 2-nm band from 10 to 12 nm, because very little of the energy outside this band actually gets through the lenses (see FIG. 3). 78.4% of the xenon emission energy in the 3.25-nm band is within the 2-nm band, so the power required in the 2-nm band is Φsource, 2 nm=92.6 W (Eq. 2817). (For comparison with the prior art, this corresponds to approximately 4.3 W within a 2% band at 13.5 nm, versus the 400 W requirement for EUV projection systems, Ref. 2.) Collection Optics The illumination system comprises collection optics and distribution optics. The collection optics reshape the point-source beam emitted by the LPP into horizontally collimated beams. (Collimating the source illumination in a horizontal direction is convenient if the system uses a liquid-jet xenon LPP source comprising a vertical xenon filament flowing across a horizontally-directed laser beam.) The distribution optics partition and redirect the horizontally collimated beams onto the microlens arrays, which are in a horizontal plane above horizontally-disposed wafers. (A horizontal orientation is advantageous for wafer handlers and scanners operating in a vacuum environment.) A description of the collection optics follows, and the distribution optics will be described in the next section. The mirrors preferably comprise bare ruthenium films, except that some mirrors may be provided with special surface treatments, in the form of additional film coatings or diffractive surface relief structures, to enhance optical performance. The surface treatments could perform functions of apodization (to mitigate diffraction effects at the mirrors' limiting apertures), efficiency tuning (to attain uniform irradiance across all printheads), and spectral filtering (to mitigate the effects of chromatic dispersion in the microlenses and wavelength-dependent mirror reflectivity). The source is collected by means of collimation mirrors comprising three concentric shells, each shell comprising two grazing-incidence collectors having a form similar to x-ray telescope mirrors of the Wolter-Schwartzchild type (Ref. 23). (Whereas x-ray telescope mirrors are designed to satisfy the Abbe sine condition, the collimator mirrors of the present invention are designed to produce uniform output irradiance, taking into account the variation in mirror reflection efficiency across the output aperture.) The collection optics are illustrated cross-sectionally in FIG. 29. The LPP source 2901 emits point-divergent EUV radiation, which is partitioned into three beams by a set of three curved, grazing-incidence mirrors collectively designated M1 and individually designated M1.1, M1.2, and M1.3. A second set of three curved, grazing-incidence mirrors, collectively designated M2 and individually designated M2.1, M2.2, and M2.3, collimates the output from M1 in a horizontal direction. The M1 and M2 mirrors are all axially symmetric about a common horizontal axis 2902. The two sets of mirrors provide sufficient degrees of freedom to collimate the illumination, and also to provide uniform irradiance, taking into account the variation in mirror reflectivity with grazing angle. (The design could also be configured to take into account an axially-symmetric source emission profile.) The illustrated design is configured to provide uniform spectral irradiance at the 11-nm design wavelength, but in practice it could be configured to provide a uniform, spectrally-integrated clearing exposure level at the printing surface. The mirror design is determined by the optical geometry illustrated in FIG. 30, and by the defining equations tabulated in FIG. 31. Cross-sectional Cartesian coordinates y, z are employed in the geometry specification, wherein z is the horizontal coordinate and y is the vertical coordinate. (In this context y can be interpreted alternatively as the radial coordinate of a cylindrical coordinate system with axial coordinate z.) A particular geometric ray 3001 originates from the LPP source 2901, reflects off one of the mirrors M1.n (n=1, 2, or 3) at point {y,z}={y1, z1}, and reflects off mirror M2.n at point {y,z}={y2, z2}. After reflection, the ray direction is parallel to axis 2902. The ray's grazing angle on M1.n is γ1, and on M2.n is γ2. The ray segment from source 2901 to point {y1, z1} has length l1, and the segment's deviation angle from axis 2902 is Θ1. The ray segment from point {y1, z1} to point {y2,z2} has length l2, and this segment's deviation angle from axis 2902 is Θ2. Based on the geometry illustrated in FIG. 30, the y1, z1, y2, and z2 coordinates are defined by Eqs. 3101, 3102, 3104, and 3105 in FIG. 31; and based on the law of reflection, γ1, and γ2 are defined by Eqs. 3106 and 3107. These six defining equations contain four undetermined quantities: l1, l2, Θ1, and Θ2. Of these four, one (Θ1) will be taken to be a free parameter and the other three (l1, l2, and Θ2) will be taken to be implicit functions of the free parameter. (Using square braces to delimit function arguments, the functional dependence of these quantities may be indicated explicitly as l1=l1[Θ1)], l2=l2[Θ1], Θ2=Θ2[Θ1].) Three additional equations are required to determine these three functions. Two of these (Eqs. 3103, 3108) are obtained from the law of reflection applied at points {y1, z1} and {y2, z2}, and the third (Eq 3109) is obtained from the uniform-irradiance design condition. Eq. 3103 explicitly defines the function l2[Θ1]. (This definition involves an integration constant, c, which is a free design parameter.) Eqs. 3108 and 3109 are differential equations, which can be numerically integrated to determine l1[Θ1] and Θ2[Θ1]. (The solution involves two additional integration constants, initial values for l1, and Θ2, which are also free design parameters.) The factor I0 in Eq. 3109 represents the LPP source intensity, weighted by all of the optical efficiency losses between the source and the wafer, and spectrally integrated. (I0 is a function of Θ1.) The factor Eprint is a constant representing the local-area-averaged, spectrally integrated irradiance at the wafer plane. (Eprint represents the instantaneous wafer-plane irradiance, with all microshutters open, averaged over an area that is large compared to the microlens aperture dimensions but small compared to the printhead dimensions. Depending on how Eprint is defined, it may represent the irradiance incident on, or absorbed by, the resist.) The stipulation that Eprint is constant ensures a uniform clearing exposure level. FIG. 32 outlines the derivation of Eqs. 3103 and 3108. Eq. 3201 is obtained from the law of reflection applied at point {y1, z1}. dy1 and dz1 are differential increments of y1 and z1 associated with an infinitesimal change in Θ1, and the vector {dy1, dz1} is tangent to the M1.n surface at point {y1, z1}. The left-hand term in Eq. 3201 is a vector normal to the surface. Similarly, in Eq. 3202 dy2 and dz2 are differential increments of y2 and z2, the vector {dy2, dz2} is tangent to surface M2.n at point {y2, z2}, and the left-hand term is normal to the surface. The sum of Eqs. 3201 and 3202 can be directly integrated to obtain Eq. 3203. The parenthesized expression in Eq. 3203 is equal to a constant denoted c; hence Eq. 3204 is obtained, and elimination of z2 between Eqs. 3105 and 3204 yields Eq. 3103. Eq. 3202 can be simplified to the form of Eq. 3205, from which Eq. 3108 is obtained. The derivation of Eq. 3109 is outlined in FIG. 33. The M1, M2 mirror design is based on the assumption that the spectral radiant intensity Iλ emitted by the LPP (i.e., emitted EUV power per unit solid angle, per unit wavelength) is a function only of wavelength λ and the axial emission angle Θ1: Iλ=Iλ[λ, Θ1]. The differential EUV power dΦsource emitted by the source into differential solid angle dω and differential wavelength band dλ is Iλ dω dλ, Eq. 3301. Considering the directional range bounded by emission angles Θ1 and Θ1+dΘ1, and by an azimuthal angle range dφ relative to axis 2002, the solid angle dω defined by this range is sin Θ1 dΘ1 dφ, Eq. 3302. The M1 and M2 mirrors map rays within this range into a collimated beam bounded by corresponding y limits, y2 and y2+dy2, and the same azimuthal range dφ. These rays define a collimated beam covering aperture area dA=y2 dy2 dφ, Eq. 3303. The aperture area is preserved as the collimated beam traverses the distribution optics to the printhead array, but the power in the beam at the printheads, dΦhead, is attenuated relative to dΦsource by a factor ηmirror representing the collection and distribution mirrors' combined reflection efficiency, Eq. 3304. (In Eqs. 2812 and 2813 of FIG. 28 the mirror efficiency is represented by two separate factors, ηcoll and ηfold but in a more accurate analysis ηmirror would not actually be separable into separate mirror contributions because the mirror reflectance is polarization-dependent.) It is assumed that ηmirror is a function only of wavelength λ and the grazing angles γ1 and γ2: ηmirror=ηmirror[λ, γ1, γ2] . Combining the above factors, the differential irradiance dEhead at the printheads within the dλ wavelength band is obtained, Eq. 3305. An additional wavelength-dependent factor ηhead, representing the printhead transmission efficiency, is applied to dEhead to obtain the local-area-averaged, wafer-plane, differential irradiance dEprint. (dEprint represents an average over an area that is large compared to the microlens aperture dimensions, but small compared to the printhead dimensions.) ηhead includes the lens fill factor ηff and lens transmittance ηL1, L2 (Eqs. 2810 and 2809). dEprint may also include a resist efficiency factor ηresist (e.g., so that dEprint represents the differential irradiance actually absorbed by the resist—not just the incident irradiance), Eq. 3306. Upon integrating dEprint over wavelength, the total (spectrally-integrated) wafer-plane irradiance Eprint is obtained, Eqs. 3307 and 3308. Eprint contains a factor I0 which represents the source intensity, weighted by all of the system efficiency factors between the source and resist, and integrated over wavelength, Eq. 3308. The functional arguments of the various factors in Eq. 3308 are indicated explicitly. All of the integrand factors are functions of λ; Iλ is also a function of Θ1; and ηmirror is also a function of γ1 and γ2 (which are implicitly functions of Θ1. Eqs. 3106 and 3107). I0 is a function only of Θ1. Eprint does not itself have any functional dependencies, because the design objective is to make Eprint constant over the wafer. Eq. 3109 follows from Eq. 3307. The M1, M2 design assumptions and parameters for the illustrated embodiment are tabulated in FIG. 34 (for M1.1 and M2.1), FIG. 35 (for M1.2 and M2.2), and FIG. 36 (for M1.3 and M2.3). Two simplifications of Eq. 3308 are used for this design: First, the Θ1 dependence of Iλ, [λ, Θ1] is neglected. Second, ηmirror [λ, γ1, γ2] is assumed to be multiplicatively separable into a function of only λ and a function of only γ1 and γ2, implying that I0[Θ1] has the simplified form of Eq. 3401, in which I1 is a design constant. The M1.n, M2.n design prescription outlined above (FIGS. 29–33) involves six free design parameters: the constants c and Eprint two Θ1 limits defining the M1.n aperture limits (these will be designated Θ1—min and Θ1—max) and the initial conditions on l1 and Θ2 associated with the differential equations, Eqs. 3108 and 3109. Thus, six numeric constraints must be specified for each mirror pair M1n, M2.n, n=1, 2, 3. Specified quantities are indicated by the “spec.” notation in FIGS. 34–36, and the remaining quantities are derived from the specifications. For the M1.1, M2.1 mirror pair, the following six constraints are imposed: (1) Θ1—max is 60°, Eq. 3402. A larger Θ1—max would improve geometric collection efficiency, but to keep the grazing angles γ1 and γ2 less than approximately 15° Θ1—max is limited to 60°. (2) Θ1—min is 50°, Eq. 3403. A smaller Θ1—min could improve collection efficiency, but would increase the overall length of the collection optics. (3) l2[Θ1—min] is 50 mm, Eq. 3404. A smaller l2[Θ1—min] would make the system somewhat shorter and would increase mechanical clearances, but would also result in strong nonlinearities in the functions γ1[Θ1] and γ2[Θ1]. (4) γ2[Θ1—max] is specified as 15° (Eq. 3405), implying that γ1[Θ1—max] is also 15° (Eqs. 3106, 3107). This is to ensure that the grazing angles do not significantly exceed 15°—otherwise reflection efficiency would be degraded. (5) y2[Θ1—max] is 260 mm (Eq. 3406). (6) y2[Θ1—min] is 230 mm (Eq. 3407). The 30 mm difference is sufficient to accommodate the 24-mm printhead width plus optical clearances. Smaller y2 limits would make the collection optics more compact, but would result in optical interference within the distribution optics system.Based on the above specifications, the quantities c, I1/Eprint, I1[Θ1—min], and Θ2[Θ1—min] have the values given in Eqs. 3408–3411. For the M1.2, M2.2 mirror pair, the design constraints are as follows (FIG. 35): (1) Θ1—max is 47°, Eq. 3501. This is to provide 3° clearance between the M1.1 and M1.2 collection ranges (cf. Eq. 3403), resulting in a 6-mm edge thickness allowance for M1.2. (2) 12[Θ1—min] is 50 mm, Eq. 3502 (based on the same tradeoff considerations that apply to M1.1, M2.1). (3) γ1[Θ1—min] and γ2[Θ1—min] are equal, Eq. 3503. This is to balance the optical power between M1.2 and M2.2 and minimize reflection loss. (γ1 and γ2 are well below 15° over the full M1.2, M2.2 apertures.) (4) The I1/Eprint ratio is matched to that of mirrors M1.1, M2.1, Eq. 3504 (cf. Eq. 3409). This is to ensure that all the mirrors produce the same irradiance level. (5) y2[Θ1—max] is 224 mm, Eq. 3505. This is 6 mm less than the M2.1 lower y2 limit (Eq. 3407), providing adequate allowance for M2.2 edge thickness. (6) y2[Θ1—min] is 194 mm, Eq. 3506. Again, the 30 mm difference between the y2 limits is selected to accommodate the 24 mm printhead width plus optical clearances.Based on these specifications, Θ1—min, c, l1[Θ1—min], and Θ2[Θ1—min] have the values given in Eqs. 3507–3510. The M1.3, M2.3 mirror design parameters are outlined in FIG. 36: (1) Θ1—max is 34.7916°, Eq. 3601. This is to provide 3° clearance between the M1.2 and M1.3 collection ranges (cf. Eq. 3507), resulting in a 5-mm edge thickness allowance for M1.3. (2) l2[Θ1—min] is 70 mm, Eq. 3602. (3) γ1[Θ1—min] and γ2[Θ1—min] are equal, Eq. 3603. (4) The I1/Eprint ratio is matched to that of mirrors M1.1, M2.1, Eq. 3604 (cf. Eq. 3409). (5) y2[Θ1—max] is 188 mm, Eq. 3605. This is 6 mm less than the M2.2 lower y2 limit (Eq. 3506). (6) y2[Θ1—min] is 158 mm, Eq. 3606.Based on these specifications, Θ1—min, c, 11[Θ1—min], and Θ2[Θ1—min] have the values given in Eqs. 3607–3610.Distribution Optics The collimated output from the collection optics (the three shell reflectors described above) is subdivided and distributed by the distribution optics. In short, the distribution optics consist of flat, grazing-incidence fold mirrors to illuminate the print modules. In a specific embodiment, there are two wafer print modules, each comprising 32 printheads covering a 300-mm wafer (FIG. 23). Further, each printhead comprises 3,629,988 microlens apertures and microshutters distributed over a 24-mm square printhead aperture (FIG. 28, Eq. 2801). FIG. 37 illustrates the illumination pattern on a print module (cf. FIG. 23). Each printhead 2201 is covered by a 28-mm square illumination zone 3701. There is a 2-mm overfill clearance around the 24-mm-square printhead to accommodate the source's penumbral shadow region and edge diffraction effects. (These factors are discussed in the next section.) FIG. 37 shows small arrow indicators on the illumination zones (e.g., arrow 3702 on zone 3701); these serve as orientation references for correlating various views of the distribution optics. The printheads and illumination zones are indexed by their column and row indices in the array, e.g., zone 3701 has array indices 1, 5 and may be designated as “zone 1, 5”. The zones are subdivided into four aperture subgroups 3703, 3704, 3705, and 3706, each comprising 8 zones. Each subgroup may be designated by the array indices of its central zone, e.g., group 3703 may be designated as “aperture subgroup 2, 2”. FIG. 38 is an axial view of the collection mirrors M2.1, M2.2, M2.3, with the line of sight parallel to the collimated beam direction. This shows the collimated output beam of the collection optics in the projection plane designated 2903 in FIG. 29. The beam comprises illumination subapertures corresponding to individual illumination zones—for example, subaperture 3801 illuminates zone 1, 5. The indexed subapertures in FIG. 38 correspond to illumination zones on one of the two print modules (FIG. 37). The dashed rectangles (e.g., 3802) represent subapertures corresponding to the other print module. Portions of the M2.1, M2.2, M2.3 apertures outside of the illumination subapertures are optically inactive and may be used for mechanical framing. The entire optical system, including both modules, has bilateral symmetry across a vertical center plane 3803. FIG. 39 illustrates the distribution optics. The figure does not show the collection mirrors, but it shows the projection plane 2903 of FIG. 38. The illumination zones of one of the print modules (e.g., zone 3701) are indicated collectively as 3901, and the zones for the other module are indicated as 3902. Only the distribution optics for zones 3901 are shown—the optics for zones 3902 have a symmetric form, based on the system's bilateral symmetry. The distribution optics for zones 3901 comprise five sets of flat mirrors indicated as M3, M4, M5, M6, and M7. The illustrated parallelograms in each set represent the projections of the illumination zones onto corresponding mirror planes. (Many of the parallelograms are non-rectangular, causing the perspective view of FIG. 39 to appear somewhat distorted.) The center ray 3903 for one particular illumination zone (3701) is shown in FIG. 39, and the mirror apertures intercepted by this ray are highlighted. The ray traverses illumination subaperture 3801 on projection plane 2903, and intercepts mirror apertures 3904, 3905, 3906, 3907, and 3908 in mirror sets M3, M4, M5, M6, and M7, respectively. The grazing angles are 15° on mirrors M3 and M4, and are approximately 10.3° on mirrors M5, M6, and M7. In the projected view of FIG. 38, the projection apertures and M3 mirror apertures are superimposed (e.g., projected subaperture 3801 coincides with mirror aperture 3904 in this view). Also, in FIG. 37 the illumination zones coincide with the M7 apertures (e.g., zone 3701 coincides with mirror aperture 3908). The mirror surfaces in each set M3, M4, and M7 are parallel. Furthermore, the M3 and M4 mirror surfaces corresponding to each aperture subgroup are coplanar. Thus, M3 and M4 may each comprise just four mirrors, one for each subgroup. (Some of the M7 surfaces are also coplanar, allowing them to be consolidated.) The coplanarity constraint in M3 and M4 is not a strict design requirement—the system might be made more compact by removing this constraint, but the number of mirror parts would increase significantly. The illumination beams for the 32 illumination zones 3901 all follow parallel paths between successive mirror sets, except between M5 and M6. The beam directions between M5 and M6, and the M5 and M6 mirror surface tilts, are selected to induce slight orientation shifts between the illumination subapertures in projection plane 2903. As illustrated in FIG. 38, the subapertures (e.g., 3801) for each print module all have nearly the same orientation, except for slight orientational differences to fit them to the annular M2 apertures. (Note the orientation arrows.) These orientational differences are induced by the M5, M6 mirror tilts. FIGS. 40–43 show several additional views of the distribution optics. FIG. 40 is a projected view of M6 and M7, with the line of sight parallel to the beam direction between M6 and M7. In this view, the M6 and M7 mirror apertures (e.g., 3907 and 3908) are superimposed. FIG. 41 shows the M5 and M6 mirrors, with the line of sight parallel to the some of the beam direction between M5 and M6. In this view, mirrors 3906 and 3907 are superimposed. The illumination beams are not all parallel between M5 and M6, so some of the M5 mirrors are not exactly superimposed on the corresponding M6 mirrors in this view (e.g., M5 mirror 4101 is not exactly superimposed on M6 mirror 4102). FIG. 42 illustrates the M4 and M5 mirrors, with the line of sight parallel to the beam direction between M4 and M5. Mirror apertures 3905 and 3906 are superimposed in this view. FIG. 43 shows a view of M3 and M4 with the line of sight parallel to the beam direction between M3 and M4. Mirror apertures 3904 and 3905 are superimposed in this view. FIGS. 44–47 tabulate raytrace data for the distribution optics. Each figure pertains to one of the four aperture subgroups. Each column in the tables contains ray intercept data for an illumination ray propagating from projection plane 2903 to the center of one of the printheads. The printhead array indices are indicated in the top row of each table. The row with the “P” header specifies the ray position on projection plane 2903; the “M3” . . . “M7” rows specify the ray intercept points on the mirrors, and the “H” column specifies the printhead center position. The points are specified as x, y, z Cartesian coordinate triplets, wherein the coordinate system's origin is at the LPP source, y is the vertical coordinate, and x and z are horizontal coordinates with z along the collection optics' axis (FIG. 30). The coordinates are specified in millimeter units. This data is for one of the two printhead sets 3901 (FIG. 39); for the other set 3902 the x coordinates are sign-inverted. The data in FIGS. 44–47 is sufficient to determine the mirror surface tilts and the printhead aperture orientations, from which the mirror aperture geometry can be determined by reverse ray-tracing from the illumination zones' corner points (FIG. 37). Edge Effects and Apodization The illumination zones on the printheads (FIG. 37) are slightly oversized to accommodate two mirror edge effects: edge shadow blur due to the extended source size, and edge diffraction. FIGS. 48 and 49 conceptually illustrate the first of these effects, shadow blur. An extended illumination source 4801 illuminates plane 4802. Part of the illumination beam is blocked by a straight-edge mask 4803 (representing the effect of a mirror edge). The irradiance E across the geometric shadow, as a function of position x on illumination plane 4802, is illustrated as curve 4804 for the extended source, and as 4805 for an ideal point source. The nominal shadow edge position, corresponding to the boundary of illumination zone 3701 in FIG. 37, is at x=0. The width w of the penumbral shadow region 4806 (i.e., the sloped portion of curve 4804) is proportional to the source's angular divergence δ and the distance s from edge 4803 to plane 4802. The EUV collection optics produces a collimated beam with 0.5 mrad divergence (Eq. 4901—this assumes a 100-micron LPP plasma source), and the maximum optical path length s from the mirror edges to the printheads is approximately 3 meters (Eq. 4902), so w is approximately 1.5 mm (Eq. 4903). The penumbra is confined to the region |x|<0.75 mm, so the 2-mm illumination overfill clearance (FIG. 37) is more than adequate to accommodate the penumbral shadow. FIGS. 50–53 illustrate the edge diffraction effect. A plane-wave illumination beam 5001 with a wavelength λ of 11 nm (Eq. 5101) is partially blocked by the straight-edge mask 4803, inducing a diffraction pattern in the shadow region on the illumination plane 4802, which is at a maximum distance s of 3 meters from the mask (Eq. 5102). The irradiance E across the diffraction pattern, as a function of position x on illumination plane 4802, is illustrated as curve 5002. (This represents the diffraction pattern for an on-axis LPP source point. For an off-axis point, the pattern may be laterally shifted by up to 0.75 mm, as indicated by FIG. 48.) E is proportional to the magnitude-squared of the complex amplitude A (Eq. 5103). The approximate functional form of A versus x is tabulated in Eq. 5104. (This only includes the effect of a single diffracting edge. In general the effects of multiple diffracting edges must be considered.) In this expression and S are the Fresnel integrals (Ref. 11, section 8.7.2, Eqs. 15), and the field amplitude is normalized so that A=1 in the absence of the diffracting mask 4803. The second approximate form of A in Eq. 5104 is valid when x is positive and large in comparison to √{square root over (λs)}=0.18 mm. (This approximation is obtained by ignoring small terms in Ref. 11, section 8.7.2, Eqs. 16, and setting Q=1 and P=0 in Eqs. 15.) The exponential argument in Eq. 5102 is a quadratic approximation to a cylindrical-wave phase function with the cylinder axis on the diffracting edge; hence it is evident that the amplitude A in the illuminated area comprises the undiffracted (unit-amplitude) plane-wave field (5003) plus a diffracted, cylindrical-wave field (5004) appearing to originate from the mask edge. FIG. 52 illustrates the magnitude of the diffracted wave (|A−1|) as a function of x. The irradiance distribution E on the printhead top surface (i.e. plane 4802) is not itself directly relevant to printing performance—what matters is the wafer-plane irradiance, which is illustrated conceptually in FIG. 53 (cf. FIGS. 1A–1C). The electromagnetic field incident on a particular lens L1 comprises the undiffracted field 5003 and the edge-diffracted field 5004. (On the scale of the lens aperture both fields are effectively plane waves. The wavefront curvature of field 5004 is insignificant on this scale.) The undiffracted field 5003 is focused to an on-axis spot on the second-stage lens (L2) aperture. The irradiance profile of this spot, illustrated as curve 5301 in FIG. 53, has a monochromatic FWHM of approximately 0.52 micron. The diffracted field similarly produces an off-center, focused spot of lower intensity on L2; its irradiance profile is illustrated as curve 5302. L2 focuses the on-axis spot to a smaller, wafer-plane focus spot, illustrated by intensity profile 5303, which has a FWHM of 40 nm. The off-axis, edge-diffracted spot on L2 is clipped by the L2 aperture and is focused to a second wafer-plane focus spot, illustrated by intensity profile 5304. The two wafer-plane spots combine coherently, resulting in a somewhat distorted, lower-resolution, combined focus spot. (To some extent the distortions may cancel out when averaged over wavelengths and source points, but the degraded optical resolution will not be improved by such averaging.) FIGS. 54–56 illustrate a mechanism for apodizing the mirror apertures that can be used to mitigate diffraction effects. FIG. 54 is similar to FIG. 50, except that the diffracting edge 4803 is replaced by a transmission plate 5401 comprising an opaque region 5402, a clear region 5403, and a graded-transmittance region (the “apodization edge”) 5404. The transmission plate 5401 conceptually represents the effect of an apodized mirror edge. The plate's amplitude transmittance t versus lateral position x on the plate is represented by curve 5405. The apodization edge width is a, and the transmittance varies smoothly from zero to one across the apodization edge. The irradiance Eapod across the illumination plane 4802, with apodization, is illustrated by curve 5406. Compared to the intensity profile without apodization (curve 5002 in FIG. 50), the apodized profile 5406 is much more uniform. Eq. 5501 in FIG. 55 illustrates a possible functional form for the apodizer transmittance t versus x. (This is the amplitude transmittance—the intensity transmittance is |t|2.) Denoting the complex field amplitude on the illumination plane 4802 as Aapod, the irradiance Eapod is proportional to the magnitude-squared of Aapod (Eq. 5502). The amplitude Aapod, with apodization, is equal to the convolution of the unapodized amplitude A (Eq. 5104) with the derivative of t (Eq. 5503). FIG. 56 illustrates the diffracted field amplitude |Aapod−1| for several values of the apodization edge width: a=0, a=0.1 mm, and a=0.2 mm. (The a=0 curve is equivalent to FIG. 52, but plotted on a log scale.) With a=0.2 mm, the diffracted amplitude is over four orders of magnitude smaller than the undiffracted amplitude for x>1 mm. FIG. 57 illustrates a mirror surface 5701 with an apodization edge 5702 (shaded area). The apodization mechanism could comprise a gradient-thickness absorbing film formed on the mirror's periphery (e.g., via shadow-mask deposition or gray-scale lithography). FIG. 58A illustrates an alternative apodization mechanism. A diffraction grating-type pattern comprising holes and islands (e.g., island 5801) is formed in an absorbing film of uniform thickness on the mirror periphery. The pattern simulates the effect of gray-scale patterning in a manner similar to half-tone printing. By making the grating periodicity sufficiently small, the grating's diffracted orders will have a sufficiently large deviation angle from the zero order that they will be eliminated by the L2 apertures, and only the zero order will get through the microlenses. The variation in grating structure across the apodization edge 5702 effectively apodizes the zero order. (Ref. 24 discusses transmission apodizers of a similar type.) FIG. 58B illustrates another type of mirror apodizer, this one consisting of a phase grating. As in FIG. 58A, the structure is a grating-like pattern, but in this case there is no absorbing film. Instead, the grating elements (e.g., element 5802) are shallow wells or mesas formed in the mirror surface. (The grating elements are illustrated as circles in FIG. 58B, but other shapes such as lines, triangles, etc. could also be used.) The depth of the structure is selected to induce a phase shift of π in the reflected beam at the 11-nm design wavelength. (For example, for a grazing angle of 15° the depth would be 10.6 nm.) If the grating elements' fill factor is 50% the zero order will be extinguished (at least at the design wavelength, but also to a significant extent at other nearby wavelengths). The fill factor varies from 0 to 50% across the apodization edge 5702, resulting in effective apodization of the zero order. In a variation of this method, the structure depth, rather than (or in addition to) the fill factor, may be controlled to determine the zero-order reflectivity. [Note: Phase apodizers such as that illustrated in FIG. 58B can be applied to transmission elements as well as mirrors, and may be employed in an obvious manner for other applications such as microscopy.] Efficiency Tuning The illumination optics are designed to provide approximately uniform illumination over the printheads. This is achieved by designing the collection mirrors to compensate for radial variation in the mirror reflectivities and source intensity (the ηmirror and Iλ factors in FIG. 33, Eq. 3308) and designing the distribution optics so that all mirrors in each mirror set M3, M4, M5, M6, and M7 (FIG. 39) have the same—or nearly the same—grazing angles. (The angles are not all the same in M5 and M6, but the range of variation is only 0.013°.) However, the optical design does not achieve perfectly uniform illumination, primarily due to two factors: polarization dependence of the mirror reflectivity, and ambient gas absorption along the optical path. Some of the mirrors' surface reflectivities may be modified to counterbalance these factors. The same types of mechanisms described above for apodization (e.g., absorbing films, half-tone gratings, or phase gratings; cf. FIGS. 58A, 58B) may also be employed for efficiency tuning. Spectral Filtering In the above-outlined optical design, the wafer-plane irradiance is spectrally filtered by three mechanisms: (1) the combination of L1 chromatic dispersion and the L2 aperture's spatial filtering action, (2) long-wavelength absorption by ruthenium, and (3) the LPP spectrum's sharp spectral peak. The first mechanism could be enhanced by using a phase Fresnel lens or zone plate lens for L1. Additional spectral filtering may be required for some applications. (For example, the Bragg lenses illustrated in FIGS. 18 and 20 may require narrow-band illumination.) Multilayer, near-normal-incidence mirrors may be incorporated in the design to function as narrow bandpass filters if a very high degree of filtering is required. But a simpler approach, which may work for some applications, would be to modify the grazing-incidence mirrors' surface structure to provide spectral filtering. A phase diffraction grating can be used as a spectral filter. The grating would comprise shallow wells or mesas formed on a flat mirror surface, similar to the apodizing phase grating (FIG. 58B), except that in this case the induced phase shift at the design wavelength (11 nm) would be an integer multiple of 2π, rather than π. Thus, zero-order reflection efficiency would be maximized at the design wavelength and would fall off at other nearby wavelengths due to the grating's dephasing effect. Typically, the grating structure would be uniform across the mirror surface, although some nonuniformity may be used to counterbalance spatial nonuniformity of the illumination spectrum (e.g., due to variation in the collection mirrors' reflectance spectrum with grazing angle). The grating may comprise more than two phase levels to provide extra degrees of freedom in the design. A variety of grating structure types, such as lamellar line gratings or 3-D patterns of isolated holes or mesas, may be employed. For example, FIGS. 59A and 59B show cross-sectional views of a line grating comprising a ruthenium film 5901 with four surface levels 5902, 5903, 5904, and 5905. The grating lines are perpendicular to the plane of the figure in FIG. 59A, and are parallel in FIG. 59B. Grating design data is tabulated in FIG. 60. The grating is configured for operation at an incident grazing angle γ of 15° (Eq. 6001; FIG. 59B) and design wavelength λdesign of 11 nm (Eq. 6002). As illustrated in FIG. 59B the grating preferably operates in a conical diffraction mode, with the plane of incidence parallel to the grating lines. (The incidence plane is defined by the incident and zero-order diffracted beams, which are respectively indicated as 5906 and 5907. If the grating were to operate in a planar diffraction mode, with the incidence plane perpendicular to the grating lines, the zero-order reflection efficiency would be much lower.) The grating period Λ is 1.5 micron (FIG. 59A, Eq. 6003). A height difference of h=21.25 nm between two grating surface zones would induce a 2π phase shift between the portions of the reflected field intercepting the two zones (Eq. 6004). The depth of surface levels 5902, 5903, 5904, and 5905 relative to the top level (5902), respectively designated H1, H2, H3, and H4, are integer multiples of h (Eq. 6005). The integer multiples, designated m1, m2, m3, and m4, are 0, 8, 12, and 4, respectively (Eqs. 6006). The area fractions covered by levels 5902, 5903, 5904, and 5905, designated f4, f2, f3, and f4, are all 0.25 (Eqs. 6007). The grating period should be sufficiently small that the first and higher diffracted orders are adequately filtered out by the microlenses' spatial filtering action. The angular deviation of the first orders from the zero order is approximately λdesign/Λ, which is 7.3 mrad in the above design. Projecting this angle over the 385 μm focal length of L1 (FIGS. 1A–1C, 2), the separation distance between the zero and first orders on the L2 aperture plane is determined to be 2.8 μm, which us much larger than the L2 aperture diameter (1 μm) and the L1-focused beam's FWHM at the L2 aperture plane (0.6 μm, including the source size and chromatic spread). Thus very little of the first and higher diffracted orders will get through L2. Based on the above design parameters, the grating's zero-order reflection efficiency Rgrating at an arbitrary wavelength λ is less than the reflectivity Rflat of a flat mirror surface by a factor of Rrel (the relative reflectance ratio), which has the approximate functional form given by Eq. 6008. FIG. 61 shows a plot 6101 of Rrel versus λ based on this equation (dashed line). The central reflectance peak width is approximately 0.7 nm. The grating has other reflectance peaks (e.g., at wavelength 8.8 nm), but these could be filtered out by the other spectral-filtering mechanisms mentioned above. (Also, gratings formed on multiple mirrors, such as a set of gratings formed on the M6 mirrors and a second set of gratings formed on the M7 mirrors, may be used in combination to block side peaks.) Eq. 6008 is a scalar approximation, which models the grating as a thin phase plate. A more accurate numerical computation yields the relative reflectance curve 6102. Although Eq. 6008 is only an approximation, it is equally applicable to more generalized grating structures such as crossed gratings (although its accuracy can be much worse for other grating types). The number of grating levels, the depth factors mj, and area fractions fj are free design parameters, which may be selected to obtain a good preliminary design; and more accurate numerical simulations may then be used to refine the design. [Note: Spectral-filter phase gratings may be applied to transmission elements as well as mirrors, and such gratings may be employed in an obvious manner for other applications such as microscopy.] Control System Architecture FIG. 62 is a block diagram illustrating the control system's main architectural components and interactions, in a preferred embodiment. The various elements were described in detail above or in Ref. 1, and will only be summarized here. The printer comprises major subsystems for EUV illumination, position control, and image generation, which are synchronized to a common system clock. The illumination system includes the LPP pulse generator, the EUV source, and the illumination optics (grazing-incidence mirrors). Illumination is supplied to two wafer print modules (“Module 1”, “Module 2”), each of which prints onto a separate corresponding wafer. (Bold lines in FIG. 62 represent EUV radiation paths, whereas light lines represent data or informational paths.) Each module comprises 32 printheads, and each printhead comprises an array of printer pixels, a printhead actuator, and a focus/alignment system. The control elements within each pixel include a modulator, which is controlled by the image generation subsystem. The position control subsystem receives positional information from focus/alignment sensors formed integrally on the printheads, which sense each printhead's position relative to wafer tracking patterns (the “Tracks” in FIG. 62). This information is processed by the position control system and is used to control the printhead actuators. The following are the references referred to in the application: 1. U.S. Pat. No. 6,489,685, “Maskless, Microlens EUV Lithography System,” Dec. 24, 2002. [Note: There is an extensive Certificate of Correction. An updated version of the patent document, including amendments and corrections, is available at http://ip.com/pubView/IPCOM000022681D or http://kjinnovation.com/.] 2. U. Stamm et al., “High Power EUV Lithography Sources Based on Gas Discharges and Laser Produced Plasmas,” Proc. SPIE, vol. 5037, 119–129 (2003). 3. W. N. Partlo et al., “Progress Toward use of a Dense Plasma Focus as a Light Source for Production EUV Lithography,” Proc. of SPIE, vol. 4343, 232–248 (2001). 4. International Sematech, 5th Next Generation Lithography (NGL) Workshop, 29–30 August 2001; Poster Presentation—Cymer. http://www.sematech.org/resources/litho/meetings/ngl/20010829/index.htm 5. U.S. Pat. No. 6,285,737, “Condenser for extreme-UV lithography with discharge source,” Sep. 4, 2001. 6. Tamotsu Abe et al., “Development of a Liquid-Jet Laser-Produced-Plasma Light Source for EUV Lithography,” Proc. SPIE, vol. 5037, 776–783 (2003). 7. U.S. Pat. No. 6,133,986, “Microlens Scanner for Microlithography and Wide-Field Confocal Microscopy,” Oct. 17, 2000. 8. NanoFilm Technology, http://www.nanofilm.com.sg/index.htm 9. S. Graham et al., “Atomic Hydrogen Cleaning of EUV Multilayer Optics,” Proc. SPIE, vol. 5037, 460–469 (2003). 10. A. W. Wong, Resolution Enhancement Techniques in Optical Lithography, SPIE Press, 2001. 11. Max Born and Emil Wolf, Principles of Optics, 7th Ed., Cambridge University Press (1999). 12. R. H. Liu et al., “Investigation of the LIGA process to fabricate microchannel plates,” Transducers '97, vol. 1, 645–648 (1997). 13. H. Loeschner et al., “Masked Ion Beam Lithography and Direct-Structuring on Curved Surfaces,” Proc. SPIE, vol. 5037, 156–161 (2003). 14. F. Yongqi et al., “Investigation of direct milling of micro-optical elements with continuous relief on a substrate by focused ion beam technology,” Opt. Eng. Vol. 39(11), 3008–3013 (2000). 15. F. Yongqui et al., “Semiconductor Microlenses Fabricated by One-Step Focused Ion Beam Direct Writing,” IEEE Transactions on Semiconductor Manufacturing, vol. 15(2), 229–231 (2002). 16. J. Brugger et al., “Resistless patterning of sub-micron structures by evaporation through nanostencils,” Microelectronic Engineering 53, 403–405 (2003). 17. G. M. Peake et al., “A Micromachined, Shadow-Mask Technology for the OMVPE Fabrication of Integrated Optical Structures,” Journal of Electronic Materials, vol. 29(1), 86–90 (1999). 18. R. Grunwald, “Microlens formation by thin-film deposition with mesh-shaped masks,” Applied Optics, vol. 38(19), 4117–4124 (1999). 19. M. Nakahara et al., “Etching technique for ruthenium with a high etch rate and high selectivity using ozone gas,” J. Vac. Sci. Tech. B, vol. 19(6), 2133–2136 (2001). 20. B. D. MacLeod, “Fully Automated Interference Lithography,” Proc. SPIE, vol. 4688, 910–921 (2002). 21. A. Stein and C. Jacobsen, “Diffraction x-ray optics using production fabrication methods,” J. Vac. Sci. Technol. vol. B 21(1), 214–219 (2003). 22. K. Miyamoto, “The Phase Fresnel Lens,” J. Opt. Soc. Am., vol. 51(1), 17–20 (1961). 23. James E. Harvey, “Grazing incidence hyperboloid-hyperboloid designs for wide-field x-ray imaging applications,” Applied Optics, vol. 40(1), 136–144 (2001). 24. U.S. Pat. No. 5,859,424, “Apodizing Filter System Useful for Reducing Spot Size in Optical Measurements and Other Applications,” Jan. 12, 1999. As has been described, embodiments of the invention incorporate a variety of improvements over the Ref. 1 design to make it more practical and manufacturable. It was recognized that the system described in Ref. 1 has no projection optics, and hence no imaging requirements necessitating the use of near-normal-incidence mirrors. Accordingly it was possible to eliminate such elements in the illumination optics in order to improve both optical efficiency and mirror durability, and reduce cost. Some of the improvements require tradeoffs, however. For example, the estimated printing throughput is only 6 wph (wafers per hour), per LPP source, compared to 62 wph for Ref. 1 (and up to 120 wph for conventional projection lithography systems). The larger first-stage microlens apertures and lower fill factor reduce the total number of microlenses per module by a factor of 5, which accounts for a factor of 5 in the throughput loss. In addition, the system only supplies two wafer print modules per LPP (versus 8 for Ref. 1), accounting for another factor of 4. These factors are partially counterbalanced by the higher LPP repetition rate (10 kHz, versus 6 kHz for Ref. 1) and a coarser printing grid step (8 nm, versus 6.86 nm for Ref. 1). The throughput could be improved by designing the system to use more microlenses (e.g., smaller, more closely-spaced, first-stage lens apertures), a higher laser repetition rate (e.g., at 20 kHz throughput would be doubled), or a coarser grid step (e.g., a 16 nm grid step would quadruple throughput). The lens efficiency is comparatively low as a consequence of the large first-stage lens aperture, the increased lens center thickness, and the high optical resolution. The monochromatic transmission efficiency through the first- and second-stage microlenses at the 11-nm design wavelength is 2.7% (compared to a narrow-band efficiency of 17% achieved by the Ref. 1 design). Nevertheless, the high mirror efficiency results in a modest LPP power requirement of 93 W hemispherical source emission in the wavelength range 10–12 nm. For comparison with the prior art, this corresponds to approximately 4.3 W within a 2% band at 13.5 nm, versus the 400 W requirement for EUV projection systems. Throughput is 20× lower, but power consumption is approximately 100× lower, resulting in a net gain of 5× in energy efficiency (EUV source energy per wafer) relative to projection systems. Further efficiency could be achieved by using smaller, thinner, or more closely spaced microlenses, or by using alternative lens types such as Bragg diffraction lenses and phase Fresnel lenses described above (at the cost of simplicity). Notwithstanding these tradeoffs, the foregoing specification describes an EUV lithography system that has all of the favorable features and advantages of the Ref. 1 system, but which also eliminates the need for multilayer EUV mirrors. This results in several additional advantages: (1) the cost and technical complexity of the system are considerably reduced, (2) mirror durability is improved, and (3) the usable wavelength bandwidth can be greatly increased, so that a much lower-power EUV source can be used. The disclosure also discusses a number of practical design details and options relating to system manufacturability and optical performance. Much of the disclosure is directed toward detailing the design specifics of particular preferred embodiments, but the demonstrated design concepts and methodologies can be equally well applied to a diversity of applications ranging from, e.g., document printing to x-ray lithography. While the above is a complete description of specific embodiments of the invention, the above description should not be taken as limiting the scope of the invention as defined by the claims.
description
The present application claims priority from Japanese Patent application serial no. 2019-85054, filed on Apr. 26, 2019, the content of which is hereby incorporated by reference into this application. The present invention relates to a fuel element, a fuel assembly, and a core for improving safety in a fast neutron reactor (hereinafter, referred to as “fast reactor”) using a metal fuel. Japanese Patent Laid-Open No. 2011-137735 is a background art of this technical field. Japanese Patent Laid-Open No. 2011-137735 discloses that the core of a light water reactor is loaded with a plurality of fuel assemblies, the fuel assembly includes a plurality of fuel rods having a lower end supported by a lower tie plate and an upper end supported by an upper tie plate, the fuel rod forms a plenum above a nuclear fuel material-filled region and forms a neutron absorber-filled region above the nuclear fuel material-filled region, and a neutron absorbing member attached to the upper tie plate is disposed between the plenums of adjacent fuel rods above the nuclear fuel material-filled region. Japanese Patent Laid-Open No. 2011-137735 discloses that even if it is assumed that the core is in a state of 100% coolant void, positive reactivity is not injected into the core, the safety margin is further increased without compromising the economics of the light water reactor (see abstract). Japanese Patent Laid-Open No. 2011-137735 discloses that even if it is assumed that the core of a light water reactor is in a state of 100% coolant void, the positive reactivity is not injected into the core and the safety margin can be increased. However, Japanese Patent Laid-Open No. 2011-137735 does not disclose a fast reactor. Further, Japanese Patent Laid-Open No. 2011-137735 does not disclose that safety is improved in a fast reactor using a metal fuel (hereinafter, sometimes referred to as a “metal fuel fast reactor”). Accordingly, the present invention provides a fuel element, a fuel assembly, and a core that reduces void reactivity and improves safety in a fast reactor using a metal fuel. In order to solve the above problems, the fuel element according to the present invention includes a cladding tube and a metal fuel contained in the cladding tube, a gas plenum region is formed above the metal fuel and inside the cladding tube, and the gas plenum region has a small-diameter portion. Further, the fuel assembly of the present invention includes the fuel element of the present invention and a wrapper tube surrounding the fuel element, and a coolant material passage is formed between the fuel element and the fuel element. The core of the present invention includes an inner core fuel region loaded with the fuel assembly of the present invention and an outer core fuel region loaded with the fuel assembly of the present invention. According to the present invention, it is possible to provide a fuel element, a fuel assembly, and a core that reduces void reactivity and improves safety in a fast reactor using a metal fuel. Problems, configurations, and effects other than those described above will be clarified by the description of the following examples. Hereinafter, examples of the present invention will be described with reference to drawings. Substantially the same or similar components are denoted by the same reference numerals, and in cases where the description is duplicated, the description may be omitted. In a fast reactor described in the present example, a reactor core is disposed inside a reactor vessel, and liquid sodium (Na) as a coolant material is filled in the reactor vessel. A fuel assembly loaded in the core includes a plurality of fuel rods (hereafter, may be referred to as “fuel element”) enclosing a metal fuel (alloy in which plutonium (Pu) and uranium (U) are the main fuel elements), a wrapper tube surrounding a plurality of bundled fuel elements, an entrance nozzle that is located below these fuel elements to support a neutron shield and form a coolant material inlet, and a coolant material outlet located above these fuel elements. Further, the core includes a core fuel region having an inner core fuel region loaded with an inner core fuel assembly and an outer core fuel region loaded with an outer core fuel assembly surrounding the inner core fuel region, a blanket fuel region loaded with a fuel assembly surrounding the core fuel region, and a shield region surrounding the blanket region. For a conventional homogeneous core, the Plutonium enrichment of the outer core fuel assemblies loaded in the outer core fuel region is higher than the Plutonium enrichment of the inner core fuel assemblies loaded in the inner core fuel region. As a result, the radial power distribution of the core is flattened. A blanket fuel assembly having a plurality of fuel elements is loaded in a blanket fuel region surrounding the core fuel region. Among the neutrons generated by fission reactions that occur inside the fuel assembly loaded in the core fuel region, neutrons leaking from each fuel element of the fuel assembly loaded in the outer core fuel region are absorbed by U-238 inside each fuel element of the blanket fuel assembly loaded in the blanket fuel region. As a result, a fissile fuel nuclide Pu-239 is newly generated inside each fuel element of the blanket fuel assembly. Further, a control rod is used when the fast reactor is started up, shutdown, and when power is adjusted. The control rod includes a plurality of neutron-absorbing rods in which boron carbide (B4C) pellets are enclosed in a stainless steel cladding tube. These neutron-absorbing rods are housed in a wrapper tube having a regular hexagonal horizontal cross-section, similarly to the inner core fuel assembly and the outer core fuel assembly. The control rods are composed of two independent systems, a primary control rod system (PCR) and a backup control rod system (BCR), and either the primary control rod system or the backup control rod system enables an emergency shutdown of the fast reactor. The core of a metal-fuel fast reactor has advantages such as a hard neutron spectrum of the core and a higher breeding ratio due to the higher density of heavy metals as compared with the core of an oxide fuel fast reactor. On the other hand, in the core of a metal fuel fast reactor, if liquid Na, which is a coolant, boils, the void reactivity inserted into the core tends to increase compared to the core of an oxide fuel fast reactor. For example, in an anticipated transient without scram (ATWS), for an unprotected loss of flow (ULOF) in which a pump flow rate is decreased due to a loss of an external power supply, if the void reactivity can be reduced, safety can be further improved. In a metal fuel fast reactor, the fuel slug (metal fuel) disposed on the fuel element is a single round rod, and in order to absorb the radial expansion associated with the swelling of the metal fuel accompanying irradiation, a relatively large gap is formed between the cladding tube containing the metal fuel and the metal fuel so that the smear density of the metal fuel is 75% TD. Then, this gap is filled with liquid Na as a bonding material. That is, the metal fuel is immersed in the bond Na. A gas plenum is disposed above the fuel element (above the metal fuel and inside the cladding tube) in order to retain gaseous fission products (FPs) generated by the fission of the metal fuel. FIG. 1A is an explanatory view illustrating a vertical cross-section of a fuel element of the metal fuel fast reactor according to Example 1. A fuel element 1 described in the present example includes a U—Pu—Zr (uranium-plutonium-zirconium) metal fuel 3 that is a ternary alloy, a cladding tube 2 containing the metal fuel 3, a bond Na (bond Na4 in the region absorbing fuel swelling and bond Na5 in the region forming a small-diameter portion 12) filled in the cladding tube 2 and immersed in the metal fuel 3, an upper end plug 7, a lower end plug 8, and a gas plenum 6 located above the metal fuel 3 and inside the cladding tube 2. Then, the FP gas generated with the nuclear fission of the metal fuel 3 is retained in the gas plenum 6. In FIG. 1A, Lf is the length of the metal fuel 3 indicates the vertical direction, Ls indicates the length in the vertical direction of the region that absorbs fuel swelling when the metal fuel 3 expands in the axial direction due to swelling, L indicates the length in the vertical direction of a region (a region where the small-diameter portion 12 is formed) that reduces the diameter (hereinafter, may be referred to as “diameter”) of the gas plenum 6, and Lv indicates the length in the vertical direction of a region where the coolant density reactivity coefficient (the density reactivity coefficient obtained by dividing the reactivity of the core by the change rate of the coolant density when the coolant density changes) is positive. When the coolant density decreases at the time of ULOF, negative reactivity is injected in a region where the coolant density reactivity coefficient is positive Lv. The fuel element 1 described in the present example forms the small-diameter portion 12 (length L in the vertical direction) in the gas plenum (gas plenum region) 6 of the cladding tube 2. That is, the fuel element 1 described in the present example includes the cladding tube 2, and the metal fuel 3 and the bond Na 4 contained in the cladding tube 2, and the gas plenum (gas plenum region) 6 is formed above the metal fuel 3 and inside the cladding tube 2. Then, the small-diameter portion 12 is formed in the gas plenum region. The small-diameter portion 12 is a portion where the cladding tube 2 is constricted, and a portion where the gas plenum (gas plenum region) 6 is constricted. The constricted portion (small-diameter portion 12) is a portion having a diameter smaller than the diameter of the cladding tube 2 containing the metal fuel 3 and the diameter of the gas plenum 6 (upper portion of the gas plenum 6) in contact with the upper end plug 7. That is, the gas plenum 6 includes the small-diameter portion 12 and a portion having a diameter larger than the small-diameter portion 12. The small-diameter portion 12 is a portion that increases the area of the coolant material, and is a portion that increases the amount of the coolant material (Na). As described above, according to the present example, it is possible to provide the fuel element 1 that reduces void reactivity and further improves safety by forming the small-diameter portion 12 in the gas plenum (gas plenum region) 6 of the cladding tube 2. In other words, according to the present example, it is possible to provide the fuel element 1 which is excellent in nuclear characteristics and economy during normal operation, reduces void reactivity, and further improves safety during a ULOF in a metal fuel fast reactor. FIG. 1B is an explanatory view illustrating a horizontal cross-section of the fuel assembly of the metal fuel fast reactor of Example 1. A fuel assembly 9 described in the present example includes fuel elements 1, a wrapper tube 10 surrounding a plurality of bundled fuel elements 1, an entrance nozzle (not illustrated) that supports a neutron shield (not illustrated) located below these fuel elements 1 and forms a coolant material inlet (not illustrated), and a coolant material outlet (not illustrated) located above these fuel elements 1. Further, a coolant material passage 11 is formed between the fuel element 1 and the fuel element 1. As described above, according to the present example, it is possible to provide the fuel assembly 9 that reduces void reactivity and further improve safety by using the fuel element 1 having the small-diameter portion 12 in the gas plenum (gas plenum region) 6 of the cladding tube 2. FIG. 2 is an explanatory view illustrating a distribution of a coolant density reactivity coefficient in the height direction (vertical direction) of the metal fuel fast reactor of Example 1. In FIG. 2, a horizontal axis 23 indicates a density coefficient (coolant density reactivity coefficient) (% Δk/kk′/Δρ/ρ) 22 for the coolant material (Na), and a vertical axis 24 indicates the length in the vertical direction (position in the height direction) from the lower end of the metal fuel 3 to the upper part of the gas plenum 6. Here, ρ is the density of the coolant material (Na). In the present example, in the metal fuel 3 having an electric output of 300,000 kWe, an average discharge fuel burnup of 100 GWd/t, and an effective fuel length of 100 cm, the length Lf in the vertical direction of the metal fuel 3 is approximately 100 cm, and the length Lv in the vertical direction of the region where the coolant density reactivity coefficient is positive is approximately 40 cm. For example, in the case of ULOF in the most severe ATWS, as the temperature of the coolant material rises and the density ρ decreases, the coolant density reactivity coefficient (% Δk/kk′/Δρ/ρ) 22 has a negative value because a coolant material reactivity (% Δk/kk′) is positive in the metal fuel region (Lf: length of the metal fuel 3 in the vertical direction) 25 where the metal fuel 3 is disposed, and has a positive value because the coolant material reactivity (% Δk/kk′) is negative in a partial region (Lv: length in the vertical direction of the region where the coolant density reactivity coefficient is positive) of the gas plenum region 26 where the gas plenum 6 is disposed. At the time of ULOF, when the temperature of the coolant material increases and the density ρ decreases (Δρ<0), positive reactivity is inserted in the metal fuel region 25, and negative reactivity is inserted in a part of the gas plenum region 26. Normally, the diameter of the cladding tube 2 in the gas plenum region 26 and the diameter of the cladding tube 2 in the metal fuel region 25 (the diameter of the cladding tube 2 containing the metal fuel 3) are the same for the fuel element 1 of the metal fuel fast reactor. At the time of ULOF, when the temperature of the coolant material rises and the density decreases, negative reactivity is inserted in a part of the gas plenum region 26 because the coolant density reactivity coefficient of a part of the gas plenum region 26 is positive. Therefore, in the present example, the diameter of the cladding tube 2 in a part of the gas plenum region 26 into which the negative reactivity is inserted is made smaller than the diameter of the cladding tube 2 in the metal fuel region 25, that is, the small-diameter portion 12 (constricted portion) is formed in a partial region of the gas plenum region 26, and the area of the coolant material surrounded by the wrapper tube is increased outside the cladding tube. Thereby, the negative reactivity inserted at the time of ULOF can be increased to the negative side. Then, the coolant material reactivity or void reactivity of the fuel element 1, the fuel assembly 9, and the core can be reduced, and safety can be improved. On the other hand, if the diameter of the cladding tube 2 in the gas plenum region 26 is made uniformly smaller than the diameter of the cladding tube 2 in the metal fuel region 25 in order to reduce the void reactivity (when the small-diameter portion 12 (constricted portion) is not formed), a length (A) of the gas plenum region 26 excluding a part of the gas plenum region 26 needs to be considerably long. For example, if the diameter of the cladding tube 2 in a part of the gas plenum region 26 is ½ of the diameter of the cladding tube 2 in the metal fuel region 25 when the small-diameter portion 12 is not formed, the length (A) of the gas plenum region 26 excluding a part of the gas plenum region 26 needs to be quadrupled in order to retain gaseous fission products compared to the case of forming the small-diameter portion 12. However, in the fuel element 1 described in the present example, the rate of lengthening the length (A) of the gas plenum region 26 excluding a part of the gas plenum region 26 can be reduced, and the compactness can be maintained. As a result, the pressure drop in the core region can be reduced. In the present example, attention is paid to the fact that the region having the effect of reducing the void reactivity is a partial region (Lv) of the gas plenum region 26. That is, in the present example, the void reactivity is reduced while maintaining compactness by forming the small-diameter portion 12 in the partial region (Lv) of the gas plenum region 26. The fuel element 1 described in the present example includes the metal fuel 3, the cladding tube 2 containing the metal fuel 3, a bond Na 4 filled in the cladding tube 2 and immersed in the metal fuel 3, and the gas plenum 6 formed above metal fuel 3 (gas plenum region 26), and the small-diameter portion 12 is formed in a part of the gas planar region 26 (a region where the coolant density reactivity coefficient is positive). As described above, the fuel element 1 described in the present example can reduce the void reactivity while achieving compactness and can further improve safety by forming the small-diameter portion 12 in a part of the gas plenum region 26 of the cladding tube 2. It is also known that the metal fuel 3 swells due to neutron irradiation, and that the axial swelling rate reaches 8% under the condition of an average discharge fuel burnup of 150 GWd/t. In the cladding tube 2, when the diameter of the upper portion of the cladding tube 2 in the metal fuel region 25 (the lower portion of the cladding tube 2 in the gas plenum region 26) is reduced, the cladding tube 2 may be damaged by the metal fuel 3 expanded by the axial swelling depending on conditions. Therefore, it is preferable to dispose a region for absorbing the metal fuel 3 expanded by the axial swelling (when the metal fuel 3 expands in the axial direction due to swelling, the region that absorbs the coolant material (the region absorbing the fuel swelling: Ls)) in the cladding tube 2. In the fuel element 1 described in the present example, in particular, it is preferable to form the small-diameter portion 12 in the region (L) excluding the region (Ls) absorbing fuel swelling from the partial region (Lv) of the gas plenum region 26. Further, in the fuel element 1 described in the present example, it is preferable to form the small-diameter portion 12 in the region (L) excluding the region (Ls) absorbing the fuel swelling from the region (Lv) where the coolant density reactivity coefficient of the gas plenum region 26 is positive. Here, the length (Ls) in the vertical direction of the region that absorbs the fuel swelling is expressed by Equation (1), where Lf (cm) is the length (effective fuel length) in the vertical direction of the metal fuel 3 and E(GWd/t) is the average discharge fuel burnup.Ls=(0.08/150×E)×Lf  (1) This is based on the finding that the axial swelling rate reaches 8% under the condition of an average discharge fuel burnup of 150 GWd/t. In the present example, E=100 GWd/t, Lf=100 cm, and Ls=5.3 (rounded) cm. In the present example, the length (Lv) of the region (part of the gas plenum region 26) where the coolant density reactivity coefficient of the gas plenum region 26 is positive is 40 cm, and the length (L) in the vertical direction of the region excluding the length (Ls) in the vertical direction of the region that absorbs the fuel swelling from the region (Lv) (part of the gas plenum region 26) where the coolant density reactivity coefficient is positive in the gas plenum region 26 is L=Lv−Ls=40−5.3=34.7 cm. Thus, in the present example, in particular, it is possible to reduce the void reactivity, further improve the safety, and eliminate the possibility of damage to the cladding tube 2 while achieving compactness by disposing the region (Ls) for absorbing fuel swelling and forming the small-diameter portion 12 having a length of L. FIG. 3 is an explanatory view illustrating a vertical cross-section of the core of the metal fuel fast reactor of Example 1. A core 31 described in the present example is a so-called homogeneous core in which the Pu enrichment of an inner core fuel assembly 35 disposed in an inner core fuel region 32 is made lower than the Pu enrichment of an outer core fuel assembly 36 disposed in an outer core fuel region 33, and the radial power distribution of the core 31 is flattened. That is, the core 31 described in the present example includes the inner core fuel region 32 loaded with the inner core fuel assembly 35 having a low Pu content of metal fuel, the outer core fuel region 33 loaded with the outer core fuel assembly 36 having a high Pu content of metal fuel, and a gas plenum region 34 located above these regions. These fuel assemblies are illustrated in FIG. 1B. FIG. 4 is an explanatory view illustrating a horizontal cross-section of a core 1/2 region of the metal fuel fast reactor of Example 1. The core 41 described in the present example includes an inner core fuel region 42, an outer core fuel region 43 surrounding the inner core fuel region 42, a radial blanket assembly region 44 disposed as one layer on the outer peripheral side of the outer core fuel region 43, and a two-layer radial shield assembly region 45 surrounding the radial blanket assembly region 44. A control rod assembly 46 is disposed in these core fuel regions. Thus, according to the present example, it is possible to provide a fuel element, a fuel assembly, and a core that can reduce pressure loss and reduce void reactivity while achieving compactness, in particular, further improve the safety against the ULOF, and eliminate the possibility of damage to the cladding tube 2 and improve economic efficiency. FIG. 5 is an explanatory view illustrating a vertical cross-section of a fuel element of an outer core and an inner core of the metal fuel fast reactor of Example 2. The core described in the present example is a so-called homogeneous core in which the Pu content of the fuel element 51 used in the outer core fuel assembly is higher than the Pu content of the fuel element 56 used in the inner core fuel assembly, the outer core fuel region loaded with the outer core fuel assembly is disposed radially outside the inner core fuel region loaded with the inner core fuel assembly, and the metal fuel 3 is a ternary alloy of U—Pu—Zr. The core described in the present example is characterized in that an effective fuel length (core height) 57 of the fuel element 56 in the inner core fuel assembly 42 (see FIG. 4) is shorter than an effective fuel length (core height) 52 of the fuel element 51 in the outer core fuel assembly 43 (see FIG. 4). This is because the inner core fuel assemblies 42 contribute more to the void reactivity than the outer core fuel assemblies 43. Here, since the cores of two kinds of fuel elements (fuel assemblies) having different effective fuel lengths (lengths in the vertical direction of the metal fuel 3) Lf are used, the specification of the fuel element based on the difference in the effective fuel lengths is studied. As illustrated in Equation (1), when an average discharge fuel burnup E is the same, if the effective fuel length Lf is reduced, the length Ls in the vertical direction of the region that absorbs the fuel swelling (the length in the vertical direction of the region that absorbs the metal fuel 3 expanded by the axial swelling) can be reduced. On the other hand, when the effective fuel length Lf is reduced, the rate of neutron leakage from the reactor core to the axial direction is increased, and therefore the void reactivity decreases, and the length Lv in the vertical direction of the region where the coolant density reactivity coefficient is positive increases. Here, assuming that the neutron flux is ϕ (1/cm2·s) and the geometrical buckling is Bg2, the amount of neutron leakage from the reactor core is proportional to ϕ×Bg2. Considering the amount of neutron leakage from the reactor core to the axial direction (one-dimensional direction), Bg2 is expressed by Equation (2).Bg2=(π/Lf)2  (2) When the effective fuel length (core height) Lf is 100 cm, the length Lv at which the amount of neutron leakage significantly affects the coolant density reactivity coefficient is 40 cm. As described above, the amount of neutron leakage is proportional to the buckling Bg2 and inversely proportional to the square of the effective fuel length (core height) Lf. In the case of the effective fuel length (core height) Lf, the length Lv in the vertical direction of the region where the coolant density reactivity coefficient is positive is expressed by Expression (3).Lv=(100/Lf)2×Lf×40/100  (3) The term (100/Lf)2 is a term that specifies the amount of neutron leakage, and the term Lf×40/100 is a term that specifies that the proportion is obtained when Lf is approximately 100 cm and Lv is approximately 40 cm. According to Equations (1) and (3), with respect to the core height and the average discharge fuel burnup, Lv (length in the vertical direction of the region where the coolant density reactivity coefficient is positive (length of the region where the gas plenum region has a large contribution to the void reactivity)), Ls (length in the vertical direction of the region that absorbs fuel swelling), L (length in the vertical direction of the region where the diameter of the gas plenum 6 is reduced) are determined. Table (1) shows the length in the vertical direction of each region of the fuel element of the present example with respect to the core height and the average discharge fuel burnup for three representative cases. TABLE 1CaseLfELvLsLName(cm)(GWd/t)(cm)(cm)(cm)1100150408.032.02100100405.334.7380100504.345.7 The length in the vertical direction of each region of the fuel element of the present example with respect to the core height and the average discharge fuel burnup The core described in the present example includes a fuel element 51 used in the outer core fuel assembly and a fuel element 56 used in the inner core fuel assembly. The core height (effective fuel length) 52 (Lf) of the fuel element 51 is 100 cm, the core height (effective fuel length) 57 (Lf) of the fuel element 56 is 80 cm, the fuel element 51 corresponds to Case 3 in Table (1), and the fuel element 56 corresponds to Case 2 in Table (1). Therefore, the length in the vertical direction of each region of the fuel element 51 is Lv (55) 40 cm, Ls (53) 5.3 cm, L(54) 34.7 cm, and the length in the vertical direction of each region of the fuel element 56 is Lv (60) 50 cm, Ls(58) 4.3 cm, L(59) 45.7 cm. In the present example, the average discharge fuel burnup E is constant. Lf51 is the core height of the fuel element 51 used in the outer core fuel assembly, Lv51 is the length in the vertical direction of the fuel element 51 in the region where the coolant density reactivity coefficient is positive, Ls51 is the length in the vertical direction of the region that absorbs the fuel swelling of the fuel element 51, and L51 is the length in the vertical direction of the region where the diameter of the gas plenum 6 of the fuel element 51 is reduced. Lf56 is the core height of the fuel element 56 used in the inner core fuel assembly, Lv56 is the length in the vertical direction of the region where the coolant density reactivity coefficient of the fuel element 56 is positive, Ls56 is the length in the vertical direction of the region that absorbs the fuel swelling of the fuel element 56, and L56 is the length in the vertical direction of the region where the diameter of the gas plenum 6 of the fuel element 56 is reduced. In this case, Lf51>Lf56, Ls51>Ls56, Lv56>Lv51, and L56>L51. Further, the length of the gas plenum region 26 excluding a part (the region where the small-diameter portion 12 is formed) of the gas plenum region 26 of the fuel element 51 used in the outer core fuel assembly is denoted by A516. Further, the length of the gas plenum region 26 excluding a part (the region where the small-diameter portion 12 is formed) of the gas plenum region 26 of the fuel element 56 used in the inner core fuel assembly is denoted by A566. In this case, A516<A566. In particular, the core described in the present example is characterized in that the length 54 (L51) in the vertical direction of the gas plenum region (the region where the small-diameter portion 12 is formed) which is smaller than the diameter of the cladding tube 2 in the region where the metal fuel 3 is disposed in the fuel element 51 used in the outer core fuel assembly is shorter than the length 59 (L56) in the vertical direction of the gas plenum region (the region where the small-diameter portion 12 is formed) which is smaller than the diameter of the cladding tube 2 in the region where the metal fuel 3 is disposed in the fuel element 56 used in the inner core fuel assembly. FIG. 6 is an explanatory view illustrating a vertical cross-section of the core of the metal fuel fast reactor of Example 2. A core 61 described in the present embodiment includes an inner core fuel assembly 65 having a low core height disposed in an inner core fuel region 62 and an outer core fuel assembly 66 having a high core height disposed in an outer core fuel region 63. That is, the core 61 described in the present example includes the inner core fuel region 62 loaded with an inner core fuel assembly 65 having a low core height, the outer core fuel region 63 loaded with an outer core fuel assembly 66 having a high core height, and a gas plenum region 64 located above these regions. As described above, the core described in the present example is characterized in that the effective fuel length (core height) 57 of the fuel element 56 is shorter than the effective fuel length (core height) 52 of the fuel element 51. This is because the inner core fuel assemblies 42 contribute more to the void reactivity than the outer core fuel assemblies 43. According to the present example, the pressure loss is reduced and void reactivity is further reduced while achieving compactness. In particular, it is possible to provide a fuel element, a fuel assembly, and a core that can further improve the safety against the ULOF, and eliminate the possibility of damage to the cladding tube 2 and improve economic efficiency. In Examples 1 and 2, a ternary alloy of uranium, plutonium, and zirconium is used as the metal fuel 3, but alloys of uranium, transuranium elements (TRU), and zirconium may be used. As for uranium, depleted uranium or enriched uranium may be used. Further, although sodium is used as the coolant material, water, lead, lead bismuth, molten salt, or the like may be used. The present example is not limited to the above-described example, but includes various modification examples. For example, the above-described examples have been described in detail for easy understanding of the present invention, and are not necessarily limited to those having all the configurations described. Further, a part of the configuration of one example can be replaced with a part of another configuration, and the configuration of another example can be added to the configuration of one example.
abstract
A method for producing 225A including: a method (X) for purifying a 226Ra-containing solution, including an adsorption step of allowing a 226Ra ion to adsorb onto a carrier having a function of selectively adsorbing a divalent cation by bringing a 226Ra-containing solution into contact with the carrier under an alkaline condition, and an elution step of eluting the 226Ra ion from the carrier under an acidic condition; a method for producing a 226Ra target, including an electrodeposition liquid preparation step of preparing an electrodeposition liquid by using a purified 226Ra-containing solution obtained by the method (X), and an electrodeposition step of electrodepositing a 226Ra-containing substance on a substrate by using the electrodeposition liquid; and a step of irradiating a 226Ra target produced by the method for producing a 226Ra target with at least one selected from a charged particle, a photon, and a neutron by using an accelerator.
summary
039731316
claims
1. A system for logging well bores with repetitive pulses of high energy neutrons, comprising: a fluid-tight logging tool sized and adapted for passage through a well bore by means of an armored electrical cable coupled thereto, said cable having at least one electrical conductor and an electrical ground, means in said logging tool for generating repetitive pulses of high energy neutrons in response to input signals, at least two channel means respectively for generating input signals at different repetition rates, means in said tool for selectively coupling one of said channel means to said neutron generating means, means in said tool for generating a high voltage potential for the operation of said neutron generating means; means for selectively supplying electrical control signals via said electrical conductor to said logging tool, and decoder means in said tool responsive to said control signals for selectively coupling one of said channel means to said high voltage generating means. a fluid-tight logging tool sized and adapted for passage through a well bore by means of an armored electrical cable coupled thereto, said cable having at least one electrical conductor and an electrical ground, means in said logging tool for generating repetitive pulses of high energy neutrons in response to input signals, means in said tool for generating a high voltage potential for the operation of said neutron generating means; at least two channel means respectively for generating input signals at selected repetition rates and for providing different time durations for the generation of said repetitive pulses, means in said tool for selectively coupling one of said channel means to said neutron generating means, means for selectively supplying selection control signals via said cable conductor to said logging tool, and decoder means in said tool responsive to said control signals for selectively coupling one of said channel means to said high voltage generating means. a fluid-tight logging tool sized and adapted for passage through a well bore by means of an armored electrical cable coupled thereto, said cable having first and second conductors and an electrical ground, means at the surface of the earth for generating discrete selection control signals for transmission via said first conductor to said tool, decoder means in said tool for receiving said selection control signals and for providing discrete down-hole control signals, neutron generating means including a target means, an ion source means, and replentisher means, means responsive to one of such discrete downhole control signals for applying control voltage to said ion source means, at least two channel means respectively for generating signals to disrupt said control voltage thereby defining the operating pulse widths of the ion source, means for generating first negative sync pulses indicative of operations of said ion source, means responsive to one of such discrete downhole control signals for coupling one of said channel means to said ion source, detector means for detecting gamma ray energy and for producing data pulse signals, said gamma ray signals and said sync pulses be transmittable to the earth's surface via said second conductor to said tool, amplifier means at the earth's surface for receiving said data pulse signals and said sync signals, buffer memory means at the earth's surface for receiving said data pulse signals, and surface means for processing and recording said data pulse signals as a function of depth. means for receiving said sync pulses and for operating said digital gate means. said sync receiving means being selectively connectable to said digital gate means. means at the earth's surface for receiving said second sync pulses, said second sync pulse means being selectively connectable to said third digital gate means for measuring background gamma ray data. 2. The apparatus as defined in claim 1 wherein said generating means includes an ion replentisher means, an ion source means and target means, said ion source means being coupled by said selective coupling means to one of said channel means. 3. The apparatus as defined in claim 2 and further including means for discretely changing the magnitude of voltage applied to said target means, said decoder means being coupled to said discrete changing means for operating said changing means in response to control signals supplied to said decoder means. 4. The apparatus as defined in claim 2 and further including means for applying a control voltage to said ion source means, said decoder means being coupled to said applying means for operating said applying means in response to control signals supplied to said decoder means, said channel means and said applying means cooperating for defining the pulse width of the ion source. 5. The apparatus as defined in claim 4 wherein said channel means provides input signals for disrupting control voltage to said ion source means. 6. The apparatus as defined in claim 4 wherein each of said channel means and said applying means cooperate for defining different pulse widths of the ion source. 7. The apparatus as defined in claim 5 and further including gate means in each of said channels for controlling the passage of signals therethrough, latch means for controlling the operation of each of said gate means, said decoder means being coupled to said latch means for selectively operating said latch means in response to control signals supplied to said decoder means. 8. The apparatus as defined in claim 6 and further including means for generating first sync pulses indicative of the operation of said ion source. 9. The apparatus as defined in claim 8 and further including in one of said channel means, means for generating second sync pulses indicative of a time period for measuring background radiation. 10. A system for logging well bores with repetitive pulses of high energy neutrons, comprising: 11. The apparatus as defined in claim 10 wherein said generating means includes a target, an ion source and ion replentisher means, means for selectively altering the voltage of said voltage means, said altering means being coupled to said decoder means and responsive to control signals for altering the voltage of said voltage means. 12. A system for logging well bores with repetitive pulses of high energy neutrons, comprising, 13. The apparatus as defined in claim 12 and further including first linear gate means between said amplifier and buffer means for passing data pulse signals, digital gate means for controlling the time interval of operation for said linear gate means, 14. The apparatus as defined in claim 13 and further including second and third linear gate means respectively between said amplifier and buffer means, second and third digital gate means respectively for controlling the time interval of operation for the respective linear gate means, 15. The apparatus as defined in claim 14 and further including in one of the channel means, means for developing a second sync pulse and control for detecting background gamma rays, said second sync pulse and data pulses representative of background gamma rays being transmittable on said second conductor,
claims
1. A radiation-monitoring diagnostic hodoscope system for monitoring or measuring a radiation source or radiation sources from a target location, said system comprising:A) at least one pair of radiation-monitoring hodoscope units, each unit of each pair:) being adapted to detect gamma or neutron or gamma and neutron radiation in a limited radiation beam of less than 50 degrees defming a field of view and2) being positioned so that their beams overlap in a region containing all or a part of the target location, and comprising:1) a collimating means adapted to identify said limited radiation beam and2) at least one radiation detector adapted to produce electrical signals corresponding to intensities of gamma and or neutron radiation in said limited radiation beam;B) a computer processor programmed with an algorithm adapted to examine the data recorded by the at least two hodoscope units so as to determine the correlation of the recorded data so as to estimate the extent to which the radiation source or sources originate in the overlap region. 2. The system as in claim 1, wherein each pair of units are positioned so that their fields of view are orthogonal to each other. 3. The system as in claim 1, wherein each pair of units are positioned so that their fields of view are approximately orthogonal to each other. 4. The system as in claim 1, wherein the fields of view of each unit in each pair of units define a center line and the center lines define an angle, originating at a central location in the target region, of less than 30 degrees. 5. The system as in claim 1, wherein the system is adapted for used in circumstances wherein the fields of view include background radiation sources from regions outside the target location. 6. The system as in claim 5, wherein the background radiation detected is large compared to the radiation detected from the target region. 7. The system as in claim 6, wherein the at least one pair of detectors are adapted to record radiation in counts per interval for a series of intervals. 8. The system as in claim 6, wherein the algorithm is adapted to use an analysis of covariance technique to determine to correlation of the data from the two detectors. 9. The system as in claim 8, wherein analysis technique includes Ftest analysis of covariance statistical concepts. 10. The system as in claim 1, wherein the system is a passive system adapted to monitor natural radioactive decay. 11. The system as in claim 1, wherein the system is an active system adapted to monitor radiation produced by activation of a material by neutron source of other source of radiation that originates outside the target region or by other forms of radiation excited by any type of external source. 12. The system as in claim 1, wherein the system is a scatter system adapted to monitor scattered radiation form a source that originates outside the target region. 13. The system as in claim 1, wherein the system is adapted to monitor regions at a nuclear reactor. 14. The system as in claim 1, wherein the system is adapted to monitor regions at a damaged nuclear reactor. 15. The system as in claim 1, wherein the system is adapted to monitor a cargo container or portions of a cargo container.
abstract
A channel baffle structure comprises a pipe, a swing check plate and a driving apparatus, wherein the main part of the swing check plate is located inside the pipe, and the driving apparatus is disposed outside the pipe. A connection structure is used for connecting the driving apparatus and the swing check plate. The check plate can be opened and closed passively by gravity and fluid pressure, but can also be actively opened and closed by the driving apparatus, such that requirements for multiple operating conditions of the channel can be satisfied.
039752330
abstract
A safety rod for a nuclear reactor has an inner end portion having a gamma absorption coefficient and neutron capture cross section approximately equal to those of the adjacent shield, a central portion containing materials of high neutron capture cross section and an outer end portion having a gamma absorption coefficient at least equal to that of the adjacent shield.
051184672
summary
The invention relates to a fuel assembly for a boiling water reactor having mutually parallel fuel rods disposed in an elongated fuel assembly case, and inner walls extending longitudinally between the fuel rods. The invention also relates to a boiling water reactor having such a fuel assembly. When constructing a fuel assembly, various and sometimes mutually contradictory conditions must be considered, in order to optimize the production and flow of thermal neutrons, to increase steam production at the active fuel rods, to assure adequate mechanical stability and corrosion resistance, and to preclude other factors, such as corrosion or thermal changes. The fuel rods containing the nuclear fuel, such as uranium dioxide or uranium-plutonium mixed oxides, are held by mechanical fixtures that simultaneously provide guidance for the flow of water and steam and form the so-called skeleton of the fuel assemblies as well. The fuel rods are disposed longitudinally parallel to one another inside a fuel assembly case which extends longitudinally between a base part and a cap part and through which water flows. The water enters through openings in the base part, flows along the fuel rods as partial evaporation occurs, evaporates to a large extent on the hot surfaces of the rods, and emerges through outlet openings of the cap part in the form of a mixture of water and steam. In order to attain high heat transmission with high boiling output and the most uniform possible cooling at the fuel rods, a flow with a pressure difference is maintained along the longitudinal axis of the case. To this end, suitable feed devices are provided in a closed water cycle or circuit extending from the outlet openings through a steam turbine to the inlet openings of the case. Non-boiling water for slowing down the neutrons and a controllable absorber for controlling the neutron balance are located outside the case. In order to keep the neutron absorption low within the active zone of the reactor, a special material, as a rule a zirconium alloy, is used at that location for the skeletal parts and in particular for the fuel assembly case. However, that material has little mechanical strength. The pressure difference between the interior and exterior of the case can therefore easily buckle the case. In order to improve the neutron flow, some of the positions provided for the fuel rods in the interior of the case are often occupied by so-called "water rods", that is channels which are in the form of fuel rods, but which carry non-boiling water. Accordingly, the water channels are laterally closed off from the fuel rods by inner walls extending in the interior of the case, parallel to the fuel rods. It may be advantageous in such a case to place flow baffles in the intermediate spaces between the channels and the case walls in order to effect a uniform flow and to create turbulence in vapor bubbles and liquid droplets, so that the fuel rods are acted upon uniformly by water. In particular, the flow baffles may be attached to grid-like structures, which serve as spacers for the fuel rods. In order to increase mechanical strength, Published European Application No. 89 119 176.9 proposes providing inner walls in the case that interconnect oppositely disposed case walls. In such a device it is advantageous if the inner walls are constructed as a unit along with the spacers, fuel rods and suitable fasteners for the fuel rods in the cap part and the base part. Such a unit is displaceable relative to the case wall so that the case can be removed from the fuel assembly for inspection. In order to optimize the various requirements of a fuel assembly, U.S. Pat. No. 4,749,543 proposes a profile for the outer surfaces of the case that forms a reinforcing case wall at the corners of the square case and above all forms wall reinforcements in the lower part of the case that extend longitudinally and/or transversely. Due to the reinforcements, a relatively small wall thickness in the regions located between the reinforcements is then sufficient so that less neutron-absorbing material is needed overall for the case. Additionally, grooves which extend at right angles to the flow direction are milled into the inner surfaces of the case walls and lead to an enlargement of the flow cross section and therefore counteract an elevated pressure resulting from the increase in volume during evaporation. The upper edge of a groove is constructed as a narrow surface at right angles to the flow direction so that a film of water creeping along the wall is detached there and steered into the interior of the case. Such a structure is known as a "flow tripper". It is accordingly an object of the invention to provide a fuel assembly for a boiling water reactor, which overcomes the hereinafore-mentioned disadvantages of the heretoforeknown devices of this general type and which increases the boiling capacity or output of the fuel assembly in a boiling water reactor. The invention assumes that according to a variant of the invention the flow of thermal neutrons is increased, above all in the upper region of the fuel assembly, by means of inner walls that laterally define a channel carrying non-boiling water with respect to the fuel rods. There, the fuel rods are largely surrounded by water vapor, which can only inadequately slow down the neutrons produced in the decomposition. In these channels, which do not contain any fuel rods, a suitable flow cross section and flow speed assure that the convective heat entering through the channel wall is dissipated without causing the formation of steam in the channels. The non-boiling water in these channels therefore improves the distribution of the thermal neutrons. However, this leads to an increased heating capacity or output in the upper part of the fuel assembly only if sufficient water for evaporation is supplied to the fuel rods at that location as well. Furthermore, the supply of liquid water to the fuel rods is made more difficult by the fact that a considerable portion of the water entering the base of the fuel assembly forms a film of liquid on the channel walls and therefore does not come into contact with the fuel rods. According to this variant, at least in the aforementioned upper part of the fuel assembly, grooves are therefore provided on the surfaces of the inner walls forming the water channels that are oriented toward the fuel assemblies. The grooves extend transversely to the rods, or in other words transversely to the flow direction. However, the neutron flow can also be increased by providing that the thickness of the case walls is reduced, and thus the total quantity of neutron absorbing material is decreased. In order to assure adequate stability of the case walls even with a low wall thickness, another variant of the invention accordingly provides inner walls that interconnect oppositely disposed case wall parts. However, a film of liquid forms on these reinforcing inner walls as well, and as a result the percentage of water that can come into contact with the fuel rods in liquid form is reduced in the upper part of the fuel assembly that carries steam. Suitable grooves in these reinforcing walls cause a liquid film creeping along them to detach or break away and become swirled together with the mixture of water and steam flowing past it in such a way that once again the boiling capacity or output of the fuel assembly is increased. With the foregoing and other objects in view there is provided, in accordance with the invention, a fuel assembly for a boiling water reactor, comprising an elongated fuel assembly case, mutually parallel fuel rods having longitudinal axes and being disposed in the case, and longitudinally extending inner walls between the fuel rods having lateral surfaces facing or oriented toward the fuel rods, at least some of the lateral surfaces having grooves formed therein extending perpendicular to the longitudinal axes of the fuel rods. In accordance with another feature of the invention, the inner walls form at least one water channel for non-boiling water being laterally closed off from the fuel rods. In accordance with a further feature of the invention, the grooves extend continuously around the outside of the water channel. In accordance with an added feature of the invention, the lateral surfaces with the grooves are planar outer surfaces of the water channel. In accordance with an additional feature of the invention, the case has mutually opposite walls, a plurality of the inner walls interconnect at least two of the mutually opposite walls, and the inner walls each have two of the lateral surfaces in which the grooves are formed. In accordance with yet another feature of the invention, the inner walls have sides being parallel to the longitudinal axes of the fuel rods, and the grooves formed in one of the lateral surfaces each extend as far as the sides of the inner walls. In accordance with yet a further feature of the invention, the inner walls have sides being parallel to the longitudinal axes of the fuel rods, and the grooves formed in one of the inner walls end in a rounded portion at a distance from the sides of the inner walls. In accordance with yet an added feature of the invention, the case has a polygonal and preferably square cross section and oppositely disposed side walls, a plurality of the inner walls are parallel to the oppositely disposed side walls of the case, each of the inner walls interconnect two respective oppositely disposed side walls of the case, and the grooves are formed in all of the lateral surfaces facing toward the fuel rods. In accordance with yet an additional feature of the invention, the case has a regular polygonal and preferably square cross section and side walls, the inner walls include a first group of inner walls forming a water channel for non-boiling water extending at least approximately centrally in the case and being laterally closed off from the fuel rods, and a second group of inner walls connecting each of the side walls of the case to the water channel, and at least the second group of inner walls has the grooves formed in all of the surfaces facing toward the fuel rods. In accordance with again another feature of the invention, the grooves have narrow sides perpendicular to the longitudinal axes of the fuel rods. In accordance with a concomitant feature of the invention, the case has a lower end with a base having inlet openings for boiling water, an upper portion with a top part having outlet openings for boiling water, and a plurality of spacers being longitudinally spaced apart from one another and having ribs between the fuel rods extending transversely to the longitudinal axes of the fuel rods, the grooves being formed only in the upper portion and each of the grooves being upstream of a respective one of the spacers, as seen in flow direction of boiling water. With the objects of the invention in view, there is also provided a boiling water reactor, comprising a boiling water circuit having a pressure vessel and a steam turbine, and a plurality of mutually parallel fuel assemblies disposed in the pressure vessel, each of the fuel assemblies including an elongated fuel assembly case, mutually parallel fuel rods having longitudinal axes and being disposed in the case, and longitudinally extending inner walls having lateral surfaces facing toward the fuel rods, the lateral surfaces having grooves formed therein extending perpendicular to the longitudinal axes of the fuel rods, and the fuel rods being bathed by boiling water flowing around them in the longitudinal direction of the fuel rods. Other features which are considered as characteristic for the invention are set forth in the appended claims. Although the invention is illustrated and described herein as embodied in a fuel assembly for a boiling water reactor, it is nevertheless not intended to be limited to the details shown, since various modifications and structural changes may be made therein without departing from the spirit of the invention and within the scope and range of equivalents of the claims.
summary
claims
1. A scintillation system, comprising:a scintillation composition,wherein the scintillation composition comprises:an amorphous polymeric matrix material;a base dye dissolved in the amorphous polymeric matrix material,wherein the base dye incorporates a base fluorescent aromatic compound, andwherein the base fluorescent aromatic compound is at least 5% wt/wt of the scintillation composition; anda primary dye dissolved in the amorphous polymeric matrix material,wherein the primary dye is at least 0.25% wt/wt of the scintillation composition, andwherein when ionizing radiation is incident on the scintillation composition, the primary dye emits primary fluorescence. 2. The scintillation system according to claim 1, further comprising:a secondary dye dissolved in the amorphous polymeric matrix material,wherein the secondary dye is at least 0.005% wt/wt of the scintillation composition, andwherein primary fluorescence emitted by the primary dye is absorbed by the secondary dye such that the secondary dye emits secondary fluorescence. 3. The scintillation system according to claim 2,wherein the secondary dye is in the range 0.005 to 0.05% of the scintillation composition. 4. The scintillation system according to claim 3,wherein at least a portion of the secondary fluorescence is visible. 5. The scintillation system according to claim 2,wherein the base dye is a mixed isomer form of diisopropylnaphthalene,wherein the primary dye is PTP, andwherein the secondary dye is POPOP. 6. The scintillation system according to claim 1,wherein the base fluorescent aromatic compound has at least two rings. 7. The scintillation system according to claim 1,wherein the base fluorescent aromatic compound is an alkyl, aryl, or phenyl substituted compound. 8. The scintillation system according to claim 7,wherein the base fluorescent aromatic compound is an alkyl substituted compound, andwherein the alkyl substituted compound is fluorenecarboxaldehyde diethyl acetal. 9. The scintillation system according to claim 1,wherein the base fluorescent aromatic compound is an alkyl and aryl substituted compound. 10. The scintillation system according to claim 1,wherein the primary dye comprises a primary fluorescent aromatic compound. 11. The scintillation system according to claim 1,wherein the base fluorescent aromatic compound is a bridged at least two ring compound with a planar structure. 12. The scintillation system according to claim 1,wherein the primary dye incorporates a primary fluorescent aromatic compound, andwherein the primary fluorescent aromatic compound is in the range 0.25 to 6% wt/wt of the scintillation composition. 13. The scintillation system according to claim 1,wherein the scintillation composition has an efficiency of transferring energy non-radiatively to the primary dye from the base dye of at least 40%. 14. The scintillation system according to claim 1,wherein at least a portion of the primary fluorescence is visible. 15. The scintillation system according to claim 1,wherein the amorphous polymeric matrix material is cross-linked. 16. The scintillation system according to claim 1,wherein the base fluorescent aromatic compound is at least 15% wt/wt of the scintillation composition. 17. The scintillation system according to claim 1,wherein the scintillation composition has an efficiency of transferring energy non-radiatively to the primary dye from the base dye of at least 60%. 18. The scintillation system according to claim 1,wherein the base dye is a mixed isomer form of diisopropylnaphthalene. 19. A scintillation system, comprising:a scintillation composition,wherein the scintillation composition comprises:an aromatic solvent;a base dye dissolved in the aromatic solvent,wherein the base dye incorporates a base fluorescent aromatic compound, andwherein the base fluorescent aromatic compound is at least 5% wt/wt of the scintillation composition; anda primary dye dissolved in the aromatic solvent,wherein the primary dye is at least 0.25% wt/wt of the scintillation composition,wherein when ionizing radiation is incident on the scintillation composition, the primary dye emits primary fluorescence, andwherein the base fluorescent aromatic compound is an alkyl and aryl substituted compound containing at least two rings. 20. The scintillation system according to claim 19, further comprising:a secondary dye dissolved in the aromatic solvent,wherein the secondary dye is at least 0.0025% wt/wt of the scintillation composition, andwherein the secondary dye absorbs the primary fluorescence from the primary dye and emits secondary fluorescence. 21. The scintillation system according to claim 20,wherein the base dye is a mixed isomer form of diisopropylnaphthalene,wherein the primary dye is PTP, andwherein the secondary dye is POPOP. 22. The scintillation system according to claim 19,wherein the aromatic solvent is pseudocumene or xylene. 23. The scintillation system according to claim 19,wherein the base fluorescent aromatic compound is at least 15% wt/wt of the scintillation composition. 24. The scintillation system according to claim 19,wherein the base dye is a mixed isomer form of diisopropylnaphthalene. 25. A scintillation system, comprising:a scintillation composition,wherein the scintillation composition comprises:an aromatic solvent;a base dye dissolved in the aromatic solvent,wherein the base dye incorporates a base fluorescent aromatic compound, andwherein the base fluorescent aromatic compound is at least 5% wt/wt of the scintillation composition; anda primary dye dissolved in the aromatic solvent,wherein the primary dye is at least 0.25% wt/wt of the scintillation composition,wherein when ionizing radiation is incident on the scintillation composition, the primary dye emits primary fluorescence, andwherein the base fluorescent aromatic compound is a bridged at least two ring compound with a planar structure. 26. The scintillation system according to claim 25,wherein the base fluorescent aromatic compound is an alkyl, aryl, or phenyl substituted compound. 27. The scintillation system according to claim 25, further comprising:a secondary dye dissolved in the aromatic solvent,wherein the secondary dye is at least 0.0025% wt/wt of the scintillation composition, andwherein the secondary dye absorbs the primary fluorescence from the primary dye and emits secondary fluorescence. 28. A scintillation system, comprising:a scintillation composition,wherein the scintillation composition comprises:an aromatic solvent;a base dye dissolved in the aromatic solvent,wherein the base dye incorporates a base fluorescent aromatic compound, andwherein the base fluorescent aromatic compound is at least 5% wt/wt of the scintillation composition; anda primary dye dissolved in the aromatic solvent,wherein the primary dye is at least 0.25% wt/wt of the scintillation composition, andwherein when ionizing radiation is incident on the scintillation composition, the primary dye emits primary fluorescence,wherein the base fluorescent aromatic compound is an alkyl, aryl, or phenyl substituted compound,wherein the base fluorescent aromatic compound is an alkyl substituted compound, andwherein the alkyl substituted compound is fluorenecarboxaldehyde diethyl acetal. 29. The scintillation system according to claim 28, further comprising:a secondary dye dissolved in the aromatic solvent,wherein the secondary dye is at least 0.0025% wt/wt of the scintillation composition, andwherein the secondary dye absorbs the primary fluorescence from the primary dye and emits secondary fluorescence. 30. A scintillation system, comprising:a polymeric matrix;a primary dye dissolved in the polymeric matrix,wherein the primary dye is di-t-pentyl-p-terphenyl, andwherein when ionizing radiation is incident on the scintillation composition the primary dye emits primary fluorescence; anda secondary dye dissolved in the polymeric matrix,wherein the secondary dye absorbs the primary fluorescence and emits secondary fluorescence, andwherein at least a portion of the secondary fluorescence is visible.
description
This application is a U.S. National Stage Entry under 35 U.S.C. § 371 of International Patent Application No. PCT/US2019/015797, entitled THERMAL SLEEVE, filed Jan. 30, 2019, which claims benefit under 35 U.S.C. § 119 (e) of U.S. Provisional Application No. 62/629,339 filed on Feb. 12, 2018, the contents of which are herein incorporated by reference in their entireties. This invention pertains generally to thermal sleeves and, more particularly, to thermal sleeves that can be relatively easily installed and replaced. This invention also pertains to methods of installing and replacing thermal sleeves. In response to operational experience at a number of nuclear plants there is a clear need for a quickly deployed thermal sleeve replacement for the thermal sleeves in the reactor vessel closure head penetration adapter housing. Thermal sleeve flange wear is a phenomenon first identified domestically in 2014 when a part-length sleeve failed. Since then inspections have been recommended and acceptance criteria have been developed. More recently (December 2017), two additional thermal sleeve failures at rodded locations were identified. A sectional view of an example arrangement of a conventional thermal sleeve 10 positioned in a guide funnel 12 of a reactor head penetration adaptor 14 within a control rod drive mechanism (CRDM) 16. When a thermal sleeve 10 fails at an upper flange 18 location at a rodded location, the only current repair is the complex removal of the CRDM 16 and reinstallation of a new sleeve 10 and guide funnel 12. This replacement can take several weeks and is required, because the upper flange 18 is integral to the thermal sleeve 10 and fully captured in the head penetration adapter 14. Accordingly, it is an object of this invention to provide a new thermal sleeve design and method of installation that will reduce the time required for thermal sleeve replacement and avoid the requirement to remove the CRDM. This invention achieves the foregoing objective in one embodiment by providing a replacement thermal sleeve comprising an elongated tubular sheath having a wall with a radially, outwardly extending flange at one end of the wall and an axis extending along the elongated dimension. A plurality of slots extend axially in the wall of the elongated tubular sheath with the slots extending through the flange and along the sheath a preselected distance that does not extend to another end of the wall of the sheath. In one such embodiment the slots have a width sufficient to facilitate compression of the flange to narrow an outside diameter of the flange in the compressed state to an extent necessary to clear any obstruction in an opening of a tubular member in which the thermal sleeve is to be inserted. The slots define a flexible leaf between each two adjacent slots. In one embodiment the plurality of slots are two slots formed 180 degrees apart around the circumference of the wall. In one such alternate embodiment the plurality of slots are three slots formed 120 degrees apart around the circumference of the wall. In still another such embodiment, the plurality of slots are four slots formed 90 degrees apart around the circumference of the wall. In another embodiment, in a relaxed state, at the flange, the leafs substantially touch each adjacent leaf and the leafs are configured so that if spread apart at the flange to a point where the width of the slot is sufficient to seat the flange in a recess in the opening of the tubular member in which the flange is to be seated to lock the replacement thermal sleeve in the opening, then the leafs experience plastic deformation. The invention also includes a method of replacing a damaged thermal sleeve in a reactor vessel head adapter that connects a control rod drive mechanism to a reactor vessel head. The method includes the step of accessing the damaged thermal sleeve from an underside of the reactor vessel head. The method then removes the damaged thermal sleeve and, in one embodiment, obtains a replacement thermal sleeve. The replacement thermal sleeve has an elongated tubular sheath having a radially, outwardly extending flange at one end; an axis extending along the elongated dimension; and a plurality of axially extending slots in and through the wall of the elongated tubular sheath. The slots extend through the flange and along the sheath a preselected distance that does not extend to another end of the wall of the sheath and have a width sufficient to narrow an outside diameter of the flange to at least an extent necessary to clear any obstruction in an opening of the reactor head adapter in which the thermal sleeve is to be inserted, when adjacent sections of the flange in between the slots substantially touch. The slots define a leaf in between each two adjacent slots. The method then alters the diameter of the flange on the replacement thermal sleeve to an extent necessary to clear any obstruction in the opening in the tubular member that will be encountered while inserting the replacement thermal sleeve to a location within the opening originally occupied by the damaged thermal sleeve; and inserts the replacement thermal sleeve through the opening from the underside of the reactor vessel head. Finally the method expands the diameter of the flange into a recess in the opening in the reactor head adapter. In one embodiment, the activation of the steps of altering the diameter of the flange, comprises exerting a compressive force to compress adjacent sections of the flange together, and expanding the diameter of the flange, comprises removing the compressive force, and both steps are performed from the underside of the reactor vessel head. In such an embodiment the steps of compressing the flange and releasing the compressed flange is preferably performed by either a tool inserted from the underside of the reactor vessel head that grips recesses in an upper surface of the flange or a retention strap installed below the flange. In still an alternate embodiment of the method the step of altering the diameter of the flange is performed during manufacture of the replacement thermal sleeve and the step of expanding the diameter of the flange is performed from the underside of the reactor vessel head adapter. The invention also contemplates a replacement thermal sleeve having a plurality of longitudinal wall sections, with each wall section having a radially outwardly extending flange segment at one end, that when the longitudinal wall sections are fitted together, configure a tubular wall of the replacement thermal sleeve. This latter embodiment of the replacement thermal sleeve also includes a fastener for fastening the plurality of longitudinal wall sections together. One such embodiment for replacing a thermal sleeve in a reactor closure head penetration adapter may configure the longitudinal wall sections to be inserted one at a time into an opening in an underside of the reactor head adapter and the fastener is configured to be applied from an underside of the reactor closure head penetration adapter. The plurality of longitudinal wall sections may also comprise three wall sections. The invention further contemplates a method for installing the latter embodiment of the replacement thermal sleeve. The method comprises accessing the damaged thermal sleeve from and underside of the reactor vessel head adapter. The damaged thermal sleeve is removed and a replacement thermal sleeve is obtained, with the replacement thermal sleeve divided into a plurality of longitudinal wall sections. The plurality of longitudinal wall sections are inserted into an opening in the underside of the reactor vessel head adapter, one at a time. Then the longitudinal wall sections are arranged within the opening into a tubular housing of the replacement thermal sleeve. The longitudinal wall sections are then fastened together from the underside of the reactor vessel head adapter. One object of the present invention is to avoid the requirement to remove the CRDM in order to access and remove the thermal sleeve to shorten the time it takes to replace a thermal sleeve in a reactor head adapter. Reducing the time required for a thermal sleeve replacement and providing options on how to do so will be tremendously valuable to the industry as thermal sleeve failures become more prevalent and regular inspections are performed to identify such failures. This invention is a re-design of the thermal sleeve that can be installed without the CRDM removal process. To achieve this, embodiments of the present invention provide for the flange on the lead end of the thermal sleeve to be deformed or disassembled in various ways such that the flange can pass through the narrowest inner diameter of the opening in the reactor head adaptor through which the flange is to be inserted. Referring now to FIGS. 2A and 2B, a thermal sleeve 20 in accordance with an example embodiment of the present invention is shown. Thermal sleeve 20 is formed generally as an elongated tubular body 22 disposed about a central longitudinal axis 24 and extending between a bottom end 26 and a top end 28. Thermal sleeve 20 includes a flanged region 30 disposed at or about top end 28 which extends radially outward from tubular body 22 (i.e., away from axis 24). As shown shifting from FIG. 2A to FIG. 2B, flanged region 30 may be deformed inward (i.e., toward axis 24) when a predetermined force F is applied to flanged region 30. To provide for such deformation of flanged region 30, thermal sleeve 20 includes a plurality (three are shown in the illustrated example) of slots 32 defined in tubular body 22, with each slot 32 extending through and from top end 28 toward bottom end 26. As a result of such slots 32, flanged region 30 is thus divided in a plurality of segmented flange lugs 33, with each flange lug 33 being spaced from each adjacent flange lug by a respective slot 32 of the plurality of slots 32. Each slot 32 extends a predetermined distance L along tubular body 22 without extending through bottom end 26 thereof. Additionally, each slot 32 has a maximum width W (generally circumferential to axis 24) which is sufficient to narrow a maximum outside diameter of flanged region 30 from a first diameter DI (FIG. 2A) to a second diameter D2 (FIG. 2B) in order to clear any obstruction in an opening of a tubular member in which thermal sleeve 20 is to be inserted. As shown in FIGS. 3A and 3B, such slotted design allows for elastic compression of the slotted portion of tubular body 22, and thus flanged region 30 thereof, such that the resulting outer diameter D2 of flanged region 30 is less than the narrowest inner diameter ID of opening 34 in head penetration adapter 14 (shown in section in FIGS. 3A and 3B, see also FIG. 1) through which thermal sleeve 20 it is to be inserted. As shown in FIGS. 4A-4E, temporary compression of flanged region 30 of thermal sleeve 20 may be achieved with specialized tooling 40 that interfaces with a top flange face 42 of thermal sleeve 20, e.g., via retractable arms 43 of tooling 40 selectively engaging recesses 44 (FIG. 2A) formed therein or a retention strap stored below the flange (not shown in the figures). Once compressed, replacement sleeve 20 is inserted through the bottom of the head penetration adaptor 14, such as shown in FIG. 4A. Once sleeve 20 is positioned at an installation elevation, tooling 40 releases the compression in a controlled manner and disengages flanged region 30, such as shown in FIGS. 4C and 4D. The tooling 40 is then removed downward through the shaft of head penetration adaptor 14, such as shown in FIG. 4E. Referring to FIG. 5, thermal sleeve 20 may be provided as a full-length thermal sleeve. Such embodiment is for use after the entirety of the original thermal sleeve has been completely removed and is installed in the head penetration adaptor 14 in the same manner such as previously discussed. In such embodiment, the bottom end 26 of tubular body 22 may include a funnel 50 to allow guidance of the drive rod into thermal sleeve 20. Funnel 50 may be integral to tubular body 22 or attached separately via any suitable process or arrangement. Referring to FIG. 6, thermal sleeve 20 may be of a sufficiently short length so as to be fully contained within the head penetration housing 14. In such example, tubular body 22 may include a boss 52 positioned at the bottom end 26 thereof having a greater outer diameter than tubular body 22 in order to assist in centering the thermal sleeve 20 within the head penetration housing 14. The inner diameter of the bottom end 26 of tubular body 22 includes a lead-in chamfer to aid in drive rod insertion thereto. This design can be used with an extension tube attached directly to the bottom of the head penetration housing 14. This embodiment may be used with a guide sleeve adaptor 54 also formed from a tubular body 56. Guide sleeve adaptor 54 includes a plurality of alignment tabs 58 that each extend from a top end 60 thereof and that are positioned so as to align top end 60 of guide sleeve adaptor 54 with bottom end 26 of tubular body 22 of short thermal sleeve 20. Once in place, guide sleeve adaptor 54 solidly attaches to short thermal sleeve 20 and thus generally functions as a guide sleeve. Referring now to FIGS. 7 and 8A-8C, a compressible thermal sleeve 120 in accordance with another example embodiment of the present invention is shown. Thermal sleeve 120 is of generally similar design as thermal sleeve 20 (previously discussed) except thermal sleeve 120 further includes a plurality of inserts 160 which extend generally from bottom end 126 to flanged region 130 at or about top end 128 of tubular body 122 in each of slots 132, such that each slot 132 is generally divided into two by each insert 160. Accordingly, in such arrangement, each insert 160 is disposed circumferentially between a pair of segmented flange lugs 133 in a manner that prevents adjacent flange lugs 133 from moving inward towards axis 124. Radial compression of sleeve 120 after installation is a concern because downward loads on sleeve 120 could cause flanged region 130 thereof to compress radially inward and slide down the penetration and/or contact the control drive rod. Unlike each of flange lugs 133 which are particularly arranged so as to interact with a head penetration adaptor 14 (similar to flange lugs 33 previously discussed), each insert 160 does not extend outward and thus does not interface with head penetration adaptor 14 (and thus are not forced inward when sleeve 120 is pulled downward). Because inserts 160 are not forced to move radially, they will remain circumferentially between, and thus be “pinched” by the flange lugs 133 as flange lugs 133 are pushed radially inward. The interference between flange lugs 133 and inserts 160 prevents lugs 133 from moving inward enough to contact the drive rod or fit into the narrower portion of head penetration adaptor 14. As shown in FIG. 8B, the plurality of inserts 160 are designed to be able to fit within the space (not numbered) of the flange lugs 133 when the sleeve 120 is in the collapsed configuration. Pre-installation manipulation is required to place sleeve 120 in this configuration, so it cannot be achieved during operation. To achieve this configuration for installation into the penetration adapter opening, inserts 160 are first compressed by first forces F 1 (FIG. 8A) into the center of the flange opening, as shown in the end view of FIG. 8B and the perspective view of FIG. 8C. Next the flange lugs 133 are compressed by a second force F2 (FIGS. 8A and 8C) until they are substantially touching as shown in the end view of FIG. 8B and the perspective view of FIG. 8C. Inserts 160 spring back into their required position between each of flange lugs 133 in the same manner as the flange lugs 133 when the thermal sleeve 120 is fully inserted in the head penetration adapter 14. Referring now to FIGS. 9A, 9B, 10A-10C, and 11A-11E, a compressible thermal sleeve 220 in accordance with another example embodiment of the present invention is shown. Thermal sleeve 220 is of generally similar design as thermal sleeve 20 (previously discussed) except thermal sleeve 220 further includes/utilizes a flanged region 230 which is expandable. By manufacturing thermal sleeve 220 with a flanged region 230 having an initially reduced outer diameter D2, a replacement sleeve 220 may be installed through the narrowest inner diameter ID of the opening 34 in head penetration adapter 14 (shown in section in FIG. 3, see also FIG. 1) through which thermal sleeve 220 it is to be inserted. Installation of thermal sleeve 220 relies on plastically deforming flange segments 233 radially outward. This process is figuratively illustrated in FIGS. 10A-10C and 11A-11E. Such plastic deformation is achieved through a tool 240 (FIGS. 11A-11E), such as a mandrel. Installation tooling 240 is initially inserted through sleeve 220 so as to be disposed above top end 228 to engage at the top of flanged region 230. Once thermal sleeve 220 has been inserted into head penetration adaptor 14 (with tooling 240 at the leading end of thermal sleeve 220), such as generally shown in FIG. 11B, tooling 240 is disengaged from thermal sleeve 220 and is pulled down through thermal sleeve 220 for removal, such as generally shown in FIGS. 11C-11E. During such removal, each of flanged segments are expanded (or swaged) such that they are plastically deformed to the larger outer diameter D 1 which is greater than the inner diameter ID of head penetration adaptor 14. Referring now to FIGS. 12-20, a thermal sleeve 320 in accordance with yet another example embodiment of the present invention is shown. Thermal sleeve 320 includes a tubular body 322 comprised of at least three separate leafs 322A, 322B, 322C which each extend axially (i.e., parallel to axis 324) from bottom end 380 to top end 328 of sleeve 320. More particularly, thermal sleeve 320 is sectioned into three leafs that respectively have a maximum width WMAX (FIG. 13) that is less than narrowest inner diameter ID of head penetration adapter 14. As discussed below, leafs 322A, 322B and 322C are secured to a spacing collar 382 via a nut 384. Referring to FIG. 13, each leaf 322A, 322B, 322C is of similar construction and includes a flange lug 333 disposed at or about top end 328 and a tab 370 disposed at an opposite end 380. During assembly, each leaf 322A, 322B, 322C is first inserted through nut 384 which is slid part-way up leafs 322A, 322B, 322C so as to generally be out of the way. Next, each leaf 322A, 322B and 322C is inserted into head penetration adaptor 14 individually, and then arranged in a circular pattern underneath the reactor vessel head as described in detail in FIGS. 14A-14F, as well as FIG. 15, which illustrates how the at least one of leafs (e.g., 322C), must be generally elevated (i.e., protruded further into adaptor 14) such that the flange lug 333 thereof will clear the other two leafs (e.g., 322A and 322B) as they are moved into the finished circular/tubular arrangement such as shown in FIG. 16. After leafs 322A, 322B and 322C are arranged in the final arrangement shown in FIG. 16, spacing collar 382 (e.g., FIG. 17) is positioned generally radially within, and circumferentially between, tabs 370 of leafs 322A, 322B, 322C, such as shown in FIG. 18. The arrangement of tabs 370 and spacing collar 382 is then secured with a nut 384 which is slid down along the arrangement of leafs 322A, 322B, 322C and down around tabs 370 thereof and spacing collar 382 which generally forces each tab 370 toward spacing collar 382. Nut 384 is then threadingly engaged with spacing collar 382 and then crimped into place on spacing collar 382, such as shown generally at 386 in FIG. 20, to prevent disassembly of the combination of leafs 322A, 322B, 322C, spacing collar 382 and nut 384. The current thermal sleeve replacement procedure can take as much as 6-8 weeks, in an emergency situation. Since such a repair would not be a planned outage activity, it would likely extend the plant outage critical path. Such an extension of a plant outage could cost millions of dollars in downtime. The anticipated time for the removal of the existing sleeve (or remnants) and installation of this replacement is on the order of a few days or less. The components of this invention are simple and relatively inexpensive to manufacture. They are very similar to the original thermal sleeve design, so the experience to manufacture them already exists. While specific embodiments of the invention have been described in detail, it will be appreciated by those skilled in the art that various modifications and alternatives to those details could be developed in light of the overall teachings of the disclosure. Accordingly, the particular embodiments disclosed are meant to be illustrative only and not limiting as to the scope of the invention which is to be given the full breadth of the appended claims and any and all equivalents thereof.
044217153
summary
CROSS-REFERENCE TO RELATED APPLICATION This application is related to copending application Ser. No. 252,116 filed Apr. 8, 1981 in the name of E. P. Shields entitled "Baffle Maintenance Apparatus" and assigned to the Westinghouse Electric Corporation. BACKGROUND OF THE INVENTION This invention relates to nuclear reactor maintenance apparatus and more particularly to nuclear reactor maintenance apparatus for remotely reducing the spacing between two members. Conventional pressurized water reactors comprise a reactor vessel with a reactive core disposed therein which produces heat in a manner well understood in the art. A water coolant is circulated through the reactor vessel and in heat transfer relationship with the core so that heat is transferred from the core to the water coolant. The reactive core generally comprises a plurality of fuel assemblies comprising nuclear fuel. The fuel assemblies are surrounded by a plurality of vertical metal baffle plates that define the outer limits of the core. Although the baffle plates are joined together to form an outer perimeter for the core, the individual baffle plates are not welded together. Since the baffle plates are not welded together, small gaps may exist between two adjacent baffle plates. Because the baffle plates serve to direct the flow of reactor coolant water through the reactor core, the small gaps between the baffle plates do not detract from the performance of this function. However, since a substantial pressure differential may exist across the baffle plates, it is possible for small streams of high velocity reactor coolant to be established through the gaps between these baffle plates. These streams of reactor coolant can cause vibrations in the fuel assemblies or otherwise damage the fuel assemblies. Therefore, what is needed is apparatus for reducing the size of the gap between each baffle plate so that the streaming of coolant through the gap may be reduced or eliminated. SUMMARY OF THE INVENTION The baffle maintenance apparatus comprises a peening apparatus mounted on a movable carriage for reducing the width of a gap between two adjacent baffle plates in a nuclear reactor. The peening apparatus comprises an hydraulic hammer mounted on a pivotable plate that is capable of being positioned in proper relationship to the baffle plate for reducing the gap therebetween. The baffle maintenance apparatus may also comprise a gauging mechanism mounted on the carriage for determining the width of the gap between the baffle plates.
summary
claims
1. In a nuclear reactor vessel having a core plate and a generally hemispherically shaped bottom head with a plurality of penetrations enabling control rod drives to pass through said plurality of penetrations to support a respective plurality of control rods, a method of repairing or mitigating crack formation at said hemispherically shaped bottom head of the vessel comprising the steps of:passing plural discrete segments of a first caisson through holes in the core plate to a location about a tube secured to said hemispherically shaped bottom head and surrounding a one of said plurality of penetrations;assembling said plural discrete segments about said tube;sealing said plural discrete segments to one another and to said generally hemispherically shaped bottom head;passing a second caisson through one of said holes in the a core plate and causing a lower edge of said second caisson to engage an upper edge of said first caisson;removing water from said first and second caissons to provide a water-free environment for welding; andlowering a welding head through said second caisson and into the first caisson to apply a weld at a weld site about the tube or along said generally hemispherically shaped bottom head. 2. A method according to claim 1, wherein said first caisson has a diameter greater than a diameter of said one of the holes in said core plate. 3. A method according to claim 1, including forming at least a pair of segments of the first caisson uniquely and different in configuration relative to one another to accommodate said generally hemispherically shaped bottom head. 4. A method according to claim 1, wherein said generally hemispherically shaped bottom head has a vertically extending axis through its lowest point, including forming at least a pair of said plural segments of said first caisson having different heights relative to one another such that upper edges thereof lie in a horizontal plane normal to said vertically extending axis. 5. A method according to claim 4, wherein bottom edges of said plural segments are tapered to accommodate inclines along said generally hemispherically shaped bottom head. 6. A method according to claim 1, including passing a holddown through a hole in the core plate, securing said holddown to said generally hemispherically shaped bottom head adjacent the weld site and engaging said holddown and at least one of said plural segments to maintain said at least one of said segments adjacent an interior surface of said generally hemispherically shaped bottom head prior to sealing said plural segments to one another and to said generally hemispherically shaped bottom head. 7. A method according to claim 6, including forming the holddown in at least two distinct parts, separately passing said at least two distinct parts through one or more of the holes in the core plate, assembling said at least two distinct parts to one another below the core plate and in a water environment of the reactor vessel to form assembled holddown, and engaging the assembled holddown and said at least one segment to maintain said at least one segment adjacent the interior surface of the generally hemispherically shaped bottom head. 8. A method according to claim 7, wherein the generally hemispherically shaped bottom head includes a plurality of control rod drive housings projecting upwardly therefrom at locations about said tube, separately passing a plurality of distinct holddown parts through one or more of the holes in the core plate for assembly into a plurality of holddowns, securing said plurality of holddowns to control rod drive housings about the tube, and engaging said plurality of holddowns and said plurality of segments to one another to maintain said plurality of segments adjacent the generally hemispherically shaped bottom head about the tube. 9. In a nuclear reactor vessel having a core plate and a generally hemispherically shaped bottom head, a method of repairing or mitigating crack formation at the bottom head of the vessel comprising the steps of:passing segments of a first caisson through holes in the core plate to a location adjacent the bottom head;assembling the segments to form an enclosure;sealing the segments to one another and to the bottom head;passing a second caisson through a core plate hole and sealing a lower end thereof to an upper end of the first caisson;removing water from the first and second caissons to provide a water-free environment for welding; andlowering a welding head through the second caisson and into the first caisson to apply a weld. 10. A method according to claim 9, including providing arcuate segments, assembling the arcuate segments to form an assembled first caisson having a diameter for greater than a diameter of the core plate hole.
description
The embodiments of the present invention will be described below with reference to the accompanying drawings. (First Embodiment) The present invention is applied to, e.g., a radiation imaging system 100 as shown in FIG. 1. less than Arrangement of Radiation Imaging System 100 greater than As shown in FIG. 1, the radiation imaging system 100 has an arrangement in which an imaging device 110 for acquiring an image signal of an object (patient) 102 to be examined, a control device 111 for controlling the entire system 100, a storage device 112 for storing various data such as a control program for control processing by the control device 111 and the image, a display device 113 for displaying the image or the like, an image processing device 114 for executing arbitrary image processing for the image signal of the patient 102, which is obtained by the imaging device 110, an imaging condition instruction device 115 for instructing various imaging conditions in the imaging device 110, an imaging button 116 for instructing the system 100 to start imaging operation, and a radiation generator 117 for generating a radiation (e.g., X-rays) from a radiation tube 101 to the patient 102 are connected to each other through a system bus 120 to exchange data. The imaging device 110 is located at a position where the radiation generated from the radiation tube 101 of the radiation generator 117 can be received through the patient 102, and comprises a chest stand 103, grid 104, phosphor 105, sensor (two-dimensional solid-state image sensing element) 106, signal reading section 107, and grid moving section 108. The chest stand 103, grid 104, phosphor 105, and sensor 106 are arranged in this order from the side of the radiation tube 101 of the radiation generator 117. less than Series of Operations of Radiation Imaging System 100 greater than Outlines of the imaging procedure and radiation image generation process in the radiation imaging system 100 will be described here. The user (e.g., radiation technician) positions the patient 102 to the chest stand 103 and selectively inputs appropriate imaging conditions (e.g., tube voltage, tube current, irradiation time, type of sensor 106, and type of radiation tube 101) using the imaging condition instruction device 115. In this embodiment, the imaging conditions are manually input by the user through the imaging condition instruction device 115. However, the present invention is not limited to this. For example, the imaging conditions may be input through a network (not shown) connected to the imaging device 110. Next, the user presses the imaging button 116 to request the control device 111 to start imaging operation. After receiving the imaging operation start request from the user, the control device 111 performs initialization necessary in the system 100 and prompts the radiation generator 117 to irradiate the person with radiation. In accordance with the irradiation instruction from the control device 111, the radiation generator 117 generates radiation from the radiation tube 101. The radiation generated from the radiation tube 101 passes through the patient 102 and reaches chest stand 103. The chest stand 103 is exposed by the radiation transmitted through the patient 102 with a transmitted radiation distribution in accordance with the structure in the patient 102. Since the chest stand 103 is sufficiently transparent to the radiation, the radiation transmitted through the chest stand 103 reaches the grid 104. The grid 104 removes scattering ray components in the radiation transmitted through the chest stand 103 and sends only effective radiation components to the phosphor 105. The phosphor 105 converts the radiation (effective radiation) from the grid 104 into visible light in accordance with the spectral sensitivity of the sensor 106. The sensor 106 receives the radiation from the phosphor 105, converts the radiation light into an electrical signal (image signal) by two-dimensional photoelectric conversion, and accumulates it. The present invention is not limited to this. The sensor 106 may directly convert the radiation from the grid 104 to the electrical signal (image signal). The signal reading section 107 reads the image signal accumulated in the sensor 106 and stores the signal in the storage device 112 as a radiation image signal. The image processing device 114 performs appropriate image processing for the radiation image signal stored in the storage device 112. The display device 113 displays the radiation image signal after processing by the image processing device 114. less than Most Characteristic Operation and Arrangement of Radiation Imaging System 100 greater than FIG. 2 is a flow chart showing operation control processing executed by the control device 111 for the system 100. FIGS. 3A to 3F are timing charts showing the operation control timing. The processing shown in FIG. 2 corresponds to processing from the above-described imaging condition input by the user to image signal read from the sensor 106. Step S201 The control device 111 recognizes an irradiation time T exp, the type of sensor 106 used for imaging, and the type of radiation tube 101 on the basis of imaging conditions selectively input by the user through the imaging condition instruction device 115. In accordance with the recognized information, the control device 111 determines control until radiation irradiation and control after radiation irradiation by processing from step S202. Step S202 The control device 111 determines a sensor initialization time Tss in accordance with the type of sensor 106. The sensor initialization time Tss changes depending on the type of sensor 106. For example, when the sensor 106 requires predischarge of a dark current, the pre-read time is the sensor initialization time Tss. From this time, signal accumulation in the sensor 106 starts. Step S203 The control device 111 determines a grid initialization time Tgs and grid vibration convergence time Tge from the irradiation time T exp. More specifically, to reduce stripe image formation on the object by the grid 104, for example, radiation must be transmitted through stripes of 10 or more cycles. However, the moving distance of the grid 104 is limited. Hence, the moving speed of the grid 104 must be optimized in accordance with the irradiation time T exp. In addition, since the grid 104 generally has a focal point, the irradiation central position of radiation and the central position of the grid 104 must be aligned to obtain an image with a satisfactory quality. Hence, a time required until the optimum moving speed (target moving speed) of the grid 104 is obtained and the position of the grid 104 reaches the irradiation central position (target position) of radiation corresponds to the grid initialization time Tgs. In this embodiment, the grid initialization times Tgs until the target moving speed and position of the grid 104 are obtained and the grid vibration convergence times Tge required to converge device vibration caused by movement are obtained as a table by experiments in correspondence with, e.g., various patterns of irradiation time T exp and moving speed of the grid 104 and stored in the storage device 112 in advance. The grid initialization time Tgs and grid vibration convergence time Tge corresponding to the actually obtained irradiation time T exp are determined from the table information in the storage device 112. Step S204 The control device 111 determines a pre-irradiation delay time Txs and post-irradiation delay time Txe on the basis of the type of radiation tube 101. The pre-irradiation delay time Txs is a time after the radiation generator 117 is instructed to permit radiation irradiation until the radiation generator 117 actually starts radiation irradiation, and is determined by the type of radiation generator 117 or radiation tube 101. In this embodiment, the pre-irradiation delay times Txs corresponding to, e.g., various types of radiation generator 117 or radiation tube 101 are prepared as a table in advance, and a corresponding pre-irradiation delay time Txs is determined from the table information. The post-irradiation delay time Txe is a delay time after the elapse of irradiation time T exp until the radiation generator 117 actually ends the radiation irradiation. The post-irradiation delay time Txe is also determined in accordance with the same procedure as that for the pre-irradiation delay time Txs. Step S205 The control device 111 determines an irradiation delay time T1. The irradiation delay time T1 is a delay time after an imaging request is input by the user through the imaging button 116 until the radiation generator 117 actually starts radiation irradiation. Of the sensor initialization time Tss determined in step S202, the grid initialization time Tgs determined in step S203, and the pre-irradiation delay time Txs determined in step S204, the longest time is determined as the irradiation delay time T1. Step S206 The control device 111 determines a time table before irradiation. This time table is determined from the sensor initialization time Tss determined in step S202, the grid initialization time Tgs determined in step S203, and the pre-irradiation delay time Txs determined in step S204. More specifically, the control sequence and times (timings) of initialization of the sensor 106, start of drive of the grid 104, and radiation irradiation instruction (irradiation permission) to the radiation generator 117 after the imaging request input by the user through the imaging button 116 is recognized are determined by subtracting each delay time from the irradiation delay time T1 determined in step S205. The initialization timing of the sensor 106 is determined as xe2x80x9cT1xe2x88x92Tssxe2x80x9d. The drive start timing of the grid 104 is determined as xe2x80x9cT1xe2x88x92Tgsxe2x80x9d. The radiation irradiation instruction (irradiation permission) timing for the radiation generator 117 is determined as xe2x80x9cT1xe2x88x92Txsxe2x80x9d. Step S207 After control before radiation irradiation is determined in the above-described way, the control device 111 determines whether an imaging request is input by the user through the imaging button 116 and stands by until an imaging request is received. Step S208 Upon recognizing that an imaging request is input by the user through the imaging button 116, the control device 111 executes operation control according to the time table determined in step S206. Initialization of the sensor 106 is started after the elapse of xe2x80x9cT1xe2x88x92Tssxe2x80x9d, drive of the grid 104 is started after the elapse of xe2x80x9cT1xe2x88x92Tgsxe2x80x9d, and irradiation permission is executed after the elapse of xe2x80x9cT1xe2x88x92Txsxe2x80x9d. Step S209 The control device 111 stands by until the total time (T1+T exp+Txe) of the irradiation time (actual exposure time) T exp determined in step S201, the post-irradiation delay time Txe determined in step S204, and the irradiation delay time T1 determined in step S205 elapses. Step S210 When recognizing that the time (T1+T exp+Txe) has elapsed, the control device 111 stops driving the grid 104 through the grid moving section 108. Step S211 The control device 111 stands by until the grid vibration convergence time Tge determined in step S203 elapses. Step S212 When recognizing that the grid vibration convergence time Tge has elapsed, the control device 111 causes the signal reading section 107 to start reading the signal accumulated in the sensor 106. In the operation control for the radiation imaging system 100 shown in the flow chart of FIG. 2, especially, since the operation stands by for the post-irradiation delay time Txe after the elapse of irradiation time T exp, stripe image formation on the object by the grid 104 can be prevented. In addition, since drive of the grid 104 is stopped, the influence of electromagnetic noise generated from the grid moving section 108 can be prevented. Furthermore, since the operation stands by for the grid vibration convergence time Tge after the stop of drive of the grid 104, the influence of device vibration can be prevented. Hence, after the imaging request from the user is recognized, the control device 111 controls the operation of the system 100 in accordance with the flow chart in FIG. 2, thereby acquiring a satisfactory image. The above operation control for the radiation imaging system 100 will be described below in more detail with reference to the timing charts shown in FIGS. 3A to 3F. The timing charts of FIGS. 3A to 3F explain timings after the imaging button 116 is pressed. In accordance with the imaging conditions input by the user, for example, Irradiation time T exp=100 ms Sensor initialization time Tss=200 ms Grid initialization time Tgs=300 ms Pre-irradiation delay time Txs=100 ms Grid vibration convergence time Tge=300 ms Post-irradiation delay time Txe=100 ms are determined. In this case, the irradiation delay time T1 as the longest time of the sensor initialization time Tss, grid initialization time Tgs, and pre-irradiation delay time Txs is determined by T1=max(Tss, Tgs, Txs)=Tgs=300 ms Operation control until radiation irradiation is determined from these initial conditions. Next, control timings for sensor initialization, start of grid movement, and irradiation permission instruction after recognition of the imaging request are determined by subtracting a corresponding time required for operation from the irradiation delay time T1. Sensor initialization timing: T1xe2x88x92Tss=100 ms Grid movement start timing: T1xe2x88x92Tgs=0 ms Irradiation enable signal transmission timing: T1xe2x88x92Txs=200 ms Control timings after radiation irradiation are so determined that movement control for the grid 104 is stopped after the elapse of actual irradiation time obtained by adding the irradiation time T exp and post-irradiation delay time Txe to the irradiation delay T1, and the signal read from the sensor 106 is started after the elapse of grid vibration convergence time Tge. That is, the grid control stop timing and signal read start timing are determined by Grid control stop timing: T1+T exp+Txe=500 ms Signal read start timing: T1+T exp+Txe+Tge=800 ms After the control timings are determined, an imaging request (FIG. 3A) input by the user by pressing the imaging button 116 is waited. When an imaging request is recognized, operation control for the radiation imaging system 100 is started on the basis of the determined control timings. First, movement (motion) of the grid 104 is started, as shown in FIG. 3B. The moving speed of the grid 104 acceleratingly increases and reaches an irradiation enable state after the elapse of 300 ms (grid initialization time Tgs=300 ms), as shown in FIG. 3C. Next, as shown in FIG. 3F, after the elapse of 100 ms (sensor initialization timing: T1xe2x88x92Tss=100 ms) from imaging request recognition, initialization of the sensor 106 is started. After the elapse of 200 ms (sensor initialization time Tss=200 ms), initialization of the sensor 106 is ended. As shown in FIG. 3D, after the elapse of 200 ms (irradiation enable signal transmission timing: T1xe2x88x92Txs=200 ms) from imaging request recognition, the radiation generator 117 is instructed to start irradiation. The radiation generator 117 starts actual irradiation after the elapse of 100 ms (pre-irradiation delay time Txs=100 ms), as shown in FIG. 3E. After the elapse of 500 ms (grid control stop timing: T1+T exp+Txe=500 ms) from imaging request recognition, actual irradiation by the radiation generator 117 is ended. At this time, movement control for the grid 104 is stopped, as shown in FIG. 3B, and the moving speed of the grid 104 gradually decreases. Along with this deceleration, the vibration of the imaging device 110, that is generated by moving the grid 104, starts converging. After that, as shown in FIG. 3F, after the elapse of 800 ms (signal read start timing: T1+T exp+Txe+Tge=800 ms) from imaging request recognition, the signal reading section 107 is instructed to end signal accumulation in the sensor 106 and start reading the signal. At this time, the vibration of the imaging device 110 has become so small that it does not affect the image quality. As a result, a satisfactory image can be obtained. (Second Embodiment) The present invention is applied to, e.g., a radiation imaging system 300 as shown in FIG. 4. This radiation imaging system 300 has the same arrangement as that of the radiation imaging system 100 shown in FIG. 1 except that a radiation detector 302 for detecting a radiation irradiation state and an vibration measurement device 301 for measuring the vibration state of a grid 104 are prepared in an imaging device 110. The same reference numerals as in the radiation imaging system 100 shown in FIG. 1 denote the same parts in the radiation imaging system 300 shown in FIG. 4, and a detailed description thereof will be omitted. Only parts different from the radiation imaging system 100 in FIG. 1 will be described in detail. FIG. 5 is a flow chart showing operation control processing executed by a control device 111 of this embodiment for the system 300. FIGS. 6A to 6H are timing charts showing the operation control timing. The same step numbers as in the flow chart of FIG. 2 denote the same processing steps in the flow chart of FIG. 5, and a detailed description thereof will be omitted. Step S201 The control device 111 recognizes an irradiation time T exp, the type of sensor 106 used for imaging, and the type of radiation tube 101 on the basis of imaging conditions selectively input by the user through an imaging condition instruction device 115. In accordance with the recognized information, the control device 111 determines control until radiation irradiation and control after radiation irradiation by processing from step S202. Step S202 The control device 111 determines a sensor initialization time Tss in accordance with the type of sensor 106. Step S203xe2x80x2 The control device 111 determines a grid initialization time Tgs (time until the grid 104 reaches the target moving speed and position) from the irradiation time T exp. Step S204xe2x80x2 The control device 111 determines a pre-irradiation delay time Txs (time after radiation irradiation permission is instructed to a radiation generator 117 until the radiation generator 117 actually starts radiation irradiation) on the basis of the type of radiation tube 101. Step S205 The control device 111 determines an irradiation delay time T1 (the longest time of the sensor initialization time Tss, grid initialization time Tgs, and pre-irradiation delay time Txs). Step S206 The control device 111 determines, as a time table before irradiation, the initialization timing of the sensor 106 as xe2x80x9cT1xe2x88x92Tssxe2x80x9d, the drive start timing of the grid 104 as xe2x80x9cT1xe2x88x92Tgsxe2x80x9d, and the radiation irradiation instruction (irradiation permission) timing for the radiation generator 117 as xe2x80x9cT1xe2x88x92Txsxe2x80x9d. Step S207 After control before radiation irradiation is determined in the above-described way, the control device 111 determines whether an imaging request is input by the user through an imaging button 116 and stands by until an imaging request is received. Step S208 Upon recognizing that an imaging request is input by the user through the imaging button 116, the control device 111 executes operation control according to the time table determined in step S206. Initialization of the sensor 106 is started after the elapse of xe2x80x9cT1xe2x88x92Tssxe2x80x9d, drive of the grid 104 is started after the elapse of xe2x80x9cT1xe2x88x92Tgsxe2x80x9d, and irradiation permission is executed after the elapse of xe2x80x9cT1xe2x88x92Txsxe2x80x9d. Step S209xe2x80x2 The control device 111 determines on the basis of a detection signal output from the radiation detector 302 whether radiation irradiation by the radiation generator 117 is ended. Step S210 Upon recognizing that radiation irradiation by the radiation generator 117 is ended, the control device 111 stops driving the grid 104 through a grid moving section 108. Step S211xe2x80x2 The control device 111 determines on the basis of a measurement result from the vibration measurement device 301 whether the vibration of the grid 104 has converged. Step S212 When recognizing that the vibration of the grid 104 has converged, the control device 111 causes a signal reading section 107 to start reading the signal accumulated in the sensor 106. In the operation control for the radiation imaging system 300 shown in the flow chart of FIG. 5, especially when the end of radiation irradiation is recognized in accordance with the detection result from the radiation detector 302, drive of the grid 104 is stopped. For this reason, the influence of electromagnetic noise generated from the grid moving section 108 can be prevented. Furthermore, since the operation stands until it is determined on the basis of the measurement result from the vibration measurement device 301 that the vibration of the grid 104 has converged after the stop of drive of the grid 104, the influence of device vibration can be prevented. Hence, after the imaging request from the user is recognized, the control device 111 controls the operation of the system 300 in accordance with the flow chart in FIG. 5, thereby acquiring a satisfactory image. The above operation control for the radiation imaging system 300 will be described below in more detail with reference to the timing charts shown in FIGS. 6A to 6H. The timing charts of FIGS. 6A to 6H explain timings after the imaging button 116 is pressed. In accordance with the imaging conditions input by the user, for example, Irradiation time T exp=100 ms Sensor initialization time Tss=200 ms Grid initialization time Tgs=300 ms Pre-irradiation delay time Txs=100 ms are determined. In this case, the irradiation delay time T1 as the longest time of the sensor initialization time Tss, grid initialization time Tgs, and pre-irradiation delay time Txs is determined by T1=max(Tss, Tgs, Txs)=Tgs=300 ms Operation control until radiation irradiation is determined from these initial conditions. Next, control timings for sensor initialization, start of grid movement, and irradiation permission instruction after recognition of the imaging request are determined by subtracting a corresponding time required for operation from the irradiation delay time T1. Sensor initialization timing: T1xe2x88x92Tss=100 ms Grid movement start timing: T1xe2x88x92Tgs=0 ms Irradiation enable signal transmission timing: T1xe2x88x92Txs=200 ms After the control timings are determined, an imaging request (FIG. 6A) input by the user by pressing the imaging button 116 is waited. When an imaging request is recognized, operation control for the radiation imaging system 300 is started on the basis of the determined control timings. First, movement (motion) of the grid 104 is started, as shown in FIG. 6B. Simultaneously, the vibration detection signal representing that the grid 104 is in a moving state is set at High level, as shown in FIG. 6G. The moving speed of the grid 104 acceleratingly increases and reaches an irradiation enable state after the elapse of 300 ms (grid initialization time Tgs=300 ms), as shown in FIG. 6C. Next, as shown in FIG. 6H, after the elapse of 100 ms (sensor initialization timing: T1xe2x88x92Tss=100 ms) from imaging request recognition, initialization of the sensor 106 is started. After the elapse of 200 ms (sensor initialization time Tss=200 ms), initialization of the sensor 106 is ended. As shown in FIG. 6D, after the elapse of 200 ms (irradiation enable signal transmission timing: T1xe2x88x92Txs=200 ms) from imaging request recognition, the radiation generator 117 is instructed to start irradiation. The radiation generator 117 starts actual irradiation after the elapse of 100 ms (pre-irradiation delay time Txs=100 ms), as shown in FIG. 6E. Simultaneously, the radiation detection signal representing radiation irradiation is set at High level, as shown in FIG. 6F. When radiation irradiation is ended, and the output from the radiation detector 302 becomes smaller than a predetermined threshold value, it is determined that irradiation is ended. As shown in FIG. 6F, the radiation detection signal is set at Low level. Along with this processing, movement control for the grid 104 is stopped, as shown in FIG. 6B. The moving speed of the grid 104 gradually decreases. The vibration state of the grid 104 at this time is observed by the vibration measurement device 301. When the vibration of the imaging device 110, that is generated by moving the grid 104, starts converging, and it is recognized that the output from the vibration measurement device 301 becomes smaller than a predetermined vibration amount, the vibration detection signal is set at Low level, as shown in FIG. 6G. As shown in FIG. 6F, the signal reading section 107 is instructed to end signal accumulation in the sensor 106 and start reading the signal. At this time, the vibration of the imaging device 110 has become so small that it does not affect the image quality. As a result, a satisfactory image can be obtained. The object of the present invention is achieved even by supplying a storage medium which stores software program codes for implementing the functions of the host and terminal the first and second embodiments to a system or apparatus and causing the computer (or a CPU or MPU) of the system or apparatus to read and execute the program codes stored in the storage medium. In this case, the program codes read from the storage medium implement the functions of the first and second embodiments by themselves, and the storage medium which stores the program codes constitutes the present invention. As a storage medium for supplying the program codes, for example, a ROM, a floppy disk, hard disk, optical disk, magnetooptical disk, CD-ROM, CD-R, magnetic tape, nonvolatile memory card or the like can be used. The functions of the first and second embodiments are implemented not only when the readout program codes are executed by the computer but also when the operating system (OS) running on the computer performs part or all of actual processing on the basis of the instructions of the program codes. The functions of the first and second embodiments are also implemented when the program codes read from the storage medium are written in the memory of a function expansion board inserted into the computer or a function expansion unit connected to the computer, and the CPU of the function expansion board or function expansion unit performs part or all of actual processing on the basis of the instructions of the program codes. As described above, according to the above embodiments, since the grid is stopped before the read of the signal accumulated in the image sensing element is started, the influence of electromagnetic noise due to grid movement can be eliminated. Hence, no noise is superposed on the image (radiation image or the like) obtained from the read signal from the image sensing element, and high-quality image can be obtained. When a predetermined standby time is set from the stop of the grid, the signal read from the image sensing element starts after the influence of vibration of the imaging element due to grid movement is reduced. For this reason, an image with a higher quality can be obtained. Hence, the quality of the image can be prevented from degrading due to the influence of electromagnetic noise upon grid movement. In addition, the quality of the image can be prevented from degrading due to the influence of vibration of the image sensing element upon grid movement. For example, when the above embodiments are applied to radiation imaging, a satisfactory radiation image free from noise can be provided. For this reason, a diagnostic error in image diagnosis can be reliably prevented. (Third Embodiment) FIG. 7 is a block diagram showing the arrangement of an X-ray image sensing system according to the third embodiment of the present invention. Reference numeral 10 denotes an X-ray room; 12, an X-ray control room; and 14, a diagnosis room. The X-ray control room 12 has a system controller 20 for controlling the entire operation of the X-ray image sensing system. An operator interface 22 having an X-ray exposure request switch, touch panel, mouse, keyboard, joystick, foot switch, and the like is used by an operator 21 to input various instructions to the system controller 20. The contents of instructions by the operator 21 are, e.g., imaging conditions (still/moving image, X-ray tube voltage, tube current, and X-ray irradiation time), imaging timing, image processing conditions, ID of a patient, and processing method for a read image. An image sensing controller 24 controls the X-ray image sensing system placed in the X-ray room 10. An image processor 26 processes an image obtained by the X-ray image sensing system in the X-ray room 10. Image processing by the image processor 26 includes, e.g., image data correction, space filtering, recursive processing, grayscale processing, scattered ray correction, and dynamic range (DR) compression processing. A large-capacity high-speed storage device 28 stores basic image data processed by the image processor 26 and is formed from, e.g., a hard disk array such as a RAID. A monitor display (to be referred to as a monitor hereinafter) 30 displays an image. A display controller 32 controls the monitor 30 to make it display various characters and images. Reference numeral 34 denotes a large-capacity external storage device (e.g., a magnetooptical disk). A LAN board 36 connects the X-ray control room 12 to the diagnosis room 14 to transfer, e.g., an image obtained in the X-ray room 10 to the apparatus in the diagnosis room 14. An X-ray generator 40 for generating X-rays is placed in the X-ray room 10. The X-ray generator 40 comprises an X-ray tube 42 for generating X-rays, a high-voltage source 44 controlled by the image sensing controller 24 to drive the X-ray tube 42, and an X-ray stop 46 for converging an X-ray beam generated by the X-ray tube 42 to a desired image sensing region. A patient 50 as an object to be examined lies on an imaging bed 48. The imaging bed 48 is driven in accordance with a control signal from the image sensing controller 24 so that the direction of the patient 50 with respect to the X-ray beam from the X-ray generator 40 can be changed. An X-ray detector 52 for detecting the X-ray beam transmitted through the patient 50 and the imaging bed 48 is placed under the imaging bed 48. The X-ray detector 52 comprises a stack of a grid 54, scintillator 56, photodetector array 58, and X-ray exposure amount monitor 60, and a driver 62 for driving the photodetector array 58. The grid 54 is arranged to reduce the influence of X-ray scattering caused when the X-rays are transmitted through the patient 50. The grid 54 is formed from an X-ray non-absorbing member and X-ray absorbing member and has a stripe structure of, e.g., Al and Pb. In X-ray irradiation, to prevent moire by the matrix ratio relationship between the photodetector array 58 and the grid 54, the X-ray detector 52 vibrates the grid 54 in accordance with a control signal from the driver 62 on the basis of settings from the image sensing controller 24. In the scintillator 56, the matrix substance of phosphor is excited (absorbed) by high-energy X-rays, and fluorescent light in the visible region is generated by the recombination energy. That is, the X-rays are converted into visible light. The fluorescent light is generated by the matrix itself such as CaWo4 or CdWo4 or luminescence center substance such as CsI:Tl or ZnS:Ag doped into the matrix. The photodetector array 58 converts the visible light obtained by the scintillator 56 into an electrical signal. The X-ray exposure amount monitor 60 is arranged in order to monitor the X-ray transmission amount. The X-ray exposure amount monitor 60 may directly detect X-rays using a silicon crystal light-receiving element or the like, or detect fluorescent light generated by the scintillator 56. In this embodiment, the X-ray exposure amount monitor 60 is formed from an amorphous silicon light-receiving element formed on the lower surface of the substrate of the photodetector array 58, detects visible light (proportional to the X-ray dose) transmitted through the photodetector array 58, and transmits the light amount information to the image sensing controller 24. The image sensing controller 24 controls the X-ray generator 40 on the basis of the information from the X-ray exposure amount monitor 60 to adjust the X-ray dose. The driver 62 drives the photodetector array 58 under the control of the image sensing controller 24 to read a signal from each pixel. Operations of the photodetector array 58 and driver 62 will be described later in detail. In the diagnosis room 14, an image processing terminal 70 for processing an image from the LAN board 36 or assisting the diagnosis, a video display monitor 72 for outputting an image (moving image/still image) from the LAN board 36, an image printer 74, and a file server 76 for storing image data are prepared. A control signal from the system controller 20 to each device can be generated by an instruction from the operator interface 22 in the X-ray control room 12 or the image processing terminal 70 in the diagnosis room 14. Basic operation of the system controller 20 will be described next. On the basis of an instruction from the operator 21, the system controller 20 outputs an imaging condition instruction to the image sensing controller 24 for controlling the sequence of the X-ray image sensing system. On the basis of the instruction, the image sensing controller 24 drives the X-ray generator 40, imaging bed 48, and X-ray detector 52 to obtain an X-ray image. The X-ray image signal output from the X-ray detector 52 is supplied to the image processor 26, subjected to image processing designated by the operator 21, displayed on the monitor 30 as an image, and simultaneously, stored in the storage device 28 as basic image data. The system controller 20 also executes image re-processing and display of its result, image data transfer to a device on the network, storage, video display, and film printing on the basis of instructions from the operator 21. Basic operation of the system shown in FIG. 7 will be described in accordance with the signal flow. The high-voltage source 44 of the X-ray generator 40 applies a high voltage for generating X-rays to the X-ray tube 42 in accordance with a control signal from the X-ray tube 42. The X-ray tube 42 generates an X-ray beam. The patient 50 as an object to be examined is irradiated with the generated X-ray beam through the X-ray stop 46. The X-ray stop 46 is controlled by the image sensing controller 24 in accordance with the position where the object is to be irradiated with the X-ray beam. That is, the X-ray stop 46 shapes the X-ray beam as the image sensing region changes not to perform unnecessary X-ray irradiation. The X-ray beam output from the X-ray generator 40 passes through the patient 50 who lies on the imaging bed 48 transparent to X-rays, and the imaging bed 48 and enters the X-ray detector 52. The imaging bed 48 is controlled by the image sensing controller 24 such that the X-ray beam passes through the object to be examined at different portions or in different directions. The grid 54 of the X-ray detector 52 reduces the influence of X-ray scattering caused by passing the X-ray beam through the patient 50. To prevent moire by the matrix ratio relationship between the photodetector array 58 and the grid 54, the image sensing controller 24 vibrates the grid 54 in X-ray irradiation. In the scintillator 56, the matrix substance of phosphor is excited (absorbs the X-rays) by the high-energy X-rays, and fluorescent light in the visible region is generated by the recombination energy generated at that time. The photodetector array 58 arranged adjacent to the scintillator 56 converts the fluorescent light generated by the scintillator 56 into an electrical signal. That is, the scintillator 56 converts the X-ray image into a visible light image, and the photodetector array 58 converts the visible light image into an electrical signal. The X-ray exposure amount monitor 60 detects the visible light (proportional to the X-ray dose) transmitted through the photodetector array 58 and supplies the detection amount information to the image sensing controller 24. The image sensing controller 24 controls the high-voltage source 44 on the basis of the X-ray exposure amount information to cut off or adjust the X-rays. The driver 62 drives the photodetector array 58 under the control of the image sensing controller 24 to read a pixel signal from each photodetector. Details of the photodetector array 58 and driver 62 will be described later. The pixel signal output from the X-ray detector 52 is supplied to the image processor 26 in the X-ray control room 12. Since large noise is generated by X-ray generation in the X-ray room 10, the signal transmission path from the X-ray detector 52 to the image processor 26 must be highly resistant to noise. More specifically, a digital transmission system having an advanced error correction function or a shielded twisted pair line or optical fiber by a differential driver is preferably used. Although details will be described later, the image processor 26 switches the image signal display format on the basis of an instruction from the system controller 20, executes image signal correction, space filtering, and recursive processing in real time, and also can execute grayscale processing, scattered ray correction, and DR compression processing. The image processed by the image processor 26 is displayed on the screen of the monitor 30. Simultaneously with real-time image processing, image information (basic image) that has undergone only image correction is stored in the storage device 28. The image information stored in the storage device 28 is reconstructed to satisfy a predetermined standard (e.g., Image Save and Carry (ISandC)) and stored in the external storage device 34 and a hard disk in the file server 76 on the basis of an instruction from the operator 21. The devices in the X-ray control room 12 are connected to a LAN (or WAN) through the LAN board 36. A plurality of X-ray image sensing systems can be connected to the LAN. The LAN board 36 outputs image data in accordance with a predetermined protocol (e.g., Digital Imaging and Communications in Medicine (DICOM)). By displaying the X-ray image on the screen of the monitor 72 connected to the LAN as a high-resolution still image or moving image, real-time remote diagnosis by a doctor is possible almost simultaneously with X-ray imaging. FIG. 8 is a circuit diagram showing an equivalent circuit of a construction unit of the photodetector array 58. One element is formed from a photodetection section 80 and a switching thin film transistor (TFT) 82 for controlling charge accumulation and read and is generally formed from amorphous silicon (a-Si) on a glass substrate. The photodetection section 80 is formed from a parallel circuit of a photodiode 80a and capacitor 80b, and a capacitor 80c connected in series with the capacitor 80b. Charges by the photoelectric conversion effect are described as a constant current source 81. The capacitor 80b may be either the parasitic capacitance of the photodiode 80a or an additional capacitor for improving the dynamic range of the photodiode 80a. The common bias electrode (to be referred to as a D electrode hereinafter) of the photodetection section 80 is connected to a bias power supply 84 through a bias line Lb. An electrode (to be referred to as a G electrode hereinafter) on the side of the switching TFT 82 of the photodetection section 80 is connected to a capacitor 86 and charge reading preamplifier 88 through the switching TFT 82. The input to the preamplifier 88 is also connected to ground through a reset switch 90 and signal line bias power supply 91. Device operation of the photodetection section 80 will be described with reference to FIGS. 9A to 9C. FIGS. 9A and 9B are views showing the energy band of a photoelectric conversion element that exhibits the refresh and photoelectric conversion mode operations of this embodiment. FIGS. 9A and 9B show states in the direction of thickness of each layer. A lower electrode (G electrode) 301 is formed from Cr. An insulating layer 302 is formed from SiN and inhibits both electrons and holes from passing. The thickness of the insulating layer 302 is set to be 50 nm or more such that electrons and holes cannot move by the tunnel effect. A photoelectric conversion semiconductor layer 303 is formed from an intrinsic semiconductor layer of hydrogenated amorphous silicon a-Si. An injection inhibiting 304 is formed from an n-type a-Si layer for inhibiting holes from being injected into the photoelectric conversion semiconductor layer 303. An upper electrode (D layer) 305 is formed from Al. In this embodiment, the D electrode does not completely cover the n-layer. However, since electrons freely move between the D electrode and the n-layer, the D electrode and n-layer always have an equipotential state. The following description will be made assuming this. This photoelectric conversion element performs two types of operation: refresh mode and photoelectric conversion mode in accordance with the manner the voltage is applied to the D and G electrodes. Referring to FIG. 9A, a potential negative with respect to the G electrode is applied to the D electrode. Holes represented by solid dots in the mode shown in FIG. 9B is held for a certain period, the state returns to the refresh mode shown in FIG. 9A again. The holes that are staying in the i-layer 303 are moved to the D electrode, as described above, and simultaneously, a current corresponding to the holes flows. The number of holes corresponds to the total amount of light incident during the photoelectric conversion mode period. At this time, a current corresponding to the number of electrons injected into the i-layer 303 also flows. The number of electrons is almost constant and is detected by subtraction. That is, the photoelectric conversion element of this embodiment can output the amount of light incident in real time and simultaneously output the total amount of light incident for a given period. However, when the period of the photoelectric conversion mode becomes long due to some reason, or the illuminance of incident light is high, no current may flow although light is incident. This is because a number of holes stay in the i-layer 303 and are recombined with holes in the i-layer 303, as shown in FIG. 9C. If the light incident state changes in this state, a current may unstably flow. When the mode is returned to the refresh mode, the holes in the i-layer 303 are swept, and a current proportional to light flows again in the next photoelectric conversion mode. In the above description, in sweeping holes in i-layer 303 are moved to the D electrode by the electric field. Simultaneously, electrons represented by hollow dots are injected into the i-layer 303. At this time, some holes and electrons are recombined in the n-layer 304 and i-layer 303 and disappear. When this state continues for a sufficiently long time, the holes in the i-layer 303 are swept from the i-layer 303. To change this state to the photoelectric conversion mode shown in FIG. 9B, a potential positive with respect to the G electrode is applied to the D electrode. Electrons in the i-layer 303 are instantaneously moved to the D electrode. However, holes are not moved to the i-layer 303 because the n-layer 304 acts as an injection inhibiting layer. When light becomes incident on the i-layer 303 in this state, the light is absorbed to generate electron-hole pairs. The electrons are moved to the D electrode by the electric field, and the holes move through the i-layer 303 and reach the interface between the i-layer 303 and the insulating layer 302. However, since the holes cannot enter the insulating layer 302 and move to the interface to the insulating layer 302 in the i-layer 303, a current flows from the G electrode to maintain the electrical neutrality. This current corresponds to the electron-hole pairs generated by the light and is therefore proportional to the incident light. After the state in the photoelectric conversion the i-layer 303 in the refresh mode, it is ideal to sweep all holes. However, even when some holes are extracted, an effect can be obtained, and a current equal to that described above can be obtained without any problem. That is, it is only necessary to prevent the state shown in FIG. 9C in the detection opportunity in the next photoelectric conversion mode, and it suffices to determine the potential of the D electrode with respect to the G electrode in the refresh mode, the period of the refresh mode, and the characteristics of the n-layer 304 as an injection inhibiting layer. Electron injection into the i-layer 303 in the refresh mode is not a necessary condition. The potential of the D electrode with respect to the G electrode is not limited to a negative potential. When a number of holes stay in the i-layer 303, the electric field in the i-layer 303 is applied in a direction to move the holes to the D electrode even when the potential of the D electrode is higher than that of the G electrode. For the characteristics of the n-layer 304 as an injection inhibiting layer as well, the capability of injecting electrons into the i-layer 303 is not a necessary condition. Referring back to FIG. 8, the signal read from one pixel will be described. First, the switching TFT 82 and reset switch 90 are temporarily turned on to set the bias power supply 84 at a potential in the refresh mode. After the capacitors 80b and 80c are reset, the bias power supply 84 is set at a potential in the photoelectric conversion mode, and the switching TFT 82 and reset switch 90 are sequentially turned off. After that, X-rays are generated to irradiate the patient 50. The scintillator 56 converts the X-ray image transmitted through the patient 50 into a visible light image. The photodiode 80a is turned on by the visible light image to discharge the capacitor 80b. The switching TFT 82 is turned on to connect the capacitors 80b and 86. Information in the capacitor 80c is also transmitted to the capacitor 86. The voltage by charges accumulated in the capacitor 86 is amplified by the preamplifier 88, or the charges are converted into a voltage by a capacitor 89 indicated by the dotted line, and the voltage is externally output. FIG. 10 is a circuit diagram showing another equivalent circuit of a construction unit of the photodetector array 58. One element is formed from the photodetection section 80 and switching thin film transistor (TFT) 82 for controlling charge accumulation and read and is generally formed from amorphous silicon (a-Si) on a glass substrate. The photodetection section 80 is formed from the parallel circuit of the photodiode 80a and capacitor 80b. Charges by the photoelectric conversion effect are described as the constant current source 81. The capacitor 80b may be either the parasitic capacitance of the photodiode 80a or an additional capacitor for improving the dynamic range of the photodiode 80a. The cathode of the photodetection section 80 (photodiode 80a) is connected to a bias power supply 85 through the bias line Lb as a common electrode (D electrode). The anode of the photodetection section 80 (photodiode 80a) is connected from the gate electrode (G electrode) to the capacitor 86 and charge reading preamplifier 88 through the switching TFT 82. The input to the preamplifier 88 is also connected to ground through the reset switch 90 and signal line bias power supply 91. First, the switching TFT 82 and reset switch 90 are temporarily turned on to reset the capacitor 80b. Then, the switching TFT 82 and reset switch 90 are turned off. After that, X-rays are generated to irradiate the patient 50. The scintillator 56 converts the X-ray image transmitted through the patient 50 into a visible light image. The photodiode 80a is turned on by the visible light image to discharge the capacitor 80b. The switching TFT 82 is turned on to connect the capacitors 80b and 86. Information of the discharge amount of the capacitor 80b is also transmitted to the capacitor 86. The voltage by charges accumulated in the capacitor 86 is amplified by the preamplifier 88, or the charges are converted into a voltage by the capacitor 89 indicated by the dotted line, and the voltage is externally output. Photoelectric conversion operation when the photoelectric conversion element shown in FIGS. 9 or 10 is expanded to a two-dimensional array will be described next. FIG. 11 is a schematic view showing the equivalent circuit of the photodetector array 58 having photoelectric conversion elements arranged in a two-dimensional array. Two-dimensional read operation is the same as in the above two types of equivalent circuits, and FIG. 11 shows an arrangement using the equivalent circuit shown in FIG. 10. The photodetector array 58 is formed from about 2,000xc3x972,000 to 4,000xc3x974,000 pixels, and the array area is about 200 mmxc3x97200 mm to 500 mmxc3x97500 mm. Referring to FIG. 11, the photodetector array 58 is formed from 4,096xc3x974,096 pixels, and the array area is 430 mmxc3x97430 mm. Hence, the size of one pixel is about 105 xcexcmxc3x97105 xcexcm. In this case, 4,096 pixels arranged in the horizontal direction form one block, and 4,096 blocks are arranged in the vertical direction to obtain a two-dimensional structure. Referring to FIG. 11, the photodetector array having 4,096xc3x974,096 pixels is formed from one substrate. However, four photodetector arrays each having 2,048xc3x972,048 pixels may be combined. In this case, although combining the four photodetector arrays is time-consuming, the yield of each photodetector array improves, and the total yield also improves. As described with reference to FIGS. 8 and 10, one pixel is formed from one photodetection section 80 and switching TFT 82. Each of photoelectric conversion elements PD (1,1) to (4096,4096) corresponds to the photodetection section 80, and each of transfer switches SW (1,1) to (4096,4096) corresponds to the switching TFT 82. The gate electrode (G electrode) of a photoelectric conversion element PD (m,n) is connected to a common column signal line Lcm for that column through a corresponding switch SW (m,n). For example, the photoelectric conversion elements PD (1,1) to (4096,1) of the first column are connected to a first column signal line Lc1. All the common electrodes (D electrodes) of the photoelectric conversion elements PD (m,n) are connected to the bias power supply 85 through the bias line Lb. Control terminals of the switches SW (m,n) of the same row are connected to a common row selection line Lrn. For example, the switches SW (1,1) to (1,4096) of the first row are connected to a row selection line Lr1 the row selection lines Lr1 to Lr4096 are connected to the image sensing controller 24 through a line selector 92. The line selector 92 is constituted by an address decoder 94 which decodes a control signal from the image sensing controller 24 and determines a specific photoelectric conversion element from which the signal charges are to be read, and 4,096 switch elements 96 turned on/off in accordance with the output from the address decoder 94. With this arrangement, signal charges can be read from the photoelectric conversion element PD (m,n) connected to the switch SW (m,n) connected to the arbitrary line Lrn. As the simplest structure of the line selector 92, it may be constructed by a shift register used in, e.g., a liquid crystal display. The column signal lines Lc1 to Lc4096 are connected to a signal read circuit 100 controlled by the image sensing controller 24. In the signal read circuit 100, reset switches 102-1 to 102-4096 reset the column signal lines Lc1 to Lc4096 to a reset reference potential 101. Preamplifiers 106-1 to 106-4096 amplify signal potentials from the column signal lines Lc1 to Lc4096. Sample-and-hold (S/H) circuits 108-1 to 108-4096 sample and hold the outputs from the preamplifiers 106-1 to 106-4096. An analog multiplexer 110 multiplexes the outputs from the sample-and-hold circuits 108-1 to 108-4096 on the time axis. An A/D converter 112 converts the analog output from the multiplexer 110 into a digital signal. The output from the A/D converter 112 is supplied to the image processor 26. In the photodetector array shown in FIG. 11, 4,096xc3x974,096 pixels are divided into 4,096 columns by the column signal lines Lc1 to Lc4096, and signal charges are simultaneously read from 4,096 pixels per row, transferred to the analog multiplexer 110 through the column signal lines Lc1 to Lc4096, preamplifiers 106-1 to 106-4096, and S/H circuits 108-1 to 108-4096, multiplexed on the time axis, and sequentially converted into a digital signal by the A/D converter 112. Referring to FIG. 9, the signal read circuit 100 has only one A/D converter 112. Actually, A/D conversion is simultaneously executed by four to 32 systems. This is because the image signal read time must be shortened without unnecessarily increasing the analog signal band and A/D conversion rate. The signal charge accumulation time and A/D conversion time have a close relationship. When high-speed A/D conversion is performed, the band of the analog circuit widens, and a desired S/N ratio can hardly be attained. Normally, the image signal read time need be shortened without unnecessarily increasing the A/D conversion speed. To do this, a number of A/D converters are used to A/D-convert the output from the multiplexer 110. However, the larger the number of A/D converters is, the higher the cost becomes. Considering the above points, an appropriate number of A/D converters are used. Since the X-ray irradiation time is about 10 to 500 msec, the full screen read time or charge accumulation time is appropriately set on the order of 100 msec or relatively short. For example, to sequentially drive all pixels to read an image, the analog signal band is set to about 50 MHz, and A/D conversion is performed at a sampling rate of, e.g., 10 MHz. In this case, at least four A/D converters are required. In this embodiment, A/D conversion is simultaneously performed by 16 systems. The outputs from the 16 A/D converters are input to 16 corresponding memories (e.g., FIFO) (not shown). By selectively switching the memories, image data corresponding to one continuous scanning line is transferred to the image processor 26. FIG. 12 is a schematic timing chart of the sensor read. Two-dimensional drive in sensing a still image by X-ray irradiation of one cycle will be described with reference to FIGS. 11 and 12. Reference numeral 601 schematically denotes an X-ray exposure request control signal; 602, an X-ray exposure state; 603, a current in the current source 81 in the sensor; 604, a control state of the row selection line Lrn; and 605, an analog input to the A/D converter 112. In the equivalent circuit sensor shown in FIG. 8, first, the driver 62 sets the bias line to a bias value Vr in the refresh mode, connects all the column signal lines Lc1 to Lc4096 to the reset reference potential 101 to initialize them to a predetermined bias value of the column signal lines Lc, and applies a positive voltage Vgh to all the row selection lines Lr1 to Lr4096. The switches (1,1) to (4096,4096) are turned on to refresh the G electrodes of all the photoelectric conversion elements to Vbt and the D electrodes to Vr. After that, the driver 62 sets the bias line Lb to a bias value Vs in the photoelectric conversion mode, release all the column signal lines Lc1 to Lc4096 from the reset reference potential 101, and applies a voltage Vg1 to all the row selection lines Lr1 to Lr4096 to turn off the switches (1,1) to (4096,4096). The mode shifts to the photoelectric conversion mode. Operation from here is common to the equivalent circuit sensors shown in FIGS. 8 and 10, and a description thereof will be commonly done. While keeping the bias line at the bias value Vs in the photoelectric conversion mode, all the column signal lines Lc are connected to the reset reference potential 101 to reset the column signal lines. After that, the positive voltage Vgh is applied to the row selection line Lr1 to turn on the switches (1,1) to (1,4096) and reset the G electrodes of the photoelectric conversion elements of the first column to Vbt. Next, the row selection line Lr1 is set to the positive voltage Vg1 to turn off the switches (1,1) to (1,4096). All the pixels are reset by sequentially repeating row selection, thereby completing preparation for imaging. Since the above operation is the same as the signal charge read operation except whether signal charges are read, operation after this reset operation is called a xe2x80x9cpre-readxe2x80x9d. During this pre-read operation, all the row selection lines Lr can be simultaneously set to Vgh. In this case, however, when preparation for the read is completed, the signal line potential is largely shifted from the reset voltage Vbt, and a signal with high S/N radio can hardly be obtained. In the above-described example, the row selection lines Lr1 to Lr4096 are reset in this order. However, they can be reset in an arbitrary order under the control of the driver 62 on the basis of the setting of the image sensing controller 24. An X-ray exposure request is waited while repeating the pre-read operation. When an exposure request is generated, the pre-read operation is performed again to prepare for image acquisition, and X-ray exposure is waited. When preparation for image acquisition is completed, X-ray exposure is executed in accordance with an instruction from the image sensing controller 24. After X-ray exposure, signal charges are read from the photoelectric conversion elements 80. First, the voltage Vgh is applied to the row selection line Lr of a certain row (e.g., Lr1) of the photoelectric conversion element array to output accumulated charge signals to the signal lines Lc1 to Lc4096. Signals of 4,096 pixels are simultaneously read from the column signal lines Lc1 to Lc4096 in units of columns. Next, the voltage Vgh is applied to another row selection line Lr (e.g., Lr2) to output accumulated charge signals to the signal lines Lc1 to Lc4096. Signals of 4,096 pixels are simultaneously read from the column signal lines Lc1 to Lc4096 in units of columns. All pieces of image information are read by sequentially repeating this operation for the 4,096 column signal lines. During the operation, the charge accumulation time of each sensor corresponds to a time after the reset operation is ended, i.e., the TFT 82 in the pre-read mode is turned off until the TFT 82 is turned on to read charges. Hence, the accumulation time and timing change for each row selection. After an X-ray image is read, a correction image is acquired. This correction data is necessary to acquire a high-quality image and is used to correct the X-ray image. The basic image acquisition procedure is the same as described above except that no X-ray exposure is performed. The charge accumulation time in reading the X-ray image equals that in reading the correction image. When high-resolution image information is unnecessary, or the image data read speed need be high, all pieces of image information need not always be read. In accordance with the imaging method selected by the operator 21, the image sensing controller 24 sets a drive instruction of thinning, pixel averaging, or region extraction for the driver 62. To thin the image data, first, the row selection line Lr1 is selected, and in outputting signals from the column signal lines Lc, signal charges are read from one column while incrementing, e.g., n of Lc2nxe2x88x921 (n: natural number) one by one from 0. After that, signals are read from one row while incrementing m of Lr2mxe2x88x921 (m: natural number) one by one from 1. In this example, the number of pixels is thinned to 1/4. The driver 62 thins the number of pixels to 1/9, 1/16, or the like in accordance with a setting instruction from the image sensing controller 24. For pixel averaging, when the voltage Vgh is simultaneously applied to row selection lines Lr2m and Lr2m+1 during the above-described operation, TFTs 2m and 2n and TFTs 2m+l and 2n are simultaneously turned on, so that analog addition of two pixels in the column direction can be performed. This means that not only addition of two pixels but also analog addition of a puerility of pixels in the column signal line direction can be easily performed. For addition in the row direction, when adjacent pixels (Lc2n and Lc2n+1) are digitally added after A/D conversion output, the sum of 2xc3x972 square pixels can be obtained together with the above analog addition. Hence, the data can be read at a high speed without wasting the X-ray irradiation. As another method of decreasing the total number of pixels to increase the read speed, the image read region is limited. To do this, the operator 21 inputs a necessary region from the operator interface 22, the image sensing controller 24 issues an instruction to the driver 62 on the basis of the input region, and the driver 62 changes the data read range and drives the two-dimensional detector array. In this embodiment, in the high-speed read mode, 1,024xc3x971,024 pixels are read at 30 F/S. That is, in the entire region of the two-dimensional detector array, addition processing of 4xc3x974 pixels is performed to thin the number of pixels to 1/16, and in the smallest range, an image is sensed in a 1,024xc3x971,024 range without thinning. With this image sensing, a digital zoom image can be obtained. FIG. 13 is a timing chart including image sensing operation of the X-ray detector 52. The operation of the X-ray detector 52 will be described mainly with reference to FIG. 13. Reference numeral 701 denotes an image sensing request signal to the operator interface 22; 702, an actual X-ray exposure state; 703, an imaging request signal from the image sensing controller 24 to the driver 62 on the basis of an instruction from the operator 21; 704, an imaging ready signal of the X-ray detector 52; 705, a drive signal for the grid 54; 706, a power control signal in the X-ray detector 52; 707, a driven state of the X-ray detector (especially charge read operation from the photodetector array 58); and 708, an image processing or display state. Until a detector preparation request or imaging request is input by the operator 21, the driver 62 stands by in a power control off state, as indicated by 706. More specifically, referring to FIG. 11, the row selection lines Lr, column signal lines Lc, and bias line Lb are kept at an equipotential state (especially signal GND level) by a switch (not shown), and no bias is applied to the photodetector array 58. Alternatively, power supply including the signal read circuit 100, line selector 92, and bias power supply 84 or 85 may be cut off to keep the row selection lines Lr, column signal lines Lc, and bias line Lb at the GND potential. In accordance with an imaging preparation request instruction (701: 1st SW) from the operator 21 to the operator interface 22, the image sensing controller 24 outputs an instruction to shift the X-ray generator 40 to an imaging ready state and shift the X-ray detector 52 to an imaging preparation state. Upon receiving the instruction, the driver 62 applies a bias to the photodetector array 58 and repeats (refresh and) pre-read Fi. The request instruction is, e.g., the 1st SW of the exposure request switch to the X-ray generator (normally, rotor up for the tube or the like is started) or, when a predetermined time (several sec or more) is required by the X-ray detector 52 for imaging preparation, an instruction for starting preparation of the X-ray detector 52. In this case, the operator 21 need not consciously issue the imaging preparation request instruction to the X-ray detector 52. That is, when patient information or imaging information is input to the operator interface 22, the image sensing controller 24 may interpret it as a detector preparation request instruction and shift the X-ray detector 52 to the detector preparation state. In the detector preparation state, in the photoelectric conversion mode, to prevent a dark current from being gradually accumulated in the photodetection section 80 after the pre-read and the capacitor 80b (80c) from being held in the saturated state, the (refresh R and) pre-read Fi is repeated at a predetermined interval. This driving performed in the period when no actual X-ray exposure request is generated although the imaging preparation request from the operator 21 has been received, i.e., driving in which the pre-read Fi performed in the detector preparation state is repeated at a predetermined time interval T1 will be referred to as xe2x80x9cidling drivexe2x80x9d hereinafter. The period when the idling drive is performed in the detector preparation state will be referred to as an xe2x80x9cidling drive periodxe2x80x9d hereinafter. How long the idling drive period continues is undefined in practical use. To minimize the read operation with load on the photodetector array 58 (especially the TFTs 82), the time interval T1 is set to be longer than that in the normal imaging operation, and the pre-read Fi dedicated to idling for which the ON time of the TFTs 82 is shorter than that in a normal read drive Fr. For a sensor that requires the refresh R, the refresh R is performed once for several times of pre-read Fi. X-ray image acquisition mainly performed by the X-ray detector 52 will be described next. Drive of the X-ray detector 52 in acquiring an X-ray image is mainly comprised of two image acquisition cycles. As indicated by 707, one is X-ray image acquisition drive, and the other is correction dark image acquisition drive. The drive cycles are almost the same except whether X-ray exposure operation is performed. Each drive cycle has three parts: an image sensing preparation sequence, charge accumulation (exposure window), and image read. X-ray image acquisition will be described below in accordance with the sequence. In accordance with an imaging request instruction (701: 2nd SW) from the operator 21 to the operator interface 22, the image sensing controller 24 controls imaging operation while synchronizing the X-ray generator 40 with the X-ray detector 52. In accordance with the imaging request instruction (701: 2nd SW), an imaging request signal is asserted to the X-ray detector at a timing represented by the X-ray exposure request signal 703. The driver performs predetermined image sensing preparation sequence drive as indicated by the imaging driven state 707 in response to the imaging request signal. More specifically, if the refresh is necessary, the refresh is performed. Then, a pre-read FR dedicated to the imaging sequence is performed a predetermined number of times, and a pre-read Fpf dedicated to the charge accumulation state is performed to shift the state to the charge accumulation state (image sensing window: T4). The number of times and time interval T2 of the pre-read Fp for the image sequence are based on values preset prior to the imaging request from the image sensing controller 24. Optimum drive is automatically selected depending on the image sensing portion or whether the request from the operator 21 represents priority on the operability or image quality. A period (T3) from the exposure request to the end of imaging preparation is required to be short in practical use. Hence, the pre-read Fp dedicated to the image sensing preparation sequence is performed. In addition, independently of the state of idling drive, when an exposure request is generated, the image sensing preparation sequence drive is immediately started to shorten the period (T3) from the exposure request to the end of imaging preparation, thereby improving the operability. In synchronism with the image sensing preparation of the photodetector array 58, the driver 62 starts moving the grid 54 to sense an image while setting the grid in an optimum moving state in synchronism with the actual X-ray exposure 702. In this case as well, the driver 62 operates on the basis of an optimum grid moving start timing and optimum grid moving speed that are set by the image sensing controller. In this embodiment, to eliminate the influence of vibration by the operation of the grid 54, the start of movement of the grid 54 is controlled such that a change in acceleration becomes small. In addition, in executing the pre-read Fpf dedicated to the charge accumulation state, which is readily affected by vibration, the grid 54 is controlled to exhibit uniform motion (still state or motion at uniform speed). When image sensing preparation of the X-ray detector 52 is ended, the driver 62 returns the X-ray detector ready signal 704 to the image sensing controller 24. On the basis of this signal transition, the image sensing controller 24 asserts the X-ray generation request signal 702 to the X-ray generator 40. The X-ray generator 40 generates X-rays while receiving the X-ray generation request signal 702. When a predetermined amount of X-rays is generated, the image sensing controller 24 negates the X-ray generation request signal 702, thereby notifying the X-ray detector 52 of the image acquisition timing. On the basis of this timing, the driver 62 immediately stops the grid 54 and starts operating the signal read circuit 100 that has been in the standby state. After the OFF time of the grid 54 and a predetermined wait time to stabilize the signal read circuit 100, when operation of reading image data from the photodetector array 58 and acquiring a raw image for the image processor 26 on the basis of the driver 62 is ended, the driver 62 shifts the signal read circuit 100 to the standby state again. In this embodiment, to eliminate the influence of vibration by the operation of the grid 54, the grid 54 is controlled to exhibit uniform motion (including the still state) before drive of an X-ray image acquisition frame Frxo that is most readily affected by vibration noise. Alternatively, a vibration sensor for measuring vibration may be attached to the X-ray detector 52, and the drive of the X-ray image acquisition frame Frxo may be started after confirming that the vibration by the grid or other factors has converged to a predetermined or less value. Subsequently, the X-ray detector 52 acquires a correction image. That is, the above imaging sequence for imaging is repeated to acquire a dark image without X-ray irradiation, and the correction dark image is transferred to the image processor 26. In the image sensing sequence, the X-ray exposure time or the like may slightly change between imaging cycles. However, when the same image sensing sequence is reproduced, including such differences, to acquire a rough image, an image with a higher quality can be obtained. However, the operation of the grid 54 is not limited to this. The grid 54 may be set still to suppress the influence of vibration in acquiring the rough image. In this case, after the image is almost acquired, the grid 54 is initialized at a predetermined timing that does not affect the image quality. FIG. 14 is a block diagram showing the flow of image data in the image processor 26. Reference numeral 801 denotes a multiplexer for selecting a data path; 802 and 803, X-ray image and rough image frame memories; 804, an offset correction circuit; 805, a gain correction data frame memory; 806, a gain correction circuit; 807, a defect correction circuit; and 808, other image procession circuits. An X-ray image acquired by the X-ray image acquisition frame Frxo in FIG. 13 is stored in the X-ray image frame memory 802 through the multiplexer 801. A correction image acquired in a correction image acquisition frame Frno is stored in the dark image frame memory 803 through the multiplexer 801. When the images are almost stored, offset correction (e.g., Frxoxe2x88x92Frno) is performed by the offset correction circuit 804. Subsequently, the gain correction circuit 806 performs gain correction (e.g., (Frxoxe2x88x92Frno)/Fg) using gain correction data Fg which is acquired and stored in the gain correction frame memory in advance. For the data transferred to the defect correction circuit 807, the image is continuously interpolated not to generate any sense of incompatibility at a dead pixel or connections between a plurality of panels of the X-ray detector 52, thus completing sensor-dependent correction processing resulted from the X-ray detector 52. In addition, the image procession circuits 808 execute general image processing such as grayscale processing, frequency processing, and emphasis processing. After that, the processed data is transferred to the display controller 32, and the image is displayed on the monitor 30. FIGS. 15 and 16 are views showing examples of the driving mechanism of the grid 54. A frame 901 holds the grid 54. A cam mechanism 902 for vibrating the frame 901 is connected to a rotating mechanism such as a grid driving motor (not shown). The grid driving motor (not shown) rotates and stops at the grid moving timing shown in FIG. 13 in accordance with an instruction from the driver 62, thereby moving the grid 54 in the direction indicated by the arrow or stopping the grid 54. An elastic member 1001 for moving the grid is formed from, e.g., a spring. A mechanism 1002 for moving the grid 54 to the home position is formed from, e.g., a solenoid. A braking mechanism 1003 stops the grid 54. In the initialization operation, the solenoid mechanism 1002 is operated to move the grid to the home position indicated by the broken line, and the grid is stopped by the braking mechanism 1003. The grid 54 is moved by canceling the braking on the basis of an instruction from the driver 62. The braking mechanism 1003 stops the grid in accordance with an instruction from the driver 62 at a predetermined timing. As described above, according to the X-ray image sensing apparatus of this embodiment, a satisfactory image can be easily and reliably obtained without any influence of vibration of the grid 54 or the like by a very simple arrangement. (Fourth Embodiment) In this embodiment, the internal arrangement of an X-ray room 10 is almost the same as in FIG. 7, and a description of common units will be omitted. Reference numeral 48b denotes part of an imaging bed 48 and represents a bed for a fluoroscopic system in FIG. 17. A fluoroscopic II (Image Intensifier) 1101 is controlled by an image sensing controller 24 to transfer an acquired image to an image processor 26 and then display the image on a monitor 30 or monitor dedicated to a fluoroscopic image, like an X-ray detector 52. The X-ray detector 52 is mainly located at a position B during a fluoroscopic image acquisition period and mainly moves to a position A during a simple image acquisition period. The X-ray detector 52 is moved in accordance with an instruction from the image sensing controller 24 to the imaging bed 48. The moving operation is performed by a mechanical means (not shown) for moving the X-ray detector 52. FIG. 18 is a timing chart including image sensing operation of the X-ray detector 52. The operation of the X-ray detector 52 of this embodiment will be described mainly with reference to FIG. 18. FIG. 18 is almost the same as FIG. 13, and different points will be mainly explained. Reference numeral 1201 denotes an image sensing request signal to an operator interface 22, which represents a simple X-ray imaging request state in FIG. 13 but a fluoroscopic/simple imaging request in this embodiment. Reference numeral 702 denotes an actual X-ray exposure state; 703, an imaging request signal from the image sensing controller 24 to a driver 62 on the basis of an instruction from an operator 21; 704, an imaging ready signal of the X-ray detector 52; 705, a drive signal for a grid 54; 706, a power control signal in the X-ray detector 52; 707, a driven state of the X-ray detector (especially charge read operation from a photodetector array 58); and 708, an image data transfer state or an image processing or display state. In addition, reference numeral 1202 denotes an X-ray output state for X-ray fluoroscopy; 1203, a concept of moving speed of the X-ray detector 52; and 1204, a position of the X-ray detector 52. While no request is received from the operator 21, the X-ray detector 52 stands by at the position B of the imaging bed 48. When a fluoroscopy request 1201 from the operator 21 is detected, fluoroscopic imaging is started (1202), and simultaneously, the X-ray detector 52 starts idling drive (707). When the operator 21 determines the object to be sensed and outputs a general imaging preparation request (1st SW: 1201), the X-ray generator 40 starts preparing for X-ray generation for general imaging and ends the preparation after a predetermined time. When the operator 21 inputs a general imaging request (2nd SW: 1201), the image sensing controller 24 starts X-ray image acquisition drive, instructs the X-ray detector 52 to prepare for imaging (703), stops fluoroscopic imaging (1202), and starts moving the X-ray detector 52 (1203 and 1204). In this embodiment, the image sensing controller 24 as a control means performs control such that the driver 62 operates the photodetector array 58 in a steady state with a converged vibration, i.e., at a predetermined speed (uniform speed) without acceleration during an operation period related to the read of the X-ray detector 52 as a detection means. At the start of moving, moving is started while continuously changing the acceleration not to increase the vibration. Since a time T3 until the end of imaging preparation of the X-ray detector 52 is known in advance, the X-ray detector 52 is completely moved to the general imaging position A within a time according to the time T3. However, in the driven state 707, when vibration occurs at the time of a frame Fpf immediately before the end of imaging preparation, noise is readily superposed on the image. To prevent this, immediately after the end of the frame Fpf, stop operation of the X-ray detector 52 is started, and until this time, the X-ray detector 52 is controlled to move at a constant speed without generating any acceleration. When preparation is ended, the X-ray exposure 702 is performed. Immediately after exposure is ended, an X-ray image acquisition frame Frxo is driven to acquire an X-ray image (707). After the end of X-ray exposure 702, fluoroscopic imaging should be started as soon as possible. After the drive of the X-ray image acquisition frame Frxo is ended, correction dark image acquisition drive is started, and simultaneously, movement of the X-ray detector 52 from the position A to the position B is immediately started (1204). As in the preceding X-ray image acquisition drive, movement is started while continuously changing the acceleration not to increase the vibration. Since the time T3 until the end of imaging preparation of the X-ray detector 52 is known in advance, as in the X-ray image acquisition drive, the X-ray detector 52 is completely moved to the general imaging position B within a time according to the time T3. Contents related to the frame Fpf immediately before the end of imaging preparation are also the same as in the X-ray image acquisition drive. When movement from the position A to the position B is ended, fluoroscopic imaging is resumed, and the fluoroscopic image can be redisplayed from this time. After that, a rough image acquisition frame Frno is driven at a predetermined timing to acquire a rough image. The general image is subjected to predetermined image processing and then displayed on the monitor 30. For the control, as in the third embodiment, a sensor (not shown) capable of detecting a vibration amount may be arranged in or near the X-ray detector 52, and a predetermined read (e.g., the X-ray image acquisition frame Frxo, dark image acquisition frame Frno, or frame Fpf immediately before the end of imaging preparation) may be started when the vibration becomes equal to or smaller than a predetermined value. For the control, except a predicted period of vibration in the driver 62, an operation period related to the image read of the X-ray detector 52 may be set, and drive related to image acquisition may be performed during this operation period. As described above, according to the X-ray image sensing apparatus of this embodiment, a satisfactory image can be easily and reliably obtained without any influence of vibration of the X-ray detector 52 or the like by a very simple arrangement. (Fifth Embodiment) In this embodiment, the internal arrangement of an X-ray room 10 is almost the same as in FIG. 7, and a description of common units will be omitted. Reference numeral 48b denotes part of an imaging bed 48 and represents a bed for a fluoroscopic system in FIG. 17. A fluoroscopic II (Image Intensifier) 1101 is controlled by an image sensing controller 24 to transfer an acquired image to an image processor 26 and then display the image on a monitor 30 or monitor dedicated to a fluoroscopic image, like an X-ray detector 52. The X-ray detector 52 is mainly located at a position B during a fluoroscopic image acquisition period and mainly moves to a position A during a simple image acquisition period. The X-ray detector 52 is moved in accordance with an instruction from the image sensing controller 24 to the imaging bed 48. The moving operation is performed by a mechanical means (not shown) for moving the X-ray detector 52. FIG. 19 is a timing chart including image sensing operation of the X-ray detector 52. The operation of the X-ray detector 52 of this embodiment will be described mainly with reference to FIG. 19. FIG. 19 is almost the same as FIG. 13, and different points will be mainly explained. Reference numeral 1201 denotes an image sensing request signal to an operator interface 22, which represents a simple X-ray imaging request state in FIG. 13 but a fluoroscopic/simple imaging request in this embodiment. Reference numeral 702 denotes an actual X-ray exposure state; 703, an imaging request signal from the image sensing controller 24 to a driver 62 on the basis of an instruction from an operator 21; 704, an imaging ready signal of the X-ray detector 52; 705, a drive signal for a grid 54; 706, a power control signal in the X-ray detector 52; 707, a driven state of the X-ray detector (especially charge read operation from a photodetector array 58); and 708, an image data transfer state or an image processing or display state. In addition, reference numeral 1202 denotes an X-ray output state for X-ray fluoroscopy; 1203, a concept of moving speed of the X-ray detector 52; and 1204, a position of the X-ray detector 52. While no request is received from the operator 21, the X-ray detector 52 stands by at the position B of the imaging bed 48. When a fluoroscopy request 1201 from the operator 21 is detected, fluoroscopic imaging is started (1202), and simultaneously, the X-ray detector 52 starts idling drive (707). When the operator 21 determines the object to be sensed and outputs general imaging preparation request (1st SW: 1201), the X-ray generator 40 starts preparing for X-ray generation for general imaging and ends the preparation after a predetermined time. When the operator 21 inputs a general imaging request (2nd SW: 1201), the image sensing controller 24 starts X-ray image acquisition drive, instructs the X-ray detector 52 to prepare for imaging (703), stops fluoroscopic imaging (1202), and starts moving the X-ray detector 52 (1203 and 1204). In this embodiment, the image sensing controller 24 as a control means performs control such that the driver 62 operates the photodetector array 58 in a steady state with a converged vibration, i.e., at a predetermined acceleration during an operation period related to the read of the X-ray detector 52 as a detection means. When a desired acceleration is obtained, the motion preferably shifts to uniformly accelerated motion. In general control, actually, the acceleration abruptly changes (arrows in 1205). Since a time T3 until the end of imaging preparation of the X-ray detector 52 is known in advance, the X-ray detector 52 is completely moved to the general imaging position A within a time according to the time T3. When the movement and frame Fpf are synchronously ended, the time from the 2nd SW to the X-ray exposure 702 can be minimized. Hence, a frame Fpf is required to be ended at the time of predetermined deceleration (negative acceleration). In the driven state 707, when vibration occurs at the time of the frame Fpf immediately before the end of imaging preparation, noise is readily superposed on the image. To prevent this, the frame Fpf is acquired at a timing when the vibration due to the abrupt change in acceleration has converged, and the X-ray detector 52 is stopped immediately after the end of the frame Fpf. When preparation is ended, the X-ray exposure 702 is performed. After the end of X-ray exposure 702, fluoroscopic imaging should be started as soon as possible. Hence, movement of the X-ray detector 52 from the position A to the position B is started immediately after the end of exposure (1204). Simultaneously, the X-ray image acquisition frame Frxo is driven at the time of uniform acceleration (or uniformly accelerated motion) at the timing when the vibration due to a change in acceleration converges, thereby acquiring an X-ray image. After the end of the X-ray image acquisition frame Frxo, correction dark image acquisition drive is started. Since the time T3 until the end of imaging preparation of the X-ray detector 52 is known in advance, as in the X-ray image acquisition drive, the X-ray detector 52 is completely moved to the general imaging position B within a time according to the time T3. Contents related to the frame Fpf immediately before the end of imaging preparation are also the same as in the X-ray image acquisition drive. When movement from the position A to the position B is ended, fluoroscopic imaging is resumed, and the fluoroscopic image can be redisplayed from this time. After that, a dark image acquisition frame Frno is driven at a predetermined timing to acquire a dark image. The general image is subjected to predetermined image processing and then displayed on the monitor 30. For the control, as in the third embodiment, a sensor (not shown) capable of detecting a vibration amount may be arranged in or near the X-ray detector 52, and a predetermined read (e.g., the X-ray image acquisition frame Frxo, dark image acquisition frame Frno, or frame Fpf immediately before the end of imaging preparation) may be started when the vibration becomes equal to or smaller than a predetermined value. For the control, except a predicted period of vibration in the driver 62, an operation period related to the image read of the X-ray detector 52 may be set, and drive related to image acquisition may be performed during this operation period. As described above, according to the X-ray image sensing apparatus of this embodiment, a satisfactory image can be easily and reliably obtained without any influence of vibration of the X-ray detector 52 or the like by a very simple arrangement. Three embodiments, the third to fifth embodiments, have been described above. The present invention is applied to a cooling fan or any other potential vibration source. The present invention also incorporates a case wherein to operate various devices to implement the functions of the above-described embodiments, software program codes for implementing the functions of the embodiments are supplied to a computer in an apparatus or system connected to the devices, and the devices are operated in accordance with a program stored in the computer (or CPU or MPU) of the system or apparatus. In this case, the software program codes themselves implement the functions of the above-described embodiments, and the program codes themselves and a means for supplying the program codes to the computer, e.g., a storage medium which stores such program codes constitute the present invention. As the storage medium for storing such program codes, for example, a floppy disk, hard disk, optical disk, magnetooptical disk, CD-ROM, magnetic tape, nonvolatile memory card, ROM, or the like can be used. The functions of the above-described embodiments are implemented when the supplied program codes are executed by the computer. In addition, even when the functions of the above-described embodiments are cooperatively implemented by an operating system (OS) running on the computer or another application software, the program codes are included in the embodiments of the present invention. The functions of the above-described embodiments are also implemented when the supplied program codes are stored in the memory of a function expansion board inserted into the computer or a function expansion unit connected to the computer, and the CPU of the function expansion board or function expansion unit performs part or all of actual processing on the basis of the instructions of the program codes. As has been described above, according to the third to fifth embodiments, a radiation image sensing apparatus (image sensing apparatus) and image sensing method which can easily and reliably obtain a satisfactory image without any influence of vibration or a grid or X-ray detection means by a very simple arrangement can be provided. The present invention is not limited to the above embodiments and various changes and modifications can be made within the spirit and scope of the present invention. Therefore, to apprise the public of the scope of the present invention, the following claims are made.
claims
1. A minute sample processing and observation apparatus comprising:a first sample stage on which a sample is placed;a probe to take out a minute sample from the sample;a second sample stage on which the minute sample is fixed;a second sample stage controller that controls an angle of the second sample stage;a sample chamber in which the first sample stage, the probe and the second sample stage are arranged;a focused ion beam optical system to apply an ion beam to the sample placed on the first sample stage and the minute sample fixed on the second sample stage;an electron beam optical system to apply an electron beam to the minute sample fixed on the second sample stage;wherein the focused ion beam optical system is to apply the ion beam to the sample placed on the first sample stage to extract the minute sample from the sample, and the probe is to support the minute sample;the focused ion beam optical system and the electron beam optical system are arranged within the sample chamber;the minute sample supported by the probe is to be fixed to the second sample stage;the focused ion beam optical system is to apply the ion beam to the minute sample fixed on the second sample stage to create a desired observation section;the second sample stage controller is to control the angle of the second sample stage so as to locate an observation section of the electron beam in view of stopping orientation of the first sample stage;the electron beam optical system is to apply the electron beam to the minute sample to observe the observation section, andobservation of an inner section of the sample can be carried out with the sample in the sample chamber, and the sample chamber having a vacuum atmosphere. 2. A minute sample processing and observation apparatus according to claim 1, wherein the sample is a semiconductor device. 3. A minute sample processing and observation apparatus according to claim 1 wherein the sample is a wafer. 4. A minute sample processing and observation apparatus according to claim 1, wherein the minute sample extracted from the sample is a pentahedron. 5. A minute sample processing and observation apparatus according to claim 1, wherein the minute sample extracted from the sample is a tetrahedron. 6. A minute sample processing and observation apparatus comprising:a first sample stage on which a sample is placed;a first sample stage controller that controls position of the first sample stage;a probe to take out a minute sample from the sample;a second sample stage on which the minute sample is fixed;a second sample stage controller that controls an angle of the second sample stage;a sample chamber in which the first sample stage, the probe and the second sample stage are arranged;a focused ion beam optical system to apply an ion beam to the sample placed on the first sample stage and the minute sample fixed on the second sample stage;an electron beam optical system to apply an electron beam to the minute sample fixed on the second sample stage;wherein the focused ion beam optical system is to apply the ion beam to the sample placed on the first sample stage to extract the minute sample from the sample, and the probe is to support the minute sample;the focused ion beam optical system and the electron beam optical system are arranged within the sample chamber;the minute sample supported by the probe is to be fixed to the second sample stage;the focused ion beam optical system is to apply the ion beam to the minute sample fixed on the second sample stage to create a desired observation section;the first sample stage controller and the second sample stage controller are to control stopping orientation of the first sample stage and the angle of the second sample stage so as to locate an observation section of the electron beam;the electron beam optical system is to apply the electron beam to the minute sample to observe the observation section, andobservation of an inner section of the sample can be carried out with the sample in the sample chamber, and the sample chamber having a vacuum atmosphere. 7. A minute sample processing and observation apparatus according to claim 6, wherein the sample is a semiconductor device. 8. A minute sample processing and observation apparatus according to claim 6, wherein the sample is a wafer. 9. A minute sample processing and observation apparatus according to claim 6, wherein the minute sample extracted from the sample is a pentahedron. 10. A minute sample processing and observation apparatus according to claim 6, wherein the minute sample extracted from the sample is a tetrahedron. 11. A minute sample processing and observation apparatus comprising:a first sample stage on which a sample is placed;a probe to take out a minute sample from the sample;a second sample stage on which the minute sample is fixed;a second sample stage controller that controls an angle of the second sample stage;a sample chamber in which the first sample stage, the probe and the second sample stage are arranged;an operation controller that controls the first sample stage and the second sample stage;a focused ion beam optical system to apply an ion beam to the sample placed on the first sample stage and the minute sample fixed on the second sample stage;an electron beam optical system to apply an electron beam to the minute sample fixed on the second sample stage;wherein the focused ion beam optical system is to apply the ion beam to the sample placed on the first sample stage to extract the minute sample from the sample, and the probe is to support the minute sample;the focused ion beam optical system and the electron beam optical system are arranged within the sample chamber;the minute sample supported by the probe is to be fixed to the second sample stage;the focused ion beam optical system is to apply the ion beam to the minute sample fixed on the second sample stage to create a desired observation section;the operation controller is to control stopping orientation of the first sample stage and to control the angle of the second sample stage separately so as to locate an observation section of the electron beam;the electron beam optical system is to apply the electron beam to the minute sample to observe the observation section, andobservation of an inner section of the sample can be carried out with the sample in the sample chamber, and the sample chamber having a vacuum atmosphere. 12. A minute sample processing and observation apparatus according to claim 11, wherein the sample is a semiconductor device. 13. A minute sample processing and observation apparatus according to claim 11, wherein the sample is a wafer. 14. A minute sample processing and observation apparatus according to claim 11, wherein the minute sample extracted from the sample is a pentahedron. 15. A minute sample processing and observation apparatus according to claim 11, wherein the minute sample extracted from the sample is a tetrahedron.
abstract
Provided is a nuclear-fuel sintered pellet based on oxide in which a plate-type fine precipitate material in a base of a sintered pellet of uranium dioxide, used as nuclear fuel in nuclear power plants, is uniformly dispersed in a matrix of uranium dioxide fuel thereof so as to form a donut-shaped precipitate cluster, and to a method of manufacturing the same. The plate-type fine precipitate material is uniformly precipitated in a tissue thereof or forms a donut-shaped precipitate cluster having a two-dimensional structure through dispersion to improve thermal and physical performance of the nuclear-fuel sintered pellet of uranium dioxide, whereby the creep deformation rate and thermal conductivity of the sintered pellet are improved. The nuclear-fuel sintered pellet based on oxide can reduce the Pellet-Clad Interaction (PCI) failure and the core temperature of nuclear fuel when an accident occurs, thereby significantly improving the safety of a nuclear reactor.
048572640
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention This invention relates to the pressure vessel of a pressurized water reactor system of an advanced design in which plural rod guides are cantilever-mounted at their lower ends and extend in parallel, vertical relationship to dispose the upper ends thereof adjacent a calandria assembly or other removable support and, more particularly, to improved, frictionally loaded top end supports for such rod guides. 2. State of the Relevant Art Conventional pressurized water reactors employ a number of control rods which are mounted within the reactor vessel, generally in parallel axial relationship, for axial translational movement in telescoping relationship with the fuel rod assemblies. The control rods contain materials which absorb neutrons and thereby lower the neutron flux level within the core. Adjusting the positions of the control rods relatively to the respectively associated fuel rod assemblies thereby controls and regulates the reactivity and correspondingly the power output level of the reactor. Typically, the control rods, or rodlets, are arranged in clusters, and the rods of each cluster are mounted at their upper ends to a common, respectively associated spider. Each spider, in turn, is connected through a drive rod to a respectively associated adjustment mechanism for raising or lowering the associated rod cluster. In certain advanced designs of such pressurized water reactors, there are employed both control rod clusters (RCC's) and water displacement rod clusters (WDRC's), and also so-called gray rod clusters which, to the extent here relevant, are structurally identical to the RCC's and therefore both are referred to collectively hereinafter as RCC's. In an exemplary such reactor design, a total of over 2800 reactor control rods and water displacer rods are arranged in 185 clusters; typically, the rods of each cluster are individually mounted to a respectively corresponding spider. Further, there are provided, at successively higher, axially aligned elevations within the reactor vessel, a lower barrel assembly, an inner barrel assembly and a calandria assembly, each of generally cylindrical configuration; a removable, upper closure dome seals the top of the vessel and is removable to gain access to the vessel interior. The lower barrel assembly has mounted therein, in parallel axial relationship, a plurality of fuel rod assemblies comprising the reactor core. The fuel rod assemblies are supported at the lower and upper ends thereof, respectively, by corresponding lower and upper core plates. The inner barrel assembly comprises a cylindrical sidewall which is welded at its bottom edge to the upper core plate. Within the inner barrel assembly there are mounted a large number of rod guides disposed in closely spaced relationship, in an array extending substantially throughout the cross-sectional area of the inner barrel assembly. The rod guides are of first and second types, respectively housing therewithin the reactor-control rod clusters (RCC's) and the water displacer rod clusters (WDRC's); these clusters, as received in telescoping relationship within their respectively associated guides, generally are aligned with respectively associated fuel rod assemblies. One of the main objectives of the advanced design, pressurized water reactors to which the present invention is directed, is to achieve a significant improvement in the fuel utilization efficiency, resulting in lower overall fuel costs. Consistent with this objective, the water displacement rodlet clusters (WDRC's) function as a mechanical moderator and provide spectral shift control of the reactor. Typically, a fuel cycle is of approximately 18 months, following which the fuel must be replaced. When initiating a new fuel cycle, all of the WDRC's are fully inserted into association with the fuel rod assemblies, and thus into the reactor core. As the excess reactivity level of the fuel diminishes over the cycle, the WDRC's, in groups, are withdrawn progressively from the core so as to enable the reactor to maintain the same reactivity level even though the reactivity level of the fuel rod assemblies is reducing due to dissipation over time. Conversely, the control rod clusters are moved, again in axial translation and thus telescoping relationship relatively to the respectively associated fuel rod assemblies but on a continuing basis, for control of the reactivity and correspondingly the power output level of the reactor, for example in response to load demands, in a manner analogous to conventional reactor control operations. A reactor incorporating WDRC's is disclosed in application Ser. No. 946,111, filed Dec. 24, 1986, a continuation of Ser. No. 217,053, filed Dec. 16, 1980 and entitled "MECHANICAL-SPECTRAL SHIFT REACTOR" and in further applications cited therein. A system and method for achieving the adjustment of both the RCC's and WDRC's are disclosed in the co-pending application Ser. No. 806,719, filed Dec. 9, 1985 of Altman et al. and entitled "VENT SYSTEM FOR DISPLACER ROD DRIVE MECHANISM OF PRESSURIZED WATER REACTOR AND METHOD OF OPERATION." Each of the foregoing applications is assigned to the common assignee hereof and is incorporated herein by reference. A critical design criterion of such advanced design reactors is to minimize vibration of the reactor internal structures, as may be induced by the core outlet flow as it passes therethrough. A significant factor for achieving that criterion is to maintain the core outlet flow in an axial direction throughout the inner barrel assembly of the pressure vessel and thus in parallel axial relationship relative to the rod clusters and associated rod guides. The significance of maintaining the axial flow condition is to minimize the exposure of the rod clusters to cross-flow, a particularly important objective due both to the large number of rods and also to the type of material required for the WDRC's, which creates a significant wear potential. This is accomplished by increasing the vertical length, or height, of the vessel sufficiently such that the rods, even in the fully withdrawn (i.e., raised) positions within their inner barrel assembly, remain located below the vessel outlet nozzles, whereby the rods are subjected only to axial flow, and through the provision of a calandria assembly, which is disposed above the inner barrel assembly and thus above the level of the rods and which is constructed to withstand the cross-flow conditions. In general, the calandria assembly comprises a lower calandria plate and an upper calandria plate which are joined by a cylindrical side wall, and an annularly flanged cylinder which is joined at its lower cylindrical end to the upper calandria plate and is mounted by its upper, annularly flanged end on an annular supporting ledge of the pressure vessel. The rod guides are semipermanently, cantilever-mounted at their lower ends to the upper core plate and releasably affixed at their upper ends to the lower calandria plate. Within the calandria assembly and extending between aligned apertures in the lower and upper calandria plates is mounted a plurality of calandria tubes, positioned in parallel axial relationship and respectively aligned with the rod guides. A number of flow holes are provided in the lower calandria plates, at positions displaced from the apertures associated with the calandria tubes, through which the reactor core outlet flow passes as it exits from its upward passage through the inner barrel assembly. The calandria assembly receives the axial core outlet flow, and turns the flow from the axial direction through 90.degree. to a radially outward direction for passage through the radially oriented outlet nozzles of the vessel. The calandria thus withstands the cross-flow generated as the coolant turns from the axial and upward to the radial and outward directions, and provides for shielding the flow distribution in the upper internals of the vessel. Advanced design pressurized water reactors of the type here considered incorporating such a calandria assembly are disclosed in the co-pending applications: Ser. No. 490,101 to James E. Kimbrell et al., for "NUCLEAR REACTOR"; application Ser. No. 490,059 to Luciano Veronesi for "CALANDRIA"; and application Ser. No. 490,099, "NUCLEAR REACTOR", all thereof concurrently filed on Apr. 29, 1983 and incorporated herein by reference. As before noted, the rod guides for each of the RCC and WDRC rod clusters are mounted securely and semi-permanently at their bottom ends to the upper core plate, preferably by being bolted thereto, and extend in parallel axial relationship to dispose the upper, free ends thereof adjacent the lower calandria plate. This cantilever-type mounting is necessitated to accommodate both axial (i.e., vertical) movement of the free ends of the rod guides, which occurs due to thermal expansion and thus axial elongation of the rod guides, and also fixed end motion, which is caused by vibration and/or flexing of the upper core plate to which the bottom, fixed ends of the rod guides are mounted. Because of these factors, it is not possible to rigidly and permanently secure the free, upper ends of the rod guides to the lower calandria plate. For example, routine refueling and maintenance operations performed on such reactors require disassembly of major components including removal of the head assembly, the calandria assembly and the inner barrel assembly to gain access to the core for replacing or relocating fuel rod assemblies, as required. Inspection and replacement, as required, of other components usually is performed in conjunction with refueling; accordingly, the calandria assembly typically is removed from within the inner barrel assembly, necessitating separation of the rod guides from the lower calandria plate. This most readily is accomplished by providing support structures or mounting means for the upper ends of the rod guides, which means are secured to the lower calandria plate and releasably engage and support the top ends of the rod guides, preferably without the use of special tools. Despite being releasable, the mounting means for the upper, free ends of the rod guides not only must constrain the same against lateral motion, caused by flow-induced vibration and flow and thermal forces imposed thereon while nevertheless accommodating the aforedescribed axial movement of the free ends of the rod guides, but also must avoid excessive wear of the reactor internals. In some existing designs and as in conventional reactors, split pins are employed at the free ends of the rod guides for restricting lateral motion while permitting a limited extent of axial motion; such designs, however, present wear concerns. In fact, due to the high loads and large axial motion of the free ends in the advanced design pressure vessels, the use of split pins for the free end supports is deemed not practical. There thus exists a substantial need for a top end support structure for the top, free ends of the rod guides in such advanced design reactors, which satisfies these complex structural and operational requirements but which is of simple design and small physical size and employs a minimum number of parts, thereby to achieve cost economies, both in the cost of components and in the size of the reactor vessel and also in simplifying and thereby expediting the performance of maintenance operations on such reactors and correspondingly reducing down-time. Moreover, in view of the different configurations of the rod guides which accommodate the respective, different rod cluster types, respectively corresponding such top support structures of different configurations are required which are mutually compatible. CROSS-REFERENCE TO RELATED APPLICATIONS The co-pending application Ser. No. 798,194, filed Nov. 14, 1985, of D. G. Sherwood et al., entitled "TOP END SUPPORT FOR WATER DISPLACEMENT ROD GUIDES OF PRESSURIZED WATER REACTOR", assigned to the common assignee hereof and incorporated herein by reference, discloses a telescoping interconnection between a cylindrical support element which is affixed to and extends downwardly from the lower calandria plate and an apertured sleeve affixed to the top end of each rod guide. The configuration of the telescoping elements maximizes the area of the wear surface, thereby to resist wear during normal operation, while affording ease of removal of the calandria to gain access to the rod clusters and of reassembly of same. An alternative top end support arrangement is disclosed in the co-pending application of Ekeroth and Veronesi, the latter a common inventor herein, entitled "RESILIENTLY LOADED LATERAL SUPPORTS FOR CANTILEVER-MOUNTED ROD GUIDES OF A PRESSURIZED WATER REACTOR" filed Nov. 3, 1986, Ser. No. 926,301. As disclosed therein, a mount, which may be of cylindrical configuration, is secured to the lower surface of a lower calandria plate and a sleeve is secured to the upper end of a cantilever-mounted rod guide; means are provided for releasably interconnecting the sleeve and the support and for producing resilient, generally lateral (i.e., radially-oriented) loading therebetween. A variety of embodiments are disclosed in which the releasably interconnecting means may comprise links which are either inherently flexible or pivotally connected to the cylindrical support, generally extending downwardly therefrom such that the same are engaged on receiving sockets at the upper end of the sleeve as the calandria assembly is lowered into position. As an alternative to resiliency of the links, in one disclosed embodiment, a rigid link pivotally joined to the cylindrical socket engages a flexibly mounted receiving socket in the sleeve, to achieve the resilient loading effect. In certain disclosed embodiments, moreover, the support and sleeve may have mating surfaces which are nominally spaced by the resilient loading of the releasably interconnecting means and which serve as an abutment stop, or load pick-up surface, when lateral forces imposed on the guide exceed the resilient, lateral loading of the releasable interconnecting means, thereby to translate excessive lateral forces directly to the calandria. While the lateral loading and nominal spacing of contiguous parts, thus afforded, offers the advantage of reduced wear under normal loading conditions, the pivotal interconnection between the fixed support and the sleeve affords little, if any, axially oriented frictional force for restraining axially directed vibrational or translational movement of the rod guide, or of the lower and upper support plates with which it is associated (i.e., the upper core plate and the lower calandria plate). Moreover, the receiving socket structures required in the upper end of the sleeves encumber the requisite openings therein through which the respective rod clusters must pass during disassembly and assembly procedures. Yet another alternative top end support assembly is disclosed in U.S. Pat. No. 4,687,628 issued Aug. 18 1987 from the previously co-pending application Ser. No. 923,059, filed Oct. 24, 1986, a continuation of parent application Ser. No. 798,220, filed Nov. 14, 1985, of Gillett et al. entitled "FLEXIBLE ROD GUIDE SUPPORT STRUCTURE FOR INNER BARREL ASSEMBLY OF PRESSURIZED WATER REACTOR", assigned to the common assignee hereof and incorporated herein by reference. Respective, differently configured top support plates are mounted on the free ends of the RCC and the WDRC rod guides, respectively, and have mating, respective exterior and interior vertices to permit assemblage of same in an interdigitized array. Flexible linkages connect the top plates in a concatenated relationship, and serve to restrain relative, lateral movement while permitting relative axial movement therebetween. Stop pins are received in aligned bores of the contiguous interdigitated top plates and serve to limit the extent of load which can be applied to the linkages and thus the ultimate extent of relative movement between the concatenated top plates. The RCC top plates include openings, preferably of cylindrical configuration, which receive corresponding cylindrical extensions which are secured to and extend downwardly from the lower calandria plate, thereby establishing basic alignment of the concatenated and interleaved matrices of the plates. Leaf springs secured to the calandria bottom plate engage and exert a downward force on the top surfaces of the RCC top plates, thereby establishing a frictional force which further opposes lateral movement of the RCC top plates and, through the concatenated and interleaved arrangement, correspondingly opposes any lateral movement of the WDRC top plates, as well, while permitting restrained axial displacement or movement of the individual RCC and WDRC rod guides. While the flexible support structure of the referenced Gillett et al. application satisfies many of the requirements of the rod guide top end supports, the structure is of complex design and requires the use of numerous elements, contributing to increased costs of construction and maintenance of the reactor. Accordingly, there remains a need for a lateral support for the top, free ends of the cantilever-mounted rod guides of the pressurized water nuclear reactors of the advanced designs herein contemplated, which is of simplified design and reduced cost, yet which affords the requisite support functions while reducing and/or substantially eliminating wear concerns. SUMMARY OF THE INVENTION In accordance with the present invention, frictionally loaded top end supports are provided at the interface between the upper, free ends of cantilever-mounted rod guides, respectively housing control rod clusters (RCC's) and water displacement rod clusters (WDRC's), and a support plate disposed thereabove. In the specific embodiment herein disclosed relating to a pressurized water reactor of the advanced design type, the support plate comprises the lower calandria plate of a calandria assembly. While the frictionally loaded top end supports of the invention are directed to overcoming the particular problems presented by such advanced design pressurized water reactors, it will be appreciated that they may be employed in other reactors for satisfying alignment and lateral support requirements for the top ends of rod guides, even though the further concerns of vibration and axial movement of the rod guides are not as severe, or not present in the first instance. More particularly in accordance with the present invention, the frictionally loaded top end supports or mounting means, for each of the RCC and WDRC rod guides, comprise corresponding, generally cylindrical, fixed supports which are secured to the lower calandria plate and extend axially downwardly therefrom, and respectively mating, reinforced sleeves which are affixed to the upper ends of the associated RCC and WDRC rod guides and which define generally cylindrical central openings for receiving the respective, cylindrical fixed supports in telescoping, sliding relationship therein. Each of the top end supports includes an upper, continuous annular collar portion and a lower, continuous annular base portion integrally interconnected by a generally cylindrical sidewall portion. Plural leaf springs are incorporated in each reinforced sleeve, positioned at angularly displaced locations about the common alignment axis of the cylindrical support and associated rod guide sleeve, e.g., four leaf springs at 90.degree. displaced locations. Each leaf spring has a flexible shank portion extending from a base portion at the lower end of the sleeve to an arcuate segment lip portion adjacent the upper end of the sleeve, the arcuate segments at the upper ends being of a common radius, substantially that of the exterior surface of the fixed cylindrical support, and which are resiliently biased by the shank and base portions in a radially inward direction to bear against same. The leaf springs present a relatively low preload, thereby inducing only a low frictional load during installation as the cylindrical supports are telescopingly inserted and received into the corresponding cylindrical openings of the sleeves, yet exerts sufficient lateral, resilient force to oppose lateral loads within the typical range experienced in normal operational flow conditions and sufficient axially directed frictional forces to oppose axial, or vertical, movement of the free ends of the rod guides. The continuous, annular collar portion of each sleeve, moreover, presents a non-yielding, load pick-up surface which backs the arcuate segment lip portions of the preload springs and is capable of carrying high lateral loads with low deflections. Thus, high lateral loads, including both those exceeding the typical range in normal operation and those which are produced under accident (e.g., seismic and LOCA) conditions, are transferred from the load pick-up surface of the sleeve directly to the cylindrical support and thus into the calandria. Accordingly, the top end supports of the invention are both compliant so as to afford easy installation and also of sufficient resilient strength to maintain alignment and stability during most normal operating conditions, and yet also are strong and rugged to resist abnormally high lateral forces which can occur during accident conditions. Because of the dense packing of the RCC and WDRC control rod clusters in the internals of the advanced design reactor vessels, the top end supports correspondingly are densely packed and thus must be of an efficient design and configuration so as to occupy a minimum of space, individually, while satisfying the above-described support functions. In general, each of the RCC clusters has an "X"shaped configuration in cross-section, i.e., four arms extending radially at mutually displaced right angles from a common, central axis, as viewed in a cross-section in a plane perpendicular to the axis, whereas each of the WDRC rod clusters has a periphery of generally square cross-sectional configuration, likewise symmetrically disposed about a central axis. In assembled relationship, the four exterior vertices of each WDRC support are received in mating relationship within corresponding, interior vertices of four surrounding RCC top end supports; likewise, each RCC top end support receives within each of its four interior vertices corresponding exterior vertices of four WDRC top end supports which are disposed thereabout in surrounding relationship. While thus in an interspersed array, the individual RCC and WDRC top end supports are independent of each other, each providing the required support function for its associated rod guide. Because of the greater lateral forces to which the WDRC rod guides are subjected, a more massive fixed cylindrical support is provided for the WDRC top end supports, as compared to the RCC top end supports. Conversely, while the RCC top end supports need only accommodate a lower level of lateral forces and correspondingly have less massive fixed cylindrical supports, a smaller spatial envelope is available in these structures to accommodate the leaf springs. Accordingly, the RCC supports incorporate a retainer pin which is received in a clearance hole in the top, free end of each leaf spring, as an added safety precaution, for capturing the spring in the unlikely event of breakage. More specifically, with respect to each WDRC top end support, the associated, fixed cylindrical support comprises a cylindrical sidewall and an integral, end closure having a central aperture therein which is aligned with a corresponding aperture in the lower calandria plate for receiving therethrough a drive rod for the WDRC rod cluster associated with the respective WDRC rod guide. Preferably, the upper surface of the end closure includes an annular projection which is received in a corresponding annular recess in the lower calandria plate, coaxial with the drive rod aperture; the calandria extension then is received through the aligned apertures in the lower calandria plate and the end closure, the extension being welded to the plate. The assemblage thus provides directly interlocking interfaces, preventing any lateral displacement. Bolts then are received through corresponding apertures in the end closure and into threaded engagement in the calandria plate, to axially secure the fixed cylindrical support to the calandria plate. Arcuate recesses extend through the end closure of the fixed cylindrical support and complementary recesses extend axially through the cylindrical sidewall of the support and the reinforced sleeve, corresponding to respective flow holes in the lower calandria plate, to assure unimpeded vertical flow from the core and through the inner barrel assembly. Because of the square cross-sectional configuration and larger dimensions of the WDRC support, it is convenient to form the leaf springs by machining the corresponding, major faces of the sleeve, such that the base portion of each spring is integral with the lower, continuous annular base portion of the sleeve and the arcuate segment lip portion is positioned slightly, axially below the annular collar portion. The interior, engaging surface of the arcuate segment lip portion of each spring is biased by the shank portion so as to be positioned nominally radially inwardly of the interior, load pick-up surface of the annular collar portion of the sleeve. With respect to the RCC top end supports, and recalling the reduced lateral force which the same must withstand but also the smaller spatial envelope of the structure, the fixed cylindrical support comprises solely a calandria extension, similar in configuration to the calandria extension of the WDRC support and likewise extending through and secured to the lower calandria plate. The reinforced sleeve, as before-noted, is of X-shaped configuration, a central portion thereof from which the four, 90.degree.-displaced radially extending arms project, having a generally cylindrical interior of a greater diameter than the external diameter of the calandria extension and thus defining an annular gap therebetween. The leaf springs preferably are formed as separate elements. The base portion of each spring has a flat or planar inner surface, and an arcuate outer surface which matches the interior circumference of the continuous annular base portion of the sleeve, and is secured thereto by bolts which pass through the sleeve sidewall. The shank integrally connects the base portion with the arcuate segment lip portion and positions the latter in the annular gap between the calandria extension and the upper, continuous collar portion of the sleeve; the collar, due to its concentric and surrounding relationship, therefore comprises the non-yielding, load pick-up surface. Retainer pins extend through the collar portion of the sleeve adjacent its upper end and into clearance holes extending radially and partially into the respective, arcuate segment lip portions. The retainer pins thus capture and retain the RCC leaf springs, in the unexpected event of breakage. While structurally different, the RCC top end supports function in substantially the same manner as the WDRC top end supports, the leaf springs presenting relatively low preloads which are readily overcome during assembly and which nevertheless are adequate to resist excessive flow-induced vibration and axial and lateral loads in normal operation. High lateral loads, which exceed the typical range in normal operation and as are experienced during accident conditions, are transferred from the load pick-up surface of the continuous collar portion of the sleeve and through the intervening arcuate lip portion of the correspondingly positioned spring to the calandria extension. Accordingly, the RCC top end support likewise affords a load pick-up surface which is stiff and strong, for transfer of lateral loads of excessive levels and in accident conditions directly to the calandria. The reinforced sleeves of both the WDRC and RCC top end supports furthermore are machined to define interior, axially extending passageways for the respective RCC and WDRC rod clusters, thereby to enable their removal from within the corresponding rod guides in conjunction with removal of the calandria assembly from the upper internals, without the necessity of structural modification or disassembly of the rod guide top end support structures. Accordingly, the frictionally loaded, WDRC and RCC top end supports of the present invention function to prevent both lateral and axial displacement and vibrational movement of the associated rod guides as well as axial vibrational movement of the upper core plate, while affording ease of installation and of removal of the calandria assembly and providing the requisite flow paths to the calandria assembly, yet are of a simplified design and employ a minimum number of parts. These and other advantages of the present invention will become more apparent from the following detailed description, taken with reference to the enclosed figures, in which like reference numerals and letters refer to like parts throughout.
claims
1. A charged particle beam inspection apparatus comprising:an electron gun for emitting an electron beam;a first condenser lens and a second condenser lens used for focusing the electron beam;a beam control panel for controlling the electron beam passing there through and is provided between the first condenser lens and the second condenser lens; anda control unit for performing stabilizing processing that stabilizes a potential on a surface of a sample by the following operations:an excitation current to be supplied to the first condenser lens is set to be smaller than the excitation current supplied thereto for a measurement of dimension of the sample to increase a focal distance of the first condenser lens, and;an excitation current to be supplied to the second condenser lens is set to be larger than the excitation current supplied thereto for the measurement to regulate a focal distance of the second condenser lens to be equal to a focal distance thereof for the measurement, therebya current amount of the electron beam passing through an opening of the beam control panel is regulated so that the electron beam to be emitted onto the sample has a larger current amount than that at the measurement, and thenthe electron beam is emitted onto the sample for a predetermined time period, thereby stabilizing the potential on the surface of the sample. 2. The charged particle beam inspection apparatus according to claim 1, whereinafter finishing the stabilizing processing, the control unit sets the values of the excitation currents back to values for the measurement, and then the measurement of a dimension of the sample is performed, the excitation currents respectively supplied to the first condenser lens and the second condenser lens. 3. The charged particle beam inspection apparatus according to claim 1, whereinthe predetermined time period is a time period required for a potential on the surface of the sample to be stabilized after the electron beam thus regulated is emitted onto the sample, and is shorter than a time period required for a potential on the surface of the sample to be stabilized after the electron beam for the measurement is emitted onto the sample. 4. The charged particle beam inspection apparatus according to claim 1, further comprisinga storage to store the values of the excitation currents to be respectively supplied to the first condenser lens and the second condenser lens, whereinthe control unit sequentially extracts, from the storage, a value of a first excitation current to be supplied to the first condenser lens, a value of a second excitation current to be supplied to the second condenser lens, and an emission time period, the values and the emission time period set for the stabilizing processing,supplies the first excitation current to the first condenser lens to make a focal distance of the first condenser lens longer than a focal distance thereof for the measurement,supplies the second excitation current to the second condenser lens to regulate a focal distance of the second condenser lens to be equal to a focal distance thereof for the measurement, andemits the electron beam onto the sample for the emission time period to stabilize a potential on a surface of the sample. 5. An inspection method using a charged particle beam to measure a dimension of a pattern formed on a sample by use of a charged particle beam inspection apparatus, the apparatus including: an electron gun emitting an electron beam; a first condenser lens and a second condenser lens used to focus the electron beam; a beam control panel disposed between the first condenser lens and the second condenser lens; a storage to store values of excitation currents to be respectively supplied to the first condenser lens and the second condenser lens; and a control unit controlling the electron gun, the first condenser lens, and the second condenser lens, the method comprising the steps of:extracting, from the storage, the value of the excitation current to be supplied to the first condenser lens, the value of the excitation current to be supplied to the second condenser lens, and an emission time period;supplying the excitation currents respectively to the first condenser lens and the second condenser lens, where the excitation current to be supplied to the first condenser lens is set smaller than the excitation current supplied thereto for the measurement of the dimension of the sample to make a focal distance of the first condenser lens longer than a focal distance thereof for the measurement, and the excitation current to be supplied to the second condenser lens is set larger than the excitation current supplied thereto for the measurement to set a focal distance of the second condenser lens to be equal to a focal distance thereof for the measurement, to regulate the electron beam passing through an opening of the beam control panel so that the electron beam to be emitted onto the sample has a larger current amount than that at the measurement;emitting the electron beam onto the sample for the emission time period which is extracted from the storage thereby stabilizing a potential on a surface of the sample; andafter the emission of the electron beam, measuring the pattern on the sample by setting the values of the excitation currents to be supplied respectively to the first condenser lens and the second condenser lens back to values for the measurement. 6. The inspection method using a charged particle beam according to claim 5, whereinthe emission time period is a time period required for a potential on the surface of the sample to be stabilized after the electron beam thus regulated is emitted onto the sample, and is shorter than a time period required for a potential on the surface of the sample to be stabilized after the electron beam for the measurement is emitted onto the sample. 7. A charged particle beam inspection apparatus comprising:an electron gun emitting an electron beam;a first condenser lens forming, upon receipt of the electron beam emitted from the electron gun, a first focused beam which focuses at a position located a predetermined first focal distance away from the first condenser lens;a beam control panel forming a passed beam upon receipt of the first focused beam, the passed beam formed of a portion of the first focused beam passing through a predetermined opening formed in the beam control panel and located at a center of an optical axis;a second condenser lens forming, upon receipt of the passed beam, a second focused beam which focuses at a position located a predetermined second focal distance away from the second condenser lens;an objective lens emitting, upon receipt of the second focused beam, the second focused beam onto an irradiation target sample a predetermined third focal distance away from the objective lens; anda control unit controlling, in order to perform a stabilizing processing a potential on a surface of the sample, focusing conditions by increasing the first focal distance of the first condenser lens to be longer than that of a measurement processing of dimension of the sample, and regulating the second focal distance of the second condenser lens to be equal to a focal distance thereof for the measurement processing in a way that the passed beam passing through the opening becomes larger in such a way that the electron beam to be emitted onto the sample has a larger current amount in the stabilizing processing than that at the measurement processing.
050892142
claims
1. An apparatus for monitoring the pressure within a cask containing a potentially hazardous gas, wherein said cask includes a bore that penetrates through one of its walls, comprising: a differential pressure sensor fluidly connected to an outer end of said bore for both monitoring the pressure of said hazardous gas and providing a first barrier between said gas and the ambient atmosphere, a sensor chamber containing the outer end of said bore and said pressure sensor for providing a second barrier between said gas and said ambient atmosphere, said chamber being at least partially evacuated to create a pressure differential between said chamber and the ambient atmosphere, and an absolute pressure sensor that communicates with said bore and is contained within said chamber for determining whether or not a reduction in the pressure sensed by the differential pressure sensor is the result of a leakage condition in the cask of in the chamber. a differential pressure sensor fluidly connected to an outer end of said bore in said cask for both monitoring the pressure of said gas and providing a first barrier between said gas and the ambient atmosphere, a sensor chamber sealingly mounted on a wall of said cask and containing an outer end of said bore and said pressure sensor for providing a second barrier between said gas and said ambient atmosphere, wherein said chamber has been at least partially evacuated to create a pressure differential between the chamber and the ambient atmosphere, and an auxiliary pressure sensor fluidly connectable to said sensor chamber for measuring the pressure within said sensor chamber. a differential pressure sensor fluidly connected to an outer end of said bore in the walls of said cask for monitoring the relative pressure of said gas with respect to the atmosphere immediately surrounding said pressure sensor; a sensor chamber sealingly mounted on the wall of said cask and containing said outer end of said bore and said pressure sensor, wherein said chamber has been evacuated to a pressure of 0.20 atmospheres or less to increase the pressure differential between said pressurized gas and the atmosphere immediately surrounding said pressure sensor, and an absolute pressure sensor in communication with said bore and contained within said sensor chamber for determining whether or not a reduction in the pressure sensed by the differential pressure sensor is a result of a leakage condition in the cask or in the chamber. 2. An apparatus for monitoring as defined in claim 1, further comprising a connecting conduit for fluidly and sealingly connecting said bore to said differential pressure sensor, and at least one isolation valve means in said conduit for selectively isolating said differential pressure sensor from said bore. 3. An apparatus for monitoring as defined in claim 2, further comprising a vent conduit connected at one end to said connecting conduit downstream of said isolation valve means, and including a vent valve means for selectively venting hazardous gas present in the connecting conduit between said isolation valve means and said differential pressure sensor. 4. An apparatus for monitoring as defined in claim 1, wherein said sensor chamber includes a removable cover for providing access to the differential pressure sensor disposed within said chamber. 5. An apparatus for monitoring as defined in claim 1, wherein a wall of said sensor chamber includes a test port coupling, and said apparatus further comprises an auxiliary pressure sensor detachably and sealingly connectable to said test port coupling for measuring the pressure within said sensor chamber. 6. An apparatus for monitoring as defined in claim 5, wherein said test port coupling includes a coupling valve means for opening said coupling after said auxiliary pressure sensor has been sealingly connected to said coupling. 7. An apparatus for monitoring as defined in claim 2, wherein said connecting conduit includes two, serially-connected isolation valves. 8. An apparatus for monitoring as defined in claim 1, wherein said sensor chamber is mounted onto the exterior wall of said cask. 9. An apparatus for monitoring the pressure of a gas within a cask containing radioactive materials, wherein said gas has been pressurized, and said cask includes a bore that penetrates through one of its walls, comprising: 10. An apparatus for monitoring as defined in claim 9, wherein said cask includes an upper portion defined by a lid assembly, and said sensor chamber is mounted in a portion of a wall of said cask immediately below said lid assembly such that the presence of said sensor chamber does not compromise the shielding efficacy of said cask. 11. An apparatus for monitoring as defined in claim 9, further including an alarm means connected to said differential pressure sensor for providing a signal to a system operator whenever the differential pressure sensed by the sensor falls below a selected value. 12. An apparatus for monitoring as defined in claim 9, further comprising an absolute pressure sensor that communicates with said bore and is contained within said chamber for determining whether or not a reduction in the pressure sensed by the differential pressure sensor is the result of a leakage condition in the cask or in the chamber. 13. An apparatus for monitoring as defined in claim 9, further comprising a connecting conduit for fluidly and sealingly connecting said bore to said differential pressure sensor, and two, serially connected isolation valve means in said conduit for selectively isolating said differential pressure sensor from said bore. 14. An apparatus for monitoring as defined in claim 13, further comprising a vent conduit connected at one end to said connecting conduit downstream of said isolation valve means, and including a vent valve means for selectively venting hazardous gas present in the connecting conduit between said isolation valve means and said differential pressure sensor. 15. An apparatus for monitoring as defined in claim 9, wherein a wall of said sensor chamber includes a test port coupling, and said auxiliary pressure sensor is detachably and sealingly connectable to said test port coupling for measuring the pressure within said sensor chamber, in order to test the operability of said differential pressure sensor. 16. An apparatus for monitoring as defined in claim 15, wherein said test port coupling includes a coupling valve means for opening said coupling after said auxiliary pressure sensor has been sealingly connected to said coupling. 17. An apparatus for monitoring as defined in claim 9, wherein said bore defines a tortuous path in order to prevent radiation streaming from occurring through said bore. 18. An apparatus for monitoring the pressure of a gas within a cask containing radioactive materials, wherein said gas is pressurized from between about 1.20 to 2.00 atmospheres, and a wall of said cask includes a bore that penetrates through said wall, comprising:
description
This invention was made with government support under contract #FA9453-17-C-0470 awarded by AFRL and #N00014-15-C-5022 awarded by ONR. The government has certain rights in the invention. Matter exhibits wave-like properties similar to light; atomic interferometry has become an indispensable tool for precision measurements because the typical de Broglie wavelengths associated with massive particles are very small compared to wavelengths associated with massless photons of visible light. These wave-like properties of matter allow interference measurements to be exploited at a scale orders of magnitude smaller than for light. Examples of these precision measurements include high precision inertial sensing and gravity gradiometry to measurements of fundamental physical constants and quantum phenomena. Typically, these precision measurements take place in static environments such as in a research laboratory. There are significant challenges of using atomic interferometers in a dynamic environment, such as in a spacecraft or airplane, where vibrations and accelerations can be large. For example, sensor dynamic range is dependent on the atomic source velocity distribution such that the ability to make measurements is significantly reduced for the large accelerations required for operation in dynamic environments. The invention can be implemented in numerous ways, including as a process; an apparatus; a system; a composition of matter; a computer program product embodied on a computer readable storage medium; and/or a processor, such as a processor configured to execute instructions stored on and/or provided by a memory coupled to the processor. In this specification, these implementations, or any other form that the invention may take, may be referred to as techniques. In general, the order of the steps of disclosed processes may be altered within the scope of the invention. Unless stated otherwise, a component such as a processor or a memory described as being configured to perform a task may be implemented as a general component that is temporarily configured to perform the task at a given time or a specific component that is manufactured to perform the task. As used herein, the term ‘processor’ refers to one or more devices, circuits, and/or processing cores configured to process data, such as computer program instructions. A detailed description of one or more embodiments of the invention is provided below along with accompanying figures that illustrate the principles of the invention. The invention is described in connection with such embodiments, but the invention is not limited to any embodiment. The scope of the invention is limited only by the claims and the invention encompasses numerous alternatives, modifications and equivalents. Numerous specific details are set forth in the following description in order to provide a thorough understanding of the invention. These details are provided for the purpose of example and the invention may be practiced according to the claims without some or all of these specific details. For the purpose of clarity, technical material that is known in the technical fields related to the invention has not been described in detail so that the invention is not unnecessarily obscured. An atom interferometer device for inertial sensing is disclosed. The atom interferometer device for inertial sensing comprises one or more thermal atomic sources, a state preparation laser, a set of lasers, and a detection laser. The one or more thermal atomic sources provide one or more atomic beams. A state preparation laser is disposed to provide a state preparation laser beam nominally perpendicular to each of the one or more atomic beams. A set of lasers is disposed to provide interrogation laser beams that interrogate the one or more atomic beams to assist in generating atom interference. A detection laser is disposed to provide a detection laser beam, which is angled at a first angle to the each of the one or more atomic beams in order to enhance the dynamic range of the device by enabling velocity selectivity of atoms used in detecting the atom interference. One of the critical challenges for inertial sensors based on atom interferometry utilizing a thermal atomic beam source under dynamic conditions is a loss of contrast due to the broad longitudinal velocity distribution of the atom flux emitted by the ovens. For example, in a cesium (Cs) thermal oven utilizing mechanical apertures as the beam collimator, the longitudinal velocity distribution is given by the v3 Maxwellian distribution: l ⁡ ( v ) = 2 ⁢ I 0 α 4 ⁢ v 3 ⁢ e - v 2 α 2 which in some embodiments has a most probable velocity of α˜220 m/s with a similar distribution width. This poses a problem for the sensor dynamic range because the output phase of an atom interferometer under accelerations and rotations is velocity dependent. For example, the phase shift due to acceleration a and rotation Ω are given by ϕ = k · a ⁢ ⁢ T 2 = k · a ⁡ ( L v ) 2 , ⁢ ϕ = 2 ⁢ k · v × Ω ⁢ ⁢ T 2 = 2 ⁢ k · v × Ω ⁡ ( L v ) 2 ,where k=4π/λ is the effective Raman two photon wave-vector, v is the atom velocity and L is the distance between the three Raman laser beams that create the atom interferometer. The output of the atom interferometer is given by the sine of the phase of the interferometer which includes all inertial and other phase shifts. The two atomic beams are generated by mechanical collimation at the output of the atom sources. The mechanical collimators preferentially allow atoms moving in the forward direction out of the sources to propagate through the sensor, leading to atomic beams with narrow transverse velocity distributions. For example, a collimation ratio of 100:1 leads to a several m/s transverse velocity width. In some embodiments, the thermal atomic source is collimated using a mechanical collimator with collimation ratios in the range: 50:1-250:1. In various embodiments, the mechanical collimator achieves a collimation of: from 50:1 to less than 100:1, from 100:1 to less than 200:1, from 200:1 to less than 300:1, or any other appropriate range. The Raman beam geometry, specifically the width of the Raman laser beams in the atom transit direction, is typically chosen to address all the atoms in the transverse velocity distribution by adjusting the spectral width of the Raman transitions. As a result, atoms with a broad range of longitudinal velocities and transverse velocities can still satisfy the resonance condition. In practice, the measured longitudinal velocity distribution width that is addressed by the Raman beams is on the order of 80 m/s, which limits the dynamic range of the sensor. Additional velocity selectivity of atoms is achieved using a detection beam with an angle from perpendicular to the atomic beam. For example, the angle from perpendicular comprises a less than ten-degree angle from perpendicular. In various embodiments, the angle from perpendicular comprises a ten to less than twenty-degree angle from perpendicular, a twenty to less than thirty degree angle from perpendicular, a thirty to less than fifty degree angle from perpendicular, or any other appropriate angle. In some embodiments, the angle is adjusted from perpendicular for each of the two atomic beams in order to adjust the dynamic range. The detection laser beam is modulated to create a sideband to match a Doppler shift associated with the angle from perpendicular to each of the atom beams. For example, if the detection beam is tilted into the atomic beam direction by angle θ, the fluorescence resonance condition for atoms with different velocities are shifted by: Δ ⁢ ⁢ f = v λ ⁢ sin ⁢ ⁢ θ . In order to optimize the interferometer signal, the detection laser frequency must be stabilized at the frequency corresponding to the velocity at the peak of the distribution (example, ˜220 m/s for Cs). In some embodiments, the detection laser beam is modulated for a plurality of Doppler shifts to address different populations in the one of the two atom beams. In some embodiments, a detection beam steering mirror is adjusted dynamically to increase or decrease a dynamic range depending on sensor motion, and a detection beam frequency is adjusted to an appropriate Doppler shift. In some embodiments, an optical power in a detection laser beam is adjusted dynamically to increase or decrease a dynamic range depending on a sensor motion. In some embodiments, a second detection laser beam is angled at a second angle to the one of the two atomic beams to enhance dynamic range by enabling velocity selectivity of atoms used in detecting the atom interference, wherein the first angle and the second angle are different. In some embodiments, the second detection laser beam is also generated by the detection laser. By enabling the adjustment of dynamic range, the atom interferometer device for inertial sensing is improved. In particular, inertial sensing response of the atom interferometer device is improved and can be designed, statically adjusted, or dynamically adjusted to improve performance under one or more dynamic conditions and/or operating ranges. FIG. 1 is a block diagram illustrating an embodiment of a sensor head configuration for velocity-selective detection. In the example shown, the velocity selective atomic state detection scheme provides an extension of the dynamic range of the device under acceleration and rotation. FIG. 1 shows a side view of atomic sensor 100 for one of the two counter-propagating atomic beams in the device. This design can be applied to any number of atomic beams simultaneously but is shown here applied to just one beam for clarity. Atomic source 102 generates atomic beam 104. In some embodiments, atomic source 102 is a thermal beam from an effusive oven. Atomic source 102 can be produced by heating a source of appropriate atoms to form a vapor. Any atoms with transitions amenable to atomic physics techniques using available lasers may be used; for example, alkali atoms such as cesium, rubidium, etc. The vapor is collimated by a nozzle or array of collimating holes to form atomic beam 104. State preparation beam 106 puts atoms from atomic beam 104 into a desired quantum state. For example, state preparation beam 106 simultaneously clears the F=4 ground state and optically pumps the F=3 ground state atoms into the mF=0 magnetic sublevel for cesium. In this example, state preparation beam 106 is kept orthogonal to atomic beam 104 in order to ensure all atoms irrespective of their velocities are cleared out of the ground state used for fluorescence detection. The state-prepared atomic beam then enters the atom interferometer which is created using a sequence of three interferometer interrogation beams. Raman beam 1 108, Raman beam 2 110, and Raman beam 3 112 comprise the interferometer interrogation beams. For example, interferometer interrogation beams are two-photon stimulated Raman transitions that are tuned to coherently split atoms of atomic beam 104 into a superposition of states and then recombine the atomic wave packets. At the output of the interferometer one can monitor the atomic populations in the atomic states using detection beam 114 (e.g., in either the F=3, mF=0 or F=4, mF=O atomic states by using a detection beam, which can be tuned to the F=3 or F=4 resonance to induce fluorescence proportional to the number of atoms in the F=3 or F=4 state). The number of atoms in a particular atomic state after atoms exit the interferometer depends on the rotation or acceleration of the optical platform relative to the inertial trajectory of atoms in vacuum. In some embodiments, the number of atoms in a particular state can be measured by a photodetector that detects fluorescence scattered by atoms resonant with one or more detection laser beams. In some embodiments, detection beam 114 is used to detect fluorescence scattered by atoms. In some embodiments, detection beam 114 is used to detect absorption of atoms resonant with the detection laser beam. An angle θ is introduced between the detection beam 114 and atomic beam 104 to provide velocity selectivity in the detected atom signal via the Doppler shift associated with the detection beam wave-vector and the atomic velocity. This reduces the spread in atomic velocities which are effectively detected such that contrast is maintained for larger input accelerations in comparison to a sensor with no velocity selective detection beam. For example, the Doppler effect is used by angling the detection beam 114 with respect to the atomic beam 104 after the interferometer sequence Raman beam 108, Raman beam 110, and Raman beam 112 in order to decrease the longitudinal velocity width of atoms contributing to the signal. When the detection beam is tilted into the atomic beam direction by an angle θ, the fluorescence resonance condition for atoms with different velocities are shifted by Δf=v/λ sin θ and the width of the atomic beam velocity distribution is decreased. In some embodiments, more than one detection beam is used to detect atoms. FIG. 2 is a block diagram illustrating an embodiment of an atomic interferometer. In some embodiments, atomic interferometer 100 is used to implement each of the two counter-propagating atomic interferometers of FIG. 2. In the example shown, atom source A 202 and atom source B 218 output atomic beams that traverse along the long axis of the sensor. The beam separation in FIG. 2 is exaggerated (e.g., the beams are approximately spatially overlapping). Atom source A 202 and atom source B 218 are produced by heating a source of appropriate atoms to form a vapor. Any atoms with transitions amenable to atomic physics techniques using available lasers may be used (e.g., alkali atoms such as cesium, rubidium, etc.). The vapor is collimated by a nozzle or array of collimating holes. Pump A 204 and pump B 220 are state preparation beams that put atoms into a desired quantum state. Probe A 212 and probe B 228 are detection beams that measure the number of atoms exiting the interferometer in a particular quantum state. In order to optimize the performance of the atom interferometer, for the case of atomic cesium the atoms are optically pumped into the F=3 hyperfine ground state into the mF=0 magnetic sublevel. The optically pumped atomic beam then enters the atom interferometer which is created using a sequence of three interferometer interrogation beams. The three sets of beams comprise the interferometer interrogation beams. The first set comprises beam 206 and beam 226. The second set comprises beam 208 and beam 224. The third set comprises beam 210 and beam 222. For example, interferometer interrogation beams are two-photon stimulated Raman transitions that are tuned to coherently split into a superposition of states and then recombine the atomic wave packets. At the output of the interferometer one can monitor the atomic population in either the F=3, mF=0 or F=4, mF=0 atomic states, again in the case of atomic cesium, by using a laser probe beam (e.g., probe A 212 or probe B 228) disposed at an angle θ with respect to the atomic beam from atom source A 202 and atom source B 218. An angle θ is introduced between the detection probe beam 212 and probe B 228 and atomic beam from source A 202 and atom source B 218 to provide velocity selectivity in the detected atom signal via the Doppler shift associated with the detection beam wave-vector and the atomic velocity. This reduces the spread in atomic velocities which are effectively detected such that contrast is maintained across larger accelerations. Laser probe A 212 and probe B 228 can be tuned to the F=4, mF=0 resonance to induce fluorescence proportional to the number of atoms in the F=4 state which can then be measured using a photodiode (e.g. collected by lens 214 and measured using PD1 216 or collected by lens 230 and measured using PD2 232). The photodiode current is converted to a voltage that can be digitized so that signal processing and filtering techniques can be applied to remove unwanted noise from the signal. Since the atoms entering the interferometer have been pumped into the F=3, mF=0 sublevel the F=4, mF=0 sublevel has nearly zero background and is therefore ideal for interference fringe detection. The number of atoms in the F=4 state at the output of the interferometer can be expressed as N4=N/2(1−cos(ϕ)) where N is the total number of atoms entering the interferometer after being optical pumped into the N=3, mF=0 state. The phase ϕ contains the laser phases and inertial sensitivity phase. In some embodiments, additional interactions are applied to increase the momentum transferred to the atoms and increase the interferometer sensitivity due to larger enclosed area. Output signals from photodiodes PD1 216 and PD2 232 measure the population of atoms in one or both atomic ground states using a probe laser beam via fluorescence or absorption. The number of atoms in either state is proportional to the fluoresced or absorbed power and the population in either or both states can be measured with one or more laser beams and one or more photodiodes. In various embodiments, the readout from atomic interferometer 200 uses the D2 atomic transition for Cesium, uses the D2 atomic transition for Rubidium, or any other appropriate atomic transition. Atomic interferometer 200 outputs a pair of photodetector signals (PD1, PD2) containing information about the atomic states of the atoms in the interferometer undergoing various inertial conditions. Note that the acceleration sense axis is parallel to the Raman laser beams and that the rotation sense axis is vertical for FIG. 2. Note that the position of photodiodes does not have to be as shown in FIG. 2, but instead needs only to be appropriate for collecting florescence or absorption. FIG. 3A is a graph illustrating an embodiment of the loss of signal and dynamic range of an atom interferometer experiencing accelerations that are typical of mobile environments. In the example shown, sensor output 304 shows the total response magnitude of an inertial sensor without an angled detection beam as a function of acceleration. Sensor output 304 is given by the cosine of the phase of the interferometer which includes the contributions from all inertial and other phase shifts. FIG. 3B is a graph illustrating an embodiment of why the signal and dynamic range in a sensor output of an atom interferometer are lost for accelerations exceeding ±1 g. In the example shown in FIG. 3B, the normalized output of an atom interferometer for accelerations for three different example velocities centered about v=220 m/s are shown in curve 306, curve 308, and curve 310. The ν−2 dependence of the inertial phase leads to sinusoidal responses that become drastically out of phase with each other for accelerations over 1 g. As a result, for large accelerations, the contribution to the total sensor output from different velocity components destructively interfere with each other. For example, without an angled detection beam, the effective width of the atomic beam velocity distribution addressed by the interferometer is on the order of 80 m/s. Curve 304 results from integrating the responses at particular velocities over the entire velocity distribution width of ˜80 m/s, centered about 220 m/s, for a Raman beam separation of L=6 cm. The output of the sensor is lost for accelerations exceeding ±1 g due to the destructive interference between the out-of-phase sinusoidal signals from different velocity components. Broader velocity distributions result in reduced sensor output and dynamic range. As a result, it is desirable to select narrow velocity ranges from the atomic beam for use within the atomic interferometer. FIG. 4 is a graph illustrating an embodiment of the active longitudinal velocity distribution of the atomic beam at different points in the atomic interferometer. In the example shown, curve 402 is the initial distribution out of the thermal atomic source with a width of ˜220 m/s. Curve 404 is the velocity distribution selected by the Raman beams. This velocity distribution has a width of ˜80 m/s. Without further velocity selection, the velocity distribution is too broad to allow measurements of acceleration greater than 1 g typical of mobile environments. The Doppler effect can be used in the detection phase of the interferometer sequence to further decrease the longitudinal velocity distribution width of atoms contributing to the signal. Nominally, the detection beam is orthogonal to the atomic beam propagation direction. Curve 404 is the velocity distribution when the detection beam and atomic beam are perpendicular. Curve 406 is the velocity distribution when a detection beam with angle ˜25 degrees is used. Curve 406 has a narrow velocity distribution width of ˜15 m/s and supports a dynamic range greater than +1 g. FIG. 5 is a graph illustrating an embodiment of the improvement in the dynamic range due to the disclosed method of velocity selection as a function of acceleration. In the example shown, the results for a velocity distribution width of 80 m/s in curve 504 are compared to a velocity distribution width of 15 m/s in curve 502 for a sensor baseline of L=6 cm. The 3 dB point of the sensor is extended from ˜0.4 g to ˜2 g, allowing for sensor operation with arbitrary direction with respect to Earth's gravity. FIG. 6 is a graph illustrating an embodiment of velocity selectivity of an atomic beam as a function of detection beam tilt angle for various beam intensities. In the example shown, curve 602 shows the velocity selectivity for a beam emitting at the saturation intensity. Curve 606 shows the velocity selectivity for a beam emitting at 10% of the saturation intensity, and curve 604 shows the velocity selectivity for a beam emitting at 4× the saturation intensity. In addition to varying the beam angle, changing the frequency of the detection laser beam allows optimization of different velocity classes. If the detection beam is tilted into the atomic beam direction by angle θ, the fluorescence resonance condition for atoms with different velocities are shifted by Δ ⁢ ⁢ f = v λ ⁢ sin ⁢ ⁢ θ . In order to optimize the interferometer signal, the detection laser frequency must be stabilized at the frequency corresponding to the velocity at the peak of the distribution (e.g., ˜220 m/s for Cs). The velocity selectivity of this method is ultimately limited by the natural linewidth γ0 of the detection transition, which is ˜6 MHz for the Cs D2 transition. The velocity selectivity is given by v = γ 0 ⁢ 1 + I / I sat ⁢ λ sin ⁢ ⁢ θ . For beam intensities I that are much larger than the saturation intensity (e.g., Isat=1.65 mW/cm2 for Cs), there is significant broadening and reduced velocity selectivity. Horizontal curve 608 indicates the target velocity selectivity of 15 m/s. For example, for a beam intensity of I=Isat, ˜25 deg tilt angle is sufficient to reduce the velocity width to 15 m/s with a corresponding frequency shift of 100 MHz. One disadvantage of the velocity selective detection is the decrease in the fluorescence signal due to the reduced number of atoms detected. However, the effects of the signal reduction on the signal to noise ratio (SNR) of the sensor are dependent on the details of the dominant noise source. There are several methods that can be utilized to maintain SNR and dynamic range simultaneously. In order for these methods to be effective however, care must be taken in sensor design to reduce detector noise floor and the photon shot noise due to any scattered laser light in order to be limited by the shot noise of the incoherent fluorescence background. This background is mainly due to atoms that are excited into the ground state (e.g., F=4 ground state of Cs) by one of the Raman beams, but do not contribute to the interferometer signal. For a given longitudinal velocity v, there is an optimal Raman laser intensity that drives the interferometer sequence. Thus, atoms with velocities that are significantly different from the mean velocity chosen by the Raman laser power have a significant probability of transitioning to the ground state (e.g., the F=4 state of Cs) by one of the Raman beams, but do not contribute to the interferometer signal. Since velocity selective detection suppresses fluorescence from atoms with velocities that are outside the selectivity window, as long as the noise floor is set by the fluorescence of these atoms, the decrease in the signal size is accompanied by a similar decrease in the noise floor, leading to minimal degradation of the sensor SNR. As shown in FIG. 6, the velocity selectivity is a function of detection power due to power broadening of the resonance width. Thus, the optical power in the detection beam can be dynamically tuned during operation to optimize SNR during low dynamics or to optimize dynamic range during high dynamics by increasing or decreasing the detection power, respectively. In some embodiments, two detection regions, one with angled detection beam and the other with a normal incidence detection beam can be used in series. This method requires a frequency shifting element such as an acousto-optic modulator to account for the appropriate Doppler shift between the two detection beams. In some embodiments, the steering mirror for the detection beam can be dynamically adjusted during operation to optimize SNR or dynamic range. This also requires a frequency shifting element and feedforward control to dynamically tune the frequency of the detection laser as the steering mirror changes angle to maintain the constant velocity addressing. FIG. 7 is a block diagram illustrating an embodiment of an atomic inertial sensor. In the example shown, atomic inertial sensor 700 is used to sense acceleration and rotation with respect to atomic beam direction {right arrow over (νn)}. For example, an atomic inertial sensor 700 measures acceleration a({right arrow over (νn)}) with respect to atomic beam direction {right arrow over (νn)} and rotation Ω({right arrow over (νn)}) with respect to atomic beam direction {right arrow over (νn)} and outputs a({right arrow over (νn)}) and Ω({right arrow over (νn)}). In some embodiments, a({right arrow over (νn)}) and Ω({right arrow over (νn)}) are also functions of k. Atom source 702 with beam direction {right arrow over (νn)} is sent to atomic interferometer 704. In some embodiments, atom source 702 is a thermal beam from an effusive oven. Atom source 702 can be produced by heating a source of appropriate atoms to form a vapor. Any atoms with transitions amenable to atomic physics techniques using available lasers may be used; for example, alkali atoms such as cesium, rubidium, etc. The vapor is collimated by a nozzle or array of collimating holes. In some embodiments, the beam is transversely cooled using laser-cooling techniques. Atomic interferometer 704 is comprised of counter-propagating atomic trajectories and outputs a pair of photodetector signals (PD1, PD2) containing information about the atomic states of the atoms in the interferometer. For example, three or more interferometer laser interactions such as two-photon stimulated Raman transitions are applied to the atoms, and the number of atoms in a particular atomic state after atoms exit the interferometer depends on the rotation or acceleration of the optical platform relative to the inertial trajectory of atoms in vacuum. The number of atoms in a particular state can be measured by a photodetector that detects fluorescence scattered by atoms resonant with one or more detection laser beams. In some embodiments, a processor 714 is configured to determine an inertial measurement based at least in part on the atom interference detected using the detection laser. For example, hyperfine energy level distribution sensor 706 converts the pair of photodetector signals (PD1, PD2) from atomic interferometer 704 into a pair of values (N1, N2) that are proportional to the number of atoms in the hyperfine energy state (e.g., hyperfine energy state of alkali atoms such as cesium, rubidium, etc.) and outputs them to phase pair calculator 708. Phase pair calculator 708 inputs values (N1, N2) from hyperfine energy level distribution sensor 706 and outputs a pair of atomic phases (φ1, φ2) which are used to calculate linear acceleration and rotation with respect to beam direction {right arrow over (νn)}. Linear acceleration calculator 710 inputs a pair of atomic phases (φ1, φ2) and outputs an estimate of linear acceleration a({right arrow over (νn)}). For example, linear acceleration calculator 710 returns an estimate of linear acceleration a({right arrow over (νn)}) that is proportional to the sum of the phases (φ1+φ2). Rotation calculator 712 inputs a pair of atomic phases (φ1, φ2) and outputs an estimate of rotation Ω({right arrow over (νn)}) with respect to atomic beam direction {right arrow over (νn)}. For example, rotation calculator 712 returns an estimate of rotation Ω({right arrow over (νn)}) that is proportional to the difference of the phases (φ1−φ2). FIG. 8 is a system diagram illustrating an embodiment for a velocity selective thermal atomic beam inertial sensor. In some embodiments, sensor head 852 of FIG. 8 is used to implement atomic interferometer 200 of FIG. 2. In the example shown, the system for a velocity selective thermal atomic beam inertial sensor uses FPGA controller 800 or any other suitable processor to control external circuit boards, atom source temperatures, atom photodiode readers, and calculate atom phases, rotation rates, and accelerations. Laser controller 802 controls temperature and current of laser of laser 2 804 and can be programmed through FPGA controller 800. Laser controller 812 controls temperature and current of laser of laser 1 814 and can be programmed through FPGA controller 800. Laser 1 814 is locked to F=4→5 Cesium D2 transition. Laser 2 804 is locked to laser 1 with a frequency offset of approximately 875 MHz. Optical splitter 816 and optical splitter 806 are a collection of fiber optics or planar light wave circuits that split and direct laser outputs. Atomic frequency offset stabilization 818 is a vapor cell-based spectrometer that provides an atomic reference and generates and error signal so that laser 1 is locked to Cesium 4→5 transition. Optical combiner 810 combines samples of laser light from laser 1 814 and laser 2 804 to generate beat note to provide a frequency offset measurement. Frequency offset stabilization 808 is a circuit that detects frequency offset and provides an error signal to laser 2 804 so that laser 2 804 can be stabilized with respect to laser 1 814. Modulator 820 and modulator 821 are lithium niobate waveguide modulators used to generate laser frequencies that cause optical pumping in state preparation process and generate the Doppler shifted detection beam frequency, respectively. Raman modulator array 826 is a lithium niobate waveguide modulator array used to generate frequency shifted sidebands on laser beams to create Raman transitions. State preparation (SP) beam former 830 and state preparation (SP) beam former 842 are an optical assembly that generates a state preparation laser beam nominally normal to the atomic beam. Detect beam former 832 and detect beam former 840 are an optical assembly that generates a detection laser beam where the detection beam is at an angle with respect to atom beam. Raman beam former 834, Raman beam former 836, and Raman beam former 838 are an optical assembly that generates a Raman laser beam. Raman mirror 848 is one or more retro-reflecting mirrors to retro-reflect the one or more Raman beams formed by Raman beam former 834, Raman beam former 836, and Raman beam former 838. Atom source 828 and atom source 844 generate beam of atoms. Photodiode (PD1) 850 and photodiode (PD2) 846 are each photodiode assemblies that receive atom fluorescence. Raman RF Generator 822 is a circuit board that generates RF signals for the Raman modulator array 826 to modulate the three Raman lasers generated using optical splitter 806 to produce Raman transitions of the atomic beams. State Prep RF Generator 824 is a circuit board that generates RF signals to prepare the quantum state of the atoms in the two atomic beams. Detection RF Generator 825 is a circuit board that generates RF signals to detect the population of atoms enabling the inertial measurement. FIG. 9 is a flow diagram illustrating an embodiment of a velocity selective thermal atomic beam inertial sensor. In the example shown in 900, two thermal atomic sources to provide two atomic beams are disposed. For example, an atomic source generates an atomic beam using an oven. In various embodiments, atomic source is a thermal beam from an effusive oven, a vapor loaded 2D magneto-optical trap, a laser cooled atomic beam, or any other appropriate atomic source. Atomic source can be produced by heating a source of appropriate atoms to form a vapor. Any atoms with transitions amenable to atomic physics techniques using available lasers may be used; for example, alkali atoms such as cesium, rubidium, etc. The vapor is collimated by a nozzle or array of collimating holes to form the atomic beam. In 902, a state preparation laser is disposed to provide a state preparation laser beam nominally perpendicular to each of the two atomic beams. For example, a state preparation laser is positioned such that a state preparation laser beam puts atoms from the atomic beam into a desired quantum state. In some embodiments, the state preparation beam simultaneously clears the F=4 ground state and optically pumps the F=3 ground state atoms into the mF=0 magnetic sublevel of Cs. The state preparation beam is kept orthogonal to the atomic beam in order to ensure all atoms irrespective of their velocities are cleared out of the F=4 ground state used for fluorescence detection. In 904, a set of Raman lasers is disposed to provide Raman interrogation laser beams that interrogate the two atomic beams to assist in generating atom interference. For example, a set of Raman lasers is positioned such that atomic interferometer interrogation beams comprising two-photon stimulated Raman transitions are tuned to coherently split atoms of an atomic beam into a superposition of states and then recombine the atomic wave packets. At the output of the interferometer one can monitor the atomic population in either the F=3, mF=0 or F=4, mF=0 atomic states by using a detection beam, which can be tuned to the F=4, mF=0 resonance to induce fluorescence proportional to the number of atoms in the F=4 state. The number of atoms in a particular atomic state after atoms exit the interferometer depends on the rotation or acceleration of the optical platform relative to the inertial trajectory of atoms in vacuum. In some embodiments, the number of atoms in a particular state can be measured by a photodetector that detects fluorescence scattered by atoms resonant with one or more detection laser beams. In 906, a detection laser is disposed to provide a detection laser beam, which is angled at a first angle to each of the two atomic beams to enhance dynamic range by enabling velocity selectivity of atoms used in detecting the atom interference. For example, a detection laser is positioned to provide a detection laser beam to detect fluorescence scattered by atoms resonant with one or more detection laser beams. In some embodiments, the detection beam is used to detect absorption of atoms resonant with one or more detection laser beams. An angle θ is introduced between the detection beam and the atomic beam to provide velocity selectivity in the detected atom signal via the Doppler shift associated with the detection beam wave-vector and the atomic velocity. This reduces the spread in atomic velocities which are effectively detected such that contrast is maintained across larger accelerations. For example, the Doppler effect is used by angling the detection beam with respect to the atomic beam after the interferometer interrogation sequence in order to decrease the longitudinal velocity width of atoms contributing to the signal. When the detection beam is tilted into the atomic beam direction by an angle θ, the fluorescence resonance condition for atoms with different velocities are shifted by Δ ⁢ ⁢ f = v λ ⁢ sin ⁢ ⁢ θ and the width of the atomic beam velocity distribution is decreased. FIG. 10 is a flow diagram illustrating an embodiment of a process for a velocity selective thermal atomic beam inertial sensor. In the example shown in 1000, two thermal atomic sources are provided to provide two atomic beams. For example, an atomic source generates an atomic beam using an oven. Atomic source is a thermal beam from an effusive oven. Atomic source can be produced by heating a source of appropriate atoms to form a vapor. Any atoms with transitions amenable to atomic physics techniques using available lasers may be used; for example, alkali atoms such as cesium, rubidium, etc. The vapor is collimated by a nozzle or array of collimating holes to form the atomic beam. In 1002, a state preparation laser is configured to provide a state preparation laser beam nominally perpendicular to each of the two atomic beams. For example, a state preparation laser generates a state preparation beam that puts atoms from the atomic beam into a desired quantum state. In some embodiments, the state preparation beam simultaneously clears the F=4 ground state and optically pumps the F=3 ground state atoms into the mF=0 magnetic sublevel of Cs. The state preparation beam is kept orthogonal to the atomic beam in order to ensure all atoms irrespective of their velocities are cleared out of the F=4 ground state used for fluorescence detection. In 1004, a set of Raman lasers are configured to provide Raman interrogation laser beams that interrogate the two atomic beams to assist in generating atom interference. For example, a set of Raman lasers is configured to generate interferometer interrogation beams comprising two-photon stimulated Raman transitions that are tuned to coherently split atoms in an atomic beam into a superposition of states and then recombine the atomic wave packets. At the output of the interferometer one can monitor the atomic population in either the F=3, mF=0 or F=4, mF=0 atomic states by using a detection beam 114 which can be tuned to the F=4, mF=0 resonance to induce fluorescence proportional to the number of atoms in the F=4 state. The number of atoms in a particular atomic state after atoms exit the interferometer depends on the rotation or acceleration of the optical platform relative to the inertial trajectory of atoms in vacuum. In some embodiments, the number of atoms in a particular state can be measured by a photodetector that detects fluorescence scattered by atoms resonant with one or more detection laser beams. In 1006, a detection laser is configured to provide a detection laser beam, which is angled at a first angle to each of the two atomic beams to enhance dynamic range by enabling velocity selectivity of atoms used in detecting the atom interference. For example, a detection laser is configured to generate fluorescence from atoms resonant with one or more detection laser beams. In some embodiments, the detection beam is configured to detect absorption of atoms resonant with one or more detection laser beams. An angle θ is introduced between the detection beam and the atomic beam to provide velocity selectivity in the detected atom signal via the Doppler shift associated with the detection beam wave-vector and the atomic velocity. This reduces the spread in atomic velocities which are effectively detected such that contrast is maintained across larger accelerations. For example, the Doppler effect is used by angling the detection beam with respect to the atomic beam after the interferometer interrogation sequence in order to decrease the longitudinal velocity width of atoms contributing to the signal. When the detection beam is tilted into the atomic beam direction by an angle θ, the fluorescence resonance condition for atoms with different velocities are shifted by Δ ⁢ ⁢ f = v λ ⁢ sin ⁢ ⁢ θ and the width of the atomic beam velocity distribution is decreased. In 1008, a processor is provided to determine an inertial measurement based at least in part on the atom interference detected using the detection laser. For example, a processor is provided to determine an inertial measurement based at least in part on the atom interference detected using the detection laser. In some embodiments, a hyperfine energy level distribution sensor converts the pair of photodetector signals (PD1, PD2) from atomic interferometer into a pair of values (N1, N2) that are proportional to the number of atoms in the hyperfine energy state F=4 (e.g., hyperfine energy state of alkali atoms such as cesium, rubidium, etc.) and outputs them to phase pair calculator. A phase pair calculator inputs values (N1, N2) from hyperfine energy level distribution sensor and outputs a pair of atomic phases (φ1, φ2) which are used to calculate linear acceleration and rotation with respect to beam direction K. Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, the invention is not limited to the details provided. There are many alternative ways of implementing the invention. The disclosed embodiments are illustrative and not restrictive.
description
This application claims Paris Convention priority of DE 10 2009 047 672.5 filed Dec. 8, 2009 the complete disclosure of which is hereby incorporated by reference. The invention concerns an X-ray optical configuration, comprising a position for an X-ray source, a position for a sample, a first focusing element for directing X-ray radiation from the position of the X-ray source via an intermediate focus onto the position of the sample, and an X-ray detector which can be moved along a circular arc of radius R around the position of the sample. An X-ray optical configuration of this type is disclosed in U.S. Pat. No. 6,807,251 B2 and in the leaflet Bruker AXS GmbH; Karlsruhe, Germany, “Diffraction Solutions D8 Advance” 2002. X-ray diffractometry may be used for diverse analytical tasks, in which different measuring geometries are used, e.g. Bragg-Brentano or parallel beam geometry. This requires, however, different X-ray optical elements in the optical path. In order to enable fast change between the various measuring geometries, it is desired to keep the necessary modification measures at a minimum level. U.S. Pat. No. 6,807,251 B2 discloses an X-ray diffractometer with a parabolic mirror for use of the diffractometer in parallel beam geometry, and a slotted plate with two slots, wherein one of the slots is used to delimit the X-ray beam in the Bragg Brentano geometry. The mirror and the slotted plate are rigidly connected to each other. A rotatable path selection disk with a further slot is disposed behind the aperture/mirror unit and can be rotated to select the X-ray beam (parallel or divergent) that is required for the corresponding geometry. DE 101 41 958 A1 discloses an X-ray diffractometer, in which the X-ray radiation can be guided in sections on different beam paths for a variety of tasks, wherein one of the beam paths extends in a straight line from the sample through an aperture system with adjustable and/or exchangeable apertures to the X-ray detector, whereas the other beam path is bent, extending initially from the sample position to a dispersive or reflecting X-ray optical element, and from there to the X-ray detector. The bent beam path can be collimated out with respect to the detector by means of the shutter. The aperture and the dispersive or reflecting X-ray optical element are adjusted in a rigid fashion with respect to each other and can be pivoted together with respect to the sample. Diffractometers are often not only used to measure samples in reflection geometry (such as e.g. powder samples) but also samples in transmission geometry (such as e.g. foils or capillaries). Towards this end, the X-ray optical configuration of conventional X-ray diffractometers must be manually modified as is schematically indicated e.g. in the mentioned leaflet of Bruker AXS GmbH on page 13 and 14, respectively. The modification of X-ray optical elements turns out to be disadvantageous in practice, since the modified X-ray optical elements must be readjusted each time. This is an extremely time-consuming process which must also be performed by qualified staff. Moreover, some of the X-ray optical components, such as e.g. focusing crystals or holders, must be exchanged in most cases, which requires careful storage of the currently not required X-ray optical components. The expensive X-ray optical components are therefore subjected to an increased risk of being damaged or even getting lost during modification and storage. In contrast thereto, it is the underlying purpose of the present invention to propose an X-ray optical configuration which facilitates change between reflection geometry and transmission geometry, in particular, in which modification and adjustment works are minimized or superfluous. This object is achieved in accordance with the invention in a surprisingly simple and also effective fashion by an X-ray optical configuration of the above-mentioned type, which is characterized in that the configuration also comprises: a second focusing element for directing part of the X-ray radiation emanating from the intermediate focus onto the position of the sample, and an aperture system for selecting between illumination of the position of the sample exclusively and directly from the intermediate focus (=first optical path) or exclusively via the second focusing element (=second optical path). The second focusing element splits the X-ray radiation emanating from the intermediate focus into two optical paths. The aperture system enables selection of the optical path that is required for illuminating the position of the sample for the respective measuring method. The optical path that is not required is thereby blocked by the aperture system. Switching over between transmission geometry and reflection geometry is thereby facilitated and no time-consuming modifications are required. Switching over is furthermore sufficiently simple that qualified staff are not required. In one preferred embodiment of an inventive X-ray optical configuration, the separation between the position of the sample and the intermediate focus corresponds to the radius R of the circular arc. In this embodiment, the first optical path is highly suitable for reflection measurements. The X-ray radiation has already been well focused on the detector, resulting in good intensity and measurement resolution. In another embodiment of an inventive X-ray optical configuration, a focus aperture is disposed in the optical path of the X-ray radiation, which has a separation from the position of the sample which corresponds to the radius R of the circular arc. The focus aperture is thereby disposed between the first focusing element and the sample position. This embodiment is mainly used when the intermediate focus is not on the circular arc extending at a radius R around the position of the sample but e.g. further away from the sample position. The focus aperture improves the intensity and the measurement resolution for reflection measurements, in particular, for the first optical path. In a further development of these embodiments, the X-ray radiation directly emanating from the intermediate focus or having passed the focus aperture is reflected by the sample, and is focused onto the circular arc. This enables reflection measurements on the sample. In a preferred embodiment of an inventive X-ray optical configuration, the X-ray radiation emanating from the second focusing element is focused onto the circular arc through the position of the sample. This enables transmission measurements with a permeable sample, such as e.g. polymer foils. In an alternative fashion, the sample can be optimally illuminated with the X-ray radiation emanating from the second focusing element. In another preferred embodiment of the inventive X-ray optical configuration, the circular arc on which the detector can be moved subtends an angle of at least 50°, advantageously at least 100°, and preferentially at least 140°. Normal measuring tasks in the pharmaceutical field can be covered by a region of 50° that can be swept by a detector. Larger angular ranges provide even greater universal use of the X-ray optical configuration. In one particularly preferred embodiment of an inventive X-ray optical configuration, the first and/or second focusing element is/are formed as Johansson monochromator or multilayer gradient mirror (Goebel mirror). These kinds type of monochromators or X-ray mirrors have proven to be advantageous in practice. One embodiment of the inventive X-ray optical configuration is particularly preferred, which comprises a motor for switching over between the first optical path and the second optical path. The motor moves at least part of the aperture system. The two optical paths normally have associated end stops. Motorization simplifies the switching over process. The motor may also be operated under computer control. The aperture system may alternatively also be switched over manually. In one further advantageous embodiment of an inventive X-ray optical configuration, the aperture width of the aperture system is variable for at least one of the two optical paths. In this fashion, the X-ray beam can be adjusted to the requirements of a specific experiment, in particular, with respect to the overall intensity. In one particularly preferred embodiment of the invention, one separate device for collimating the X-ray radiation is provided for each of the two optical paths. In this fashion, each of the optical paths can be collimated irrespectively of the other. Such a configuration is also relatively easy to realize, and switching over of the optical paths is easy. In one further preferred embodiment of an inventive X-ray optical configuration, one separate fixed aperture is provided for each of the two optical paths. This is also a configuration that is easy to realize. When the optical paths are switched over, the respective apertures are always in the correct position. In one particularly preferred embodiment of an inventive X-ray optical configuration, the aperture system has a slotted aperture block which can be rotated about an axis extending perpendicularly with respect to the circular arc plane, the body of the aperture block blocking the second optical path in a first rotary position, wherein the first optical path extends in the area of the slot of the aperture block, and in a second rotary position of the aperture block, the first optical path is blocked by the body of the aperture block, wherein the second optical path extends past the body of the aperture block. An aperture block of this type enables fast switching over between the two optical paths. No further movable parts are required. Rotation of the aperture block may be realized within a small space. The aperture block additionally acts as an aperture for the first optical path. In a suitable design, the aperture width may also be adjusted through variation of the angle of rotation. The block may also be rotated by a motor with simple constructional means. In an alternative embodiment of the invention, the aperture system comprises a slotted aperture which can be displaced between two sliding positions. One of the optical paths is thereby blocked by the body of the aperture in each of the two sliding positions and the respective other optical path extends in the area of the slot of the aperture. In this embodiment, switching over between the optical paths can be realized through simple displacement of the slotted aperture. Further advantages of the invention can be extracted from the description and the drawing. The features mentioned above and below may be used individually or collectively in arbitrary combination. The embodiments shown and described are not to be understood as exhaustive enumeration but have exemplary character for describing the invention. FIG. 1 schematically shows an inventive X-ray optical configuration 1. X-ray radiation is emitted by an X-ray source 2 with a source focus 2a, and is incident on a first focusing element 4 which initially focuses the X-ray radiation onto an intermediate focus 5. It is preferably positioned on a circular arc 7 with a radius R, on which a detector 6 is also movably disposed. Part of the X-ray radiation emanating from the intermediate focus 5 is directly incident, in the form of a first optical path 10′, on the position of the sample 3 where it is reflected by the sample and focused onto the circular arc 7. Another part of the X-ray radiation emanating from the intermediate focus 5 is initially incident on a second focusing element 8 and is focused from there in the form of a second optical path 10″ through the position of the sample 3 onto the circular arc 7. A switchable aperture system 9 thereby shades one of the two optical paths 10′ or 10″ depending on the measuring method. By way of example, shading by the aperture system 9 in FIG. 1 is selected such that the second optical path 10″ is shaded to prevent transmission 11″ through the sample. FIG. 2 schematically shows a rotatable slotted aperture block 9a as an embodiment of the aperture system 9. The aperture block 9a is thereby in a first rotary position with respect to an axis of rotation 12, in which position the X-ray radiation directly emanating from the intermediate focus 5 extends in the area of the slot of the aperture block 9a. It should be noted that the effective aperture width can be varied to a certain extent through rotation of the aperture block. The part of the X-ray radiation that is initially incident on the second focusing element 8 is thereby shaded by the body of the aperture block 9a. Only the X-ray radiation that follows the first optical path 10′ reaches the position of the sample 3 (not shown herein). FIG. 3 schematically shows the second rotary position of the rotatable slotted aperture block 9a. The aperture block 9a is thereby pivoted about the axis of rotation 12 compared to FIG. 2. The pivoting motion is realized by a motor 13. The body of the aperture block 9a thereby shades the optical path 10′ of the X-ray radiation directly emanating from the intermediate focus 5. The X-ray radiation extending via the second focusing element 8 can pass by the body of the aperture block 9a to the position of the sample 3 (not shown herein). FIG. 4 schematically shows an alternative embodiment of the aperture system 9. The aperture system is thereby designed in the form of a displaceable slotted aperture 9b. In the first sliding position of FIG. 4, the X-ray radiation directly emanating from the intermediate focus 5 extends in the area of the slot of the aperture 9b. The part of the X-ray radiation that is initially incident on the second focusing element 8 is thereby shaded by the body of the aperture 9b. In a second sliding position which is schematically illustrated in FIG. 5, the body of the aperture 9b then shades the optical path 10′ of the X-ray radiation directly emanating from the intermediate focus 5. The part of the X-ray radiation that is initially incident on the second focusing element 8, i.e. is associated with the second optical path 10″, extends in the area of the slot of the aperture 9b in this sliding position. FIG. 6 shows a further embodiment. In this case, each of the two optical paths 10′, 10″ has a fixed aperture 9c′, 9c″ and a shading device 9d′, 9d″. In the setting of FIG. 6, the first optical path 10′ initially extends from the intermediate focus 5 through the aperture 9c′ and then past the open shading device 9d′ towards the position of the sample 3 (not shown). The second optical path 10″ initially extends from the intermediate focus 5 through the aperture 9c″, is then incident on the second focusing element 8 and is then shaded by the shading device 9d″. FIG. 7 shows the embodiment of FIG. 6 with inversely switched shading devices 9d′ and 9d″. The first optical path 10′ thereby extends from the intermediate focus 5 through the aperture 9c′ and is shaded by the shading device 9d′. The second optical path 10″ extends from the intermediate focus 5 through the aperture 9c″, is then incident on the second focusing element 8 and then extends past the shading device 9d″ towards the position of the sample 3 (not shown). In addition to the operational positions shown in FIGS. 6 and 7, both shading devices 9d′, 9d″ may e.g. block the respective optical path 10′, 10″. 1 X-ray optical configuration 2 X-ray source 2a source focus 3 position of the sample 4 first focusing element 5 intermediate focus 6 X-ray detector 7 circular arc with radius R 8 second focusing element 9 aperture system 9a rotatable slotted aperture block 9b displaceable slotted aperture 9c′ fixed aperture for the first optical path 9c″ fixed aperture for the second optical path 9d′ shading device for the first optical path 9d″ shading device for the second optical path 10′ first optical path 10″ second optical path 11′ reflection by the sample 11″ transmission through the sample 12 axis of rotation of the rotatable slotted aperture block 13 motor
052084620
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 1 illustrates solid state optical source 10 with phosphor coating 12. Phosphor coating 12 is positioned on or near a light emitting surface of optical source 10. Lens 14 is positioned on top of or near phosphor coating 12 so that it can capture light emitted by the coating. Solid state optical source 10 can be a Light Emitting Diode (LED) or laser diode. Diodes such as InGaAs diodes or GaAs diodes may be used. The light emitted by optical source 10 is absorbed by phosphor coating 12 and is then readmitted as a wider bandwidth light which is collected and focused by lens 14. Lens 14 can be used to interface to an optical fiber or to a filter that facilitates wavelength multiplexing. FIG. 2 illustrates the narrow bandwidth of a solid state optical source that does not have a phosphor coating. Axis 20 indicates the relative intensity of the light and axis 22 indicates the wavelength of the light in nanometers. The figure illustrates a typical GaAs diode with a center wavelength of approximately 950 nm and a FWHM band width of approximately 40 nm. FIG. 3 illustrates the bandwidth of a solid state optical source with a phosphor coating. Axis 28 illustrates the relative intensity of the light and axis 30 illustrates wavelength in nanometers. The curve shows that the light emitted by the phosphor coating has a bandwidth of approximately 200 nm. It should be noted that the bandwidth has been increased at the expense of decreasing the maximum relative intensity. Solid state optical source 10 can be driven in any convenient manner. It can be driven with a DC signal or with a pulsed signal. In the case of a pulsed signal, the repetition cycle should have an undriven period that provides sufficient time for the phosphor coating to reduce its emissions. Phosphor coating 12 can be of any type that is sensitive to the light emitted by the solid state optical source. Phosphor coating 12 should have an emission curve with a desirable center line wavelength and sufficient FWHM bandwidth for the particular application. It is preferable to use a phosphor of calcium sulfate (CaS) which has been doped with ytterbium (Yb) or a phosphor of strontium sulfate (SrS) which has also been doped with Yb. FIG. 4 illustrate a sensitivity curve which is desirable for a phosphor matched to the output of an InGaAs diode. Axis 40 indicates relative sensitivity and axis 42 illustrates wavelength in nanometers. In this example, it should be noted that the center wavelength is positioned near 1550 nm which is the wavelength emitted by the InGaAs diode. FIG. 5 illustrates a desirable emission curve. Axis 48 illustrates relative intensity and axis 50 illustrates wavelength in nanometers. It should be noted that the center wavelength of the emission curve is at approximately 650 nm and that the FWHM bandwidth is approximately 200 nm. It is also desirable to use phosphors having an emission curve with a center wavelength that is between approximately 600 nm and approximately 900 nm with a FWHM bandwidth that is between 100 nm and approximately 200 nm. A phosphor having characteristics similar to those shown in FIGS. 4 and 5, or another type of phosphor with a sensitivity curve and emission curve for a particular application can be obtained from Quantex which is located at 2 Research Court, Rockville, Md. 20850 (410 258-2701). The phosphor is typically in the form of a chalk like substance. This substance can be sliced into a thin slice and than glued to the surface of solid state optical source 10. It is also possible to grind the phosphor to a powder which is mixed with an epoxy and then applied to the light emitting surface of solid state optical source 10. In either case, the thickness of phosphor coating 12 should be sufficiently thick that it captures most of the energy emitted by the optical source while not being so thick that it blocks an unacceptable amount of the optical energy that is reemited. A typical thickness is on the order of 0.05 cm. When the phosphor is ground and mixed with an epoxy, the epoxy should be chosen such that it is nearly transparent to the wavelength emitted by both the solid state optical source and the phosphor. It is preferable to use a Kurt Lesker model KL-320K epoxy or a Devcon two part epoxy.
description
This patent application is based on and claims priority benefit under 35 U.S.C. §119(e) of U.S. Provisional Patent Application No. 60/801,038, filed May 16, 2006, the contents of which are incorporated by reference herein in their entirety. The present invention relates to systems and methods for diagnostic analysis, and, more particularly, to systems and methods for the diagnosis of undesirable events and/or lack of desirable events representing product or process malfunctions. Products and processes, including machines, generally perform at least one of four functions related to energy. They can convert energy, transmit energy, contain energy or direct energy. Recognizing that energy can be either destructive or useful, there are generally five energy paths in and out of a specific energy function. These energy paths include: (i) the path of input energy used or purchased to achieve the energy function, (ii) the path of output energy for performing useful work, i.e., the work the machine was intended to perform, (iii) the path of waste energy, or energy loss, typically a function of the second law of thermodynamics, while attempting to perform the useful work, (iv) the path of any input signal energy used to direct other energy paths, and (v) the path of any external input energy from the environment. At times, a machine product or process may generate energy losses or leaks that manifest themselves in the form of vibrations, noise, fluid leaks, overheating or wear. For these energy leak problems, conventional diagnostic and measurement systems typically measure the waste energy itself by measuring the magnitude of vibrations or noise, the leak rate, the time to overheat or the amount of wear. For example, using a traditional approach for diagnosing the cause of a product or process malfunction, the presence of an undesirable event (or the lack of a desirable event), such as a fluid leak in a bolted flange and seal arrangement (FIG. 1), is detected and measured directly with respect to the magnitude of the leak in order to determine the feature or property of the particular component responsible for causing the event. As a result of using this direct measurement approach, two systems that do not leak would appear to have no difference with respect to their tendency to leak. Traditional approaches for determining the potential reliability of a product or process often expose a group of products or processes to a specific test environment and compare their performance to a requirement. This requirement is often in a “no failures allowed” format. The presence of an undesirable event, such as the fluid leak in the bolted flange and seal arrangement as described above, at any point during the test would be categorized as a failure. As a result, systems which do not experience a leak would appear to have no difference with respect to their tendency to leak and would therefore be thought of as reliable. Events can be catastrophic (e.g., something breaks) or they can be simple malfunctions. It is possible that a catastrophic failure at a component level can cause a malfunction at a system level. All events, whether catastrophic or malfunctions, are driven by four energy functions, and each of those four energy functions is in turn driven by individual features and/or properties of a product or process, or combinations thereof. Catastrophic events are traditionally difficult to measure because they have occurred in the past. Moreover, traditional methods, including those described above, often rely solely on an attribute measurement system for catastrophic failures (i.e., broken vs. not broken) providing little leverage to converge onto the root cause of the failure. Therefore, there is a long felt yet unmet need for systems and methods that use an energy function model to identify questions concerning a product or process malfunction, rapidly and easily answer those questions, and isolate the root cause of a malfunction event to a subset of the product or process represented by the energy function model. A series of the questions identified act as a progressive search to converge on the feature or property that can be changed or controlled to manage the energy responsible for the malfunction. These and other long-felt but previously unmet needs are addressed, at least in part, by various aspects of the present invention. In one of its primary aspects, the present invention provides systems and methods for identifying the cause of a product or process malfunction by measuring how the product or process is intended to perform in terms of the four basic energy functions and five energy paths. The systems and methods of the present invention convert malfunction events, which may otherwise be difficult to measure, into measurements of energy which are then used to contrast how the product or process is actually performing with how it is intended to perform. A variety of progressively convergent search methods can be applied to the contrast with the specific goal of identifying the key features or properties that control the critical energy functions corresponding to the malfunctions. Unlike the conventional approaches which only measure the magnitude of an energy leak itself, the systems and methods of the present invention may also measure input energy or useful work output energy to detect contrasts. One aspect of the present invention is an embodiment of a diagnostic method for determining a cause of an event in a product or process. In some embodiments of the present invention, the event comprises a malfunction event. The method provides a schematic of the product or process which can cover the narrowest scope known to contain the root cause of the event, or, if nothing is known, the entire product or process. The method also detects an energy function of the product or process according to how the product or process manages energy during operation. Generally, a product or process can direct energy, transmit energy convert energy or contain energy. With respect to the detected energy function, the method identifies a plurality of energy paths that may include an input energy path corresponding to the energy used or purchased to achieve the detected energy function, an output energy path corresponding to the performance of useful work, a waste energy path corresponding to energy loss, an input signal energy path and an environmental energy path. The method then selects, from the plurality of energy paths, an energy for measurement to detect a contrast between how the product or process is actually performing and how the product or process was intended to perform. The method may obtain these measurements through direct measurement of the selected energy or through indirect measurement of the selected energy via at least one of its component factors. Finally, the method conducts a progressive search on the contrast to identify a feature or property of the product or process responsible for causing the event. In different embodiments of this aspect of the present invention, the product or process may comprise prototype products or processes, or production products or processes. In the case of a prototype product or process, a feature or property identified by the method of the present invention may correspond to a design under consideration for which a contrast in the direct measurement of the malfunction event is not detected. In one embodiment of this aspect of the present invention, if no contrast is detected using the plurality of measurements for the first selected energy, a second energy may be selected for measurement from the plurality of energy paths. In yet another embodiment of this aspect of the present invention, the identified feature or property of the product or process may be changed or controlled to prevent the future occurrence of the event. In still another embodiment of this aspect of the present invention, the contrast between how the product or process is actually performing and how the product or process is intended to perform is detected by generating a plurality of energy measurements for a second product or process that is not experiencing a malfunction event. Another aspect of the present invention is an embodiment of a method for identifying evidence of deviation from a specification for a product or process. The method provides a schematic of the product or process which can cover the narrowest scope known to contain the root cause of the event, or, if nothing is known, the entire product or process. The method also detects an energy function of the product or process according to how the product or process manages energy during operation. With respect to the detected energy function, the method identifies a plurality of energy paths. The method then selects, from the plurality of energy paths, an energy for measurement to detect a contrast between how the product or process is actually performing and how the product or process was intended to perform. The method then generates the plurality of measurements for the selected energy. The method next compares the plurality of generated measurements to a respective target range of values and, based on the comparison, infers the existence of an alert condition for the deviation. Yet another aspect of the present invention is an embodiment of a method for ascertaining the reliability of a product or process. First, the method provides a plurality of samples of a given product or process and exposes those samples to an environmental stress. The method provides a schematic of the product or process which can cover the narrowest scope known to contain the root cause of the event, or, if nothing is known, the entire product or process. The method also detects an energy function of the product or process according to how the product or process manages energy during operation. With respect to the detected energy function, the method identifies a plurality of energy paths. The method then selects, from the plurality of energy paths, an energy for measurement to detect a contrast between how the product or process is actually performing and how the product or process was intended to perform. The method then generates the plurality of measurements for the selected energy. The method next compares the plurality of generated measurements of the plurality of exposed samples to identify a contrast and conducts a progressive search on the contrast to identify a feature or property of the plurality of samples that can be used to control the energy function that is not being achieved. The method also compares the plurality of generated measurements to a plurality of energy measurements of an unstressed product or process and, based on the comparison, infers the useable life of a similar unstressed product or process. Another aspect of the present invention is a method for diagnosing a cause of a malfunction event in a product or process. The method selects from a plurality of energy paths existing during operation of the product or process, a first energy for measurement to detect a contrast between how the product or process is actually performing and how the product or process is intended to perform. The method conducts a progressive search on the contrast to identify a feature or property of the product or process that can be sued to control an energy function that is malfunctioning. The identified feature or property can then be controlled or changed to prevent future malfunction events. Still another aspect of the present invention is a diagnostic computer system for determining a cause of an event in a product or process. The diagnostic computer system comprises a processor for detecting a first energy function of the product or process according to how the product or process manages energy during operation and for identifying, for the first energy function, a plurality of energy paths. The diagnostic computer system also comprises a user interface for accepting input from a user and for transmitting the input to the processor over a communications medium. The user selects from the plurality of energy paths, a first energy for measurement to detect a contrast between how the product or process is actually performing an how the product or process is intended to perform. The diagnostic computer system further comprises a sensor system operatively coupled to the processor for generating a plurality of measurements of the selected first energy, a storage device operatively coupled to the processor for storing the plurality of generated measurements, and a display for presenting a schematic of the product or process provided by the processor over the communications medium for viewing by the user. The display may also be configured to present graphic representations of the plurality of generated measurements. The user can operate the diagnostic computer system to conduct a progressive search on the contrast to identify a feature or property of the product or process responsible for causing the event. An additional aspect of the present invention is an embodiment of a computer-readable medium having stored thereon computer-executable program instructions for diagnosing a cause of an event in a product or process. When executed by a computer processor, the computer-executable program instructions cause the computer processor to perform several diagnostic steps. The method provides a schematic of the product or process which can cover the narrowest scope known to contain the root cause of the event, or, if nothing is known, the entire product or process. The method also detects an energy function of the product or process according to how the product or process manages energy during operation. With respect to the detected energy function, the method identifies a plurality of energy paths. The method then selects, from the plurality of energy paths, an energy for measurement to detect a contrast between how the product or process is actually performing and how the product or process was intended to perform. Finally, the method conducts a progressive search on the contrast to identify a feature or property of the product or process responsible for causing the event. A further aspect of the present invention is an embodiment of a computer system for training a user to diagnose and apply corrective action to a malfunctioning product or process. The computer system comprises a server with a processor for executing an interactive training program and a client computer coupled to the server via a communications medium. The training program comprises a plurality of downloadable training modules. When executed, the training program identifies a malfunction in a product or process, requires the user to diagnose a cause of the malfunction, and allows the user to download selected training modules. The downloadable training modules are downloaded from the server over the communications medium for access by the user at the client computer. At least one downloadable training module of the plurality of downloadable training modules trains the user to perform one of several tasks involved in diagnosing a cause of a malfunction in a product or process. The training program includes downloadable training modules that train users to (i) create a schematic of the product or process; (ii) label a plurality of functions performed by the product or process during operation of the product or process according to how the product or process manages energy; (iii) draw, for at least one function of the plurality of functions, a plurality of energy paths; (iv) connect at least two functions of the plurality of functions with respect to a “how” direction, an opposite “why” direction and a perpendicular “when” direction; (v) limit the scope of the schematic to at least one function known to contain a root cause of the event; (vi) select an energy path to measure to determine a contrast between how the product or process actually works and how the product or process is supposed to work; (vii) generate a plurality of measurements of the selected energy paths; (viii) select an alternative energy path for measurement if no contrast is detected; (ix) conduct a progressive search on the contrast to identify a property of the product or process that can be sued to control an energy function not being achieved; or (x) adjust the property to prevent the malfunction. Other embodiments, objects and advantages of the present invention will be apparent from the following description, the accompanying figures and the appended materials, which are incorporated herein by reference in their entirety. This description, including the figures and any material incorporated herein, describe embodiments that illustrate various aspects of the present invention. These embodiments are not intended to, and do not, limit the scope of the invention to particular details, but provide bases for supporting the claims to the invention. Methods described herein can be implemented using a computer system in an embodiment of the present invention. Furthermore, it may be useful to practice of the invention with the recited steps in a different order from the order provided in the listed methods. One aspect of the present invention relates to an embodiment of a method for use in a system for diagnosing the causes of product or process malfunctions. The diagnostic method can reveal potential risks of malfunction in a newly designed product or process. The methods and systems of the present invention use combinations of function models, which describe how a product is supposed to work, and energy accounting principles. The function models are drawn based on how the product or process is supposed to manage energy during operation. Generally, the function models include a box which represents the specific energy function the product or process is intended to perform, an arrow to the right of the box representing the input energy source, an arrow with text to the left of the box representing the useful work performed by the product or process, and an arrow below the box representing the energy losses, or leaks. FIG. 2A illustrates five possible energy paths for an energy function performed by a machine. These energy paths include: (i) the path of input energy used or purchased to achieve the energy function (E1) 201, (ii) the path of output energy for performing useful work, i.e., the work the machine was intended to perform (E2) 202, (iii) the path of waste energy, or energy loss, typically a function of the second law of thermodynamics, while attempting to perform the useful work (E3) 203, (iv) the path of any input signal energy used to direct other energy paths (E4) 204, and (v) the path of any external input energy from the environment (E5) 205. This method aids in the development of additional measurement systems, beyond the original which signaled the problem in the first place. These additional measurement systems are useful in applying a convergent strategy to narrow the search of potential causes of a malfunction, and in evaluating the malfunction risks of competing designs which do not demonstrate a difference with respect to direct measurement of the malfunction. Various embodiments of aspects of the present invention use function models to develop strategies for handling events such as malfunctions (e.g., energy leaks). FIG. 3 shows a flow chart of an embodiment of a diagnostic method for determining a cause of a product or process malfunction event, or other undesirable event, by characterizing how the product or process manages energy. Alternatively, the method may diagnose a cause of the lack of a desirable event. At step 301, a user creates, or otherwise provides, a schematic of the product or process. At step 302, the user labels or assigns each function performed in the operation of the product or process within the scope of the schematic according to how it manages energy. Each energy function includes one of directing energy, transmitting energy, converting energy or containing energy. For each of these energy management functions the user identifies, or labels, the appropriate energy paths at step 303. The energy paths may comprise (i) the path of the input energy used or purchased to achieve the energy function, (ii) the path of the output energy performing the useful work, (iii) the path of energy losses, (iv) the path of any input signal energy, or (v) the path of any input environmental energy. At step 304, the user connects, or associates, each energy function in relation to each other on the schematic. The connecting of energy functions will relate to a “how” direction (e.g., right), an opposing “why” direction (e.g., left) and a perpendicular “when” direction (e.g., up and down). If appropriate, at step 305 the user limits the schematic to the narrowest scope known to contain the root cause of the malfunction. If nothing is known, the schematic will contain the entire product or process. From the energy paths previously drawn, the user, at step 306, selects an energy for measurement for one of the identified energy functions to show how a product or process is actually performing in contrast to how that product or process was intended to perform. These measurements can be ranked by input energy, output energy, waste energy, signal energy or environmental energy. Alternatively, or additionally, the measurements can be ranked according to contrast criteria specific to the operation of the product or process. At step 307, the user generates a set of energy measurements either directly (i.e., Joules) or indirectly, through its component factors such as force through a distance. The energy measurements may be displayed on a display device such as, though not limited to, a computer monitor or a printer to aid the user in using the measurements to identify a contrast. The energy measurements may also be stored on a computer data storage device. At step 308, following the measurement based on the selected energy path, a user determines whether a contrast has been found. If no contrast is found, the user proceeds back to step 306 to select an alternative energy path for measurement. At step 310 the user conducts a progressive search on the contrast to identify a feature or property of specific components of the product or process that is responsible for the malfunction. Once identified, the user may, at step 311, correct and/or control the appropriate feature or property to prevent future malfunction and control the energy action not properly being achieved. In one embodiment of the present invention, the contrast between how a product or process is actually performing and how it is intended to perform is identified by making a similar set of energy measurements of a second product or process that is not malfunctioning (309), rather than identifying the contrast within various measurements of the malfunctioning product or process itself. In yet another embodiment of the present invention, the measurements are made on prototype products or processes, rather than production products or processes. In this embodiment, the features or properties identified refer to competing designs under consideration that do not show any difference in the direct measurement of the malfunction. FIG. 4 illustrates an example of a schematic for diagnosing the cause of a malfunction in a rotating pump using the methodology described above with respect to FIG. 3. Using the method outlined above, in the example of a fluid leak in a bolted flange and seal arrangement (FIG. 1), an energy function model of how the arrangement performs its intended function of containing fluid is provided (FIG. 2B). The energy paths of the input energy 211 (i.e., bolt torque through some angle of turn), output energy 212 (i.e., compression of a seal), and waste energy 213 (i.e., friction in the threads) are identified and quantified. The possible energy measurements are considered based on their ability to show a contrast between how the system is actually performing relative to how it is supposed to perform. In this example, the input energy is chosen to reveal this contrast. The input energy measurements can be represented graphically as shown in FIG. 5A, allowing for the calculation of spare energy stored in the compressed seal. The spare energy is represented as the area under the torque×displacement curve from the point at which the system stops leaking to the minimum torque limit, resulting in an estimate of the system's ability to resist the specific malfunction at hand, leakage. By measuring the input energy (i.e., torque and angle of turn), it is also possible to see the effects of the various components of the system, such as friction in the threads as the bolt is run down, compression of the seal and stretching of the bolt. This measurement can be used to contrast the variability between similar systems, none of which have actually leaked under the specified torque requirements. FIG. 5B shows the measurements of a poorly performing system. Using this now apparent contrast, a progressive search can be conducted to determine the feature or property which is the true cause of the variation of spare energy. Once identified, this feature can be changed or controlled to prevent similar products or processes from experiencing this malfunction. Neither of the two systems shown in FIGS. 5A and 5B experienced a leak at the required torque value, thus detection of a difference with conventional approaches (i.e., leak or no leak) would not have been possible. In another embodiment of an aspect of the present invention, a method for identifying evidence of deviation from a specification in a product or process, such as a manufacturing process, is provided. This deviation may give rise to an alert condition in the product or process. An alert condition can be any condition recognized for the product or process as one that may trigger an observation or other response from an entity with responsibility for at least some aspect of the process. An alert condition may be inferred, for example, if a deviation exceeds a defined threshold based on a preselected rule. The rule may vary depending upon the input energy required to achieve a specific function. For example, for a product with a bolted flange seal arrangement, the rule may say that the test limits of the input bolt torque and angle of turn required to turn off a fluid leak are below a certain energy threshold, thus assuring adequate spare energy to resist malfunctions once put into application. Products not meeting the minimum specification can be rejected, and attention can be given to determining the feature or property of the specific component responsible for the rejection using the process outlined below. FIG. 6 shows a flow chart of an embodiment of a method for identifying evidence of deviation from a specification for a product or process. At step 601 a user creates, or otherwise provides, a schematic of the product or process within the narrowest scope known to contain the root cause of the malfunction. If nothing is known, the schematic will contain the entire product or process. At step 602, the user labels or assigns each function in the operation of the product or process within the scope of the schematic according to how it manages energy. Each energy function includes one of directing energy, transmitting energy, converting energy or containing energy. For each of these energy functions the user identifies, or labels, at step 603, the appropriate energy paths. The energy paths may comprise (i) the path of the input energy used or purchased to achieve the energy function, (ii) the path of the output energy performing the useful work, (iii) the path of energy losses, (iv) the path of any input signal energy, or (v) the path of any input environmental energy. The user then connects, or associates, each energy function in relation to each other on the schematic. The connecting of energy functions will relate to a “how” direction (e.g., right), an opposing “why” direction (e.g., left) and a perpendicular “when” direction (e.g., up and down). From the energy paths previously drawn, the user selects, at step 604, an energy for measurement to show how a product or process is working in contrast to how that product or process is supposed to work. At step 605, the user generates a set of energy measurements either directly (i.e., Joules) or indirectly, through its component factors such as force through a distance. At step 606 the user compares each set of measurements to a respective target range of values and, at step 607, based on the comparison, infers the existence of evidence of an alert condition for the deviation. In an embodiment of this aspect of the present invention, a computer system may transmit a signal indicative of an alert condition for the deviation to notify the user that corrective action is needed. If an alert condition exists, the user can then take appropriate corrective action (608). Data relating to the existence of the alert condition may be stored on a computer data storage device or displayed on a display device including, though not limited to, a computer monitor or printer. FIG. 7 shows a flow chart of an embodiment of a method for ascertaining the reliability of products or processes exposed to an environmental stress. At step 701, a user creates, or otherwise provides, a set number of samples of a given product. At step 702, the user then exposes the sample products to the appropriate stresses and environments likely to be experienced during the products' intended applications, either through a series of tests or actual field use. At step 703, the user creates, or provides, a schematic of the product or process within the narrowest scope known to contain the root cause of the potential malfunction. If nothing is known, the schematic will contain the entire product or process. At step 704, the user labels or assigns each function in the operation of the product or process within the scope of the schematic according to how it manages energy. Each energy function includes one of directing energy, transmitting energy, converting energy or containing energy. For each of these energy functions the user identifies, or labels, at step 705, the appropriate energy paths. The energy paths may comprise (i) the path of the input energy used or purchased to achieve the energy function, (ii) the path of the output energy performing the useful work, (iii) the path of energy losses, (iv) the path of any input signal energy, or (v) the path of any input environmental energy. The user may then connect, or associate, each energy function in relation to each other on the schematic. The connecting of energy functions will relate to a “how” direction (e.g., right), an opposing “why” direction (e.g., left) and a perpendicular “when” direction (e.g., up and down). From the energy paths previously drawn, at step 706, the user selects an energy for measurement to show how a product or process is working in contrast to how that product or process is supposed to work. At step 707, the user generates a set of energy measurements either directly (i.e., Joules) or indirectly, through its component factors such as force through a distance. These measurements may be taken on prototype products or processes, or on production products or processes. At step 708, the user compares each set of measurements for all the exposed products tested to identify contrasts, or variations. At step 709, the user uses the measurements to conduct a progressive search on the contrasts to identify a feature or property of specific components of the product or process responsible for the malfunction. Once identified, the user may correct and/or control the appropriate feature or property to prevent future malfunction and may control the energy action not properly being achieved. At step 710, the user compares each set of measurements to energy measurements of an unstressed product or process and, at step 711, based on this comparison, infers the useable life of similar unstressed products or processes. Data relating to the inferred useable life of similar unstressed products or processes may be stored on a computer data storage device. This data may also be displayed on a display device including, though not limited to, a computer monitor or printer. Using the method outlined above, in the example of the fluid leak in the bolted flange and seal arrangement previously described (FIGS. 1 and 2B), the input energy 211 (i.e., bolt torque through some angle of turn), output energy 212 (i.e., compression of a seal), and waste energy 213 (i.e., friction in the threads) are identified and quantified. The measurements can be represented graphically as shown in FIG. 5A, allowing for the calculation of spare energy stored in the compressed seal and resulting in an estimate of the system's ability to resist the specific malfunction at hand, leakage. By measuring the input energy (i.e., torque and angle of turn), for example, it is also possible to detect the effects of the various components of the system, such as friction in the threads as the bolt is run down, compression of the seal and stretching of the bolt. This measurement can be used to contrast the variability between new and degraded systems (i.e., product at the start of the test and end of the test), none of which have actually leaked under the specified torque requirements. FIG. 5B shows the measurements of a poorly performing, degraded system. Using this now apparent contrast, a progressive search can be conducted to determine the feature or property which is the true cause of the variation. Once identified, this feature can be changed or controlled to prevent similar products or processes from experiencing this malfunction. Neither of the two systems shown in FIGS. 5A and 5B experienced a leak at the required torque value, thus detection of a difference with conventional approaches (i.e., leak or no leak) would not have been possible. Another aspect of the invention provides for systems and methods of training users to accomplish the methods described herein with respect to other embodiments of various aspects of the present invention. A training method presents portions of the diagnostic method with actual or theoretical examples of its use to groups of trainees in a conference room or classroom setting. Each trainee, either individually or in teams, is assigned a specific problem. The system then provides a qualified coach to guide the trainees through the solution of the problem. A written description of the diagnostic method as applied to the assigned problem is prepared which includes the detailed solution and the steps required to implement the solution by the trainee or trainee team to solve the problem. The qualified coach then reviews, in detail, the written description and interviews the trainee, either individually or as part of the team, to determine the depth of understanding of the diagnostic method. In one embodiment of this aspect of the present invention the training method is implemented in a computer system comprising a computer or computers coupled with a network wherein the trainee participates either interactively or through downloadable modules. In a preferred embodiment the computer system comprises a server with a processor for executing an interactive training program and a client computer coupled to the server via a communications medium. The training program comprises a plurality of downloadable training modules. When executed, the training program identifies a malfunction in a product or process, requires the user to diagnose a cause of the malfunction, and allows the user to download selected training modules. The downloadable training modules are downloaded from the server over the communications medium for access by the user at the client computer. At least one downloadable training module of the plurality of downloadable training modules trains the user to perform one of several tasks involved in diagnosing a cause of a malfunction in a product or process. The training program includes downloadable training modules that train users to (i) create a schematic of the product or process; (ii) label a plurality of functions performed by the product or process during operation of the product or process according to how the product or process manages energy; (iii) draw, for at least one function of the plurality of functions, a plurality of energy paths; (iv) connect at least two functions of the plurality of functions with respect to a “how” direction, an opposite “why” direction and a perpendicular “when” direction; (v) limit the scope of the schematic to at least one function known to contain a root cause of the event; (vi) select an energy path to measure to determine a contrast between how the product or process actually works and how the product or process is supposed to work; (vii) generate a plurality of measurements of the selected energy paths; (viii) select an alternative energy path for measurement if no contrast is detected; (ix) conduct a progressive search on the contrast to identify a property of the product or process that can be sued to control an energy function not being achieved; or (x) adjust the property to prevent the malfunction. In another embodiment of the present invention, a method for diagnosing a cause of a malfunction event in a product or process comprises conducting a progressive search on a contrast to identify a feature or property of the product or process that can be used to control an energy function not being achieved. The identified feature or property can then be corrected and/or controlled to prevent future malfunctions. The systems and methods of the present invention can be applied to catastrophic failures (i.e., something breaks or is permanently altered because of how energy was managed) as well as malfunction-type failures (i.e., the desired performance is not achieved). The systems and methods of the present invention can be applied at different scopes, from entire systems, to components that make up a system, to individual features or properties of a product or process. In various embodiments of the present invention, the energy measurements described herein are stored in a computer data storage device. In various embodiments of the present invention, methods described herein may also be performed by a computer with or without a user. FIG. 8 provides a schematic of a diagnostic computer system for determining a cause of an event in a product or process according to the methods of the present invention. The diagnostic computer system comprises a processor 801 on a server 800 for detecting a first energy function of the product 807 or process 808 according to how the product 807 or process 808 manages energy during operation and for identifying, for the first energy function, a plurality of energy paths. The diagnostic computer system also comprises a user interface 802 for accepting input from a user (e.g., a keyboard) and for transmitting the input to the processor 801 over a communications medium 803. The user selects from the plurality of energy paths, a first energy for measurement to detect a contrast between how the product 807 or process 808 is actually performing and how the product or process is intended to perform. The diagnostic computer system further comprises a sensor system 804 operatively coupled to the server 800 for generating a plurality of measurements of the selected first energy, a storage device 805 operatively coupled to the processor for storing the plurality of generated measurements, and a display 806 for presenting a schematic of the product or process provided by the processor over the communications medium for viewing by the user. The display 806 may also be configured to present graphic representations of the plurality of generated measurements. The user can operate the diagnostic computer system to conduct a progressive search on the contrast to identify a feature or property of the product 807 or process 808 responsible for causing the event. Systems according to the present invention, such as are shown in FIG. 8, may comprise any type of conventional computer system and operating system. The aspects of the present invention may be practiced using any suitable, conventionally available input, display and data storage devices and may also include an optional communications access device such as a modem, network interface card or port, or wireless transmitter for providing computer-to-computer communication capabilities. It may further involve a web server that would provide connectivity to a network such as an intranet, extranet, or the Internet, allowing for remote access to the software supporting the methods of the present invention. In such a case, a client device may run any suitable web browsing programs or other software that would permit a user to access the network. The system may also include additional software components that would allow a user to view data and information in a range of formats. The instruction set that is used to direct a system to perform functions according to the present invention may be present as software in memory or implemented as hardware or firmware. The instruction set may be written in any computer language or combination of languages selected by a service provider, coder or programmer. The instruction set may also be a macro or template in a spreadsheet, or a custom-designed and implemented application. A service provider may also choose to implement the invention as an applet within a web page. Other suitable approaches may be used. A service provider on a publicly-accessible site, location, or web page, or on a restricted-access site may host the invention. A user may, for example, access the software by running a web browser on a client device and entering a uniform resource locator (“URL”) corresponding to the web address of a server system, which may be running a web server which then allows access to the software application. While the invention has been shown and described with reference to particular embodiments, those skilled in the art will understand that various changes in form and details of the methods according to the present invention may be made without departing from the spirit and scope of the invention. All aspects of this invention that involve recording, transmitting, modifying, updating, manipulating, calculating, displaying and reporting information, and all other associated processing, can be performed on one or more computing devices that may be coupled by one or more networks, which may be the public internet, wide-area and/or local networks, public and/or private. Specific arrangements and embodiments described above provide examples of the principles covered by the appended claims and their equivalents, but also include many other embodiments and variations, as well as objects and advantages, that may not be explicitly described in this document but that would nevertheless, be appreciated by those skilled in the field of this invention. For example, but without limitation, structural or functional elements might be rearranged, or method steps reordered, consistent with the present invention. Similarly, processors or databases may comprise a single instance or a plurality of devices coupled by network, databus or other information path. Similarly, principles according to the present invention, and systems and methods that embody them, could be applied to other examples, which, even if not specifically described here in detail, would nevertheless be within the scope of the appended claims.
summary
summary
058928090
abstract
A system for local excitation by monochromatic X-rays having a plurality of x-ray sources and a plurality of focussing diffractors to selectively monochromatize X-rays and focus them on a particular region of a sample to be analyzed. The X-ray source for each diffractor is selected from a plurality of closely-spaced sources by displacement of the focal spot of an electron beam that produces the X-rays. Each diffractor is prealigned to focus X-rays generated by the electron beam onto a common region of the sample. Each diffractor comprises a toroidally shaped crystal structure, which, along with the appropriate source and the common region of the specimen satisfies the Johann or Johansson geometric conditions within a plurality of planes containing both the source of X-rays and the focused X-ray image. Application of the system for local excitation to X-ray fluorescence analysis is described.
056195486
abstract
A monochromator positioned in the path of a plurality of X-rays to simultaneously impinge the plurality of X-rays onto a thin-film at various angles of incidence, typically greater than a critical angle .psi..sub.c. The monochromator may be cylindrically or toroidally shaped, defining two focal areas with a source of X-rays positioned at the first focal point and a sample containing the thin-film layer positioned at the second focal point. A position sensitive detector is positioned to sense monochromatic X-rays reflected from the thin-film and produce a signal corresponding to both intensity and an angle of reflection of the monochromatic X-rays sensed. A processor is connected to receive signals produced by the detector to determine, as a function of intensity and angle of reflection of the monochromatic X-rays impinging on the detector, various properties of the structure of the thin-film layer, including the thickness, density and smoothness.
summary
046481060
description
DETAILED DESCRIPTION An X-ray lithography system 10 incorporating the invention is seen in FIG. 1. An exposure chamber 11 is formed by cylindrical walls 12 and an inwardly extending bottom flange 9 forming an exit 6. X-rays, illustrated by rays 14 emanating from an X-ray source or target 13 in a source vacuum chamber, through a beryllium window 7 in an exposure chamber top closure 5. The particular design of the chamber 11 or the vacuum chamber containing source 13 is not critical to this invention other than the relationship of the exit and flange or other equivalent structure with the mask and mask holder, respectively. A supply of helium or other gas which does not attenuate the transmission of X-rays enters chamber 11 through upper inlet 4 remote from the mask. The purity of the helium must be kept high to reduce the X-ray exposure time to a minimum and wafer printing production to a maximum. As an example, during transmission through a 25 cm thick column of helium, Al characteristic X-rays at 1.5 KeV are attenuated 5% given a helium purity of 99.90% and are attenuated 42% given a helium purity of 99.00%. The assumed contaminant would be air in each case. For Pd characteristic X-rays, the attenuation for the same two levels of helium purity would be about 1% and 7% respectively. The amount of helium gas used during wafer processing must be minimized from an economic standpoint. A mask holder 15, typically of the type shown in the related application of Anwar Husain referenced above, abuts at a thick peripheral portion 16 with the underside of flange 9. A silicone rubber or other resilient soft seal (not shown) is placed between these surfaces to prevent ingress or egress of gases. A mask 17 having a relatively thin i.e. about 2 to 8 microns in thickness mask membrane 18, having the desired print pattern as is known in the art, is adjustingly held in a central aperture of the holder in the manner shown in the Husain application. A wafer chuck 19 mounts on its top surface a semiconductor substrate or wafer 20 on which various electronic circuit patterns may be irradiated and fixed on a coating of X-ray resist material, as is known in the art. The wafer and mask membrane are accurately aligned with respect to each other, as set forth for example in the related Novak application, and gapped so as to be in parallel planes with a prescribed vertical gap therebetween typically of about 40 microns. A helium vent tube 21 of reversed U-shaped configuration is provided within chamber 11 to prevent a pressure differential across mask membrane 18. The helium vents to the exterior of the chamber through the tube 21 at near the minimum velocity required to prevent back diffusion of oxygen or nitrogen molecules into the vent tube through end orifice 23. The tube and any orifices along it are the minimum diameter necessary to prevent any back flow or diffusion, but are not so small as to cause significant deflection of the membrane 18. Significant deflection would otherwise change or distort the gap distance between or across the mask membrane and the wafer and would result in mask-to-wafer registration errors. Typically, the maximum deflection allowed is in the range of 1 to 5 microns, depending on the criticality of the concerned wafer processing step. If both the mask and wafer are flat and can be positioned in parallel, all features on the mask will be faithfully positioned on the wafer. A gap dimension which varies across the wafer will cause runout-placement errors. The simplest non-flat shape to consider is the parabola shown in FIG. 4. The relation between the terms is ##EQU1## Where, .DELTA./r.sub.o.sup.2 is essentially the curvature of the gap, .DELTA. is the height of the spherical dome whose base has radius r.sub.o, assuming perfect placement of the alignment marks at radius r.sub.1 and .DELTA.e is the placement error incurred at radius r. The curvature introduced by a pressure differential across a membrane is given by .DELTA./r.sub.o.sup.2 =P/(4St). For a typical initial stress, S, of 10.sup.8 dynes/cm.sup.2, a thickness, t, of 4 .mu.m, and a pressure differential, P, of 10 dyne/cm.sup.2, then .DELTA./r.sub.o.sup.2 =0.33 .mu.m/cm.sup.2. For r.sub.o =5.0 cm, .DELTA. then equals 8 microns. Letting r.sub.1 =3.0 cm, the maximum error in alignment (.DELTA.e) is then 0.08 microns, which is an acceptable value for wafer diameters of 10 cm. The helium gas is introduced at the top of the chamber through inlet 4 and since the gas has a lower density than the air or air contaminated helium, the contaminated cas tends to settle downward toward the bottom of the chamber. Therefore, the interior end 22 of the vent tube 21 is as close as possible to the mask membrane surface 18, or the lowest point in the chamber (if lower than the mask). Thus the most contaminated gas is vented out of the chamber. A silicone rubber seal 27 at the top of the mask 17 is used to prevent helium contamination from the gases exterior to the mask. Since there is a density difference between helium and air, and since there must be zero pressure differential across the mask membrane, a slight positive pressure exists at all levels above the mask within the chamber. Because of this density difference, the exterior end or orifice 23 of the vent tube must be near or approximate the level of the mask surface. The vertical height of the end of the tube can be "tuned" to vary the pressure on the top side of the mask and hence to zero the differential across the mask by providing a screw threaded extension or sliding adjustable end on the tube end 23. This tuning phenomenon follows hydraulic principles common when dealing with liquids. The densities of N.sub.2 (air) and helium at 0.degree. C. and 760 torr are 1.25 grams/liter and 0.18 grams/liter respectively. The difference is 1.07.times.10.sup.-3 grams/cm.sup.3. Thus a 1 cm height change of the exterior end of the helium vent tube corresponds to a pressure change of 1.07.times.10.sup.-3 grams/cm.sup.2 or about 1 dyne/cm.sup.2. Using this phenomenon, the pressure differential at the mask membrane (here assumed to be 10 dynes/cm.sup.2) can be approximately compensated for by raising the exterior end of the tube 10 cm. This represents one of several means available for mask pressure compensation Along the tube 21 horizontal section exterior of the chamber 11 is a small chamber 24 containing an oxygen detector. This detector which can be a Model 320 AY manufactured by Teledyne Analytical Instruments is used to monitor the purity of the helium so that helium usage can be minimized. The height of tube 21 which can go up in direction or down is not critical. If the helium tube has an inside diameter of 6.0 mm, then the calculated flow rate, assuming a differential of 10 dynes/cm, is about 0.4 liters/minute. This corresponds to a helium velocity in the of 20 cm/sec. which is sufficient to prevent back-streaming (or back diffusion) of ambient air through orifice 23 to chamber 11. The normal sequence is as follows: after the mask 17 has in holder 15 and is in place against the seal the helium is turned on at a relatively high flow rate typically about 8 liters/minute. When the purity reaches a preset value, a low helium flow of the order of 0.4 liters/minute is set to maintain this purity. If minor leakage is present or if greater pressure differential is allowed, the flow rate may be significantly higher, for example up to 4.0 liters/min. depending on the specific embodiment. An analog signal from the oxygen detector can be output and used by a service technician to optimize the low flow rate if required. Thus the helium is vented to the atmosphere, but in such a manner as to minimize the helium use and contamination level. The helium pressure just above the mask membrane is adjusted relative to the pressure existing in the mask-to-wafer zone 3 to minimize the pressure differential between the top and bottom surfaces of the mask membrane. Control of the pressure on the underside of membrane 18 in and around mask-to-wafer zone 3 is effected by various means. The gas used in this zone is termed a wafer fabrication process gas since it effects the printing quality on the semiconductor wafer. The process gas may be a nitrogen gas containing 0.3% oxygen, as taught by the U.S. Pat. No. 4,185,202. Process gas enters via the gas inlet 31 in chuck 19 to provide the proper atmosphere around the periphery of the wafer and in the mask-to-wafer gap in zone 3. A circular gas flange 25 is interposed between a peripheral extension 19a of chuck 19 and the underside of mask holder 15 leaving gaps 26a and 26b, respectively, therebetween. A silicone rubber seal 27a is used to prevent contaminating air passing through the gap between chuck 19a and gas flange 25 to zone 3. No seal can be placed between the gas flange and mask holder frame in gap 26b. Such a seal would endanger the pressure balance across the mask membrane. Instead, a long thin path indicated by 26b in the FIG. 2 is provided to allow the purging gas to escape to the outside, without a detectable pressure buildup affecting the mask membrane position i.e. preventing deflection. Gap 26b is of a value of about 10 to about 1000 microns. As in the case of the helium vent tube, to prevent contamination, the gas must flow at a rate which is faster than the back diffusion rate for air, and in this case, the flow must be sufficiently uniform so that no atmospheric contamination is drawn into the wafer zone during wafer exposure to the X-rays. Generally, a higher flow rate of about 5 liters/minute is used initially, as the wafer is being brought toward the mask. At this time, the gap is large between the mask and wafer, and the air, which is initially present, is swept away. The flow rate is reduced after the nominal mask-to-wafer gap is established to about 1.0.+-.0.5 liters/minute. This technique becomes especially important in a step and repeat type alignment system where the atmosphere in the mask-to-wafer zone must be maintained during the step and repeat motion of the wafer relative to the mask by movement of the chuck on an alignment apparatus, such as shown in my prior related application. Upstanding interdigitated embossments forming X-ray seals 28-30 extend in a circular pattern on facing surfaces of the chuck peripheral edge 19a and the gas flange providing a tortuous path which prevents stray X-rays from around the periphery of the mask or mask membrane exiting from the apparatus. The bottom of seal 28 is at a level below the top of seals 29 and 30 and seal 28 is positioned midway of the chuck seals 29 and 30. Circular seal 27a is inboard of the X-ray seals. FIG. 2 shows a magnified cross-sectional view of the left side of FIG. 1 showing the placement of seals 27 and 27a and the relative size of the gaps between the various surfaces. With a mask-to-wafer gap of 40 microns the preferred gap spacing between the underside of mask holder 17 and the top of gas flange 25 is of the order of from 250 to 350 microns and gap 26b is of the order of 500 microns. The membrane 18 is affixed to a frame 17a of mask 17 for example as shown in the related Husain application. Frame 17a is sealed to holder 15 by the circular flexible seal 27. The gap 26a around the periphery of the mask and wafer tends to restrict the flow of process gas into the ambient and therefore a small pressure differential may exist between the chamber area 3 and the ambient. This in turn will cause the mask membrane 18 to deflect downward due to the pressure differential that results across the membrane. Control of the process gas flows for a given gap 26a can therefore be used to compensate for the downward mask deflection which may result from the helium flow into the chamber 11 as described previously. Therefore the complete tuning process is as follows. A tool or the system's optical alignment system can be used to measure the mask deflection from nominal. With the chamber 11 filled with helium and the volume 3 filled with process gas, using near normal, but uncalibrated flow rates, the flows are shut off to zero and the mask membrane 18 allowed to come to equilibrium. The sensor means used to measure the mask deflection is calibrated to zero. Next, the helium flow through inlet 4 is increased to that necessary to maintain the correct helium purity in the chamber 11. The process gas is then turned on and increased until any mask deflection is nulled. The process gas purity in volume 3 should be correct. The helium outlet 23 is fixed in the present embodiment at the mask membrane level. In an alternative embodiment, (for example, where gap 26a is changed) if the process gas flow must be increased to a greater level for the reasons of purity and would cause net upward mask deflection, then the helium vent outlet can be lowered to compensate for the expected deflection. The reverse is also true. That is, the mask downward deflection caused by reducing the process gas flow can be compensated for by raising the end of the helium vent outlet. However, this solution may increase the demand on the integrity of the seals 27 and 16 since the net positive pressure across the seals will be reduced. FIG. 3 illustrates an alternative embodiment (not designed for a step and repeat system) of the invention wherein the process gas pressure and purity is controlled by a circular silicone rubber seal 45 around the wafer and mask to prevent the influx of atmospheric gases into the mask-to-wafer zone 48 and a vent tube 46 is employed, similar to the helium vent tube described previously. This vent tube must have an exterior termination or end orifice 46a at the level of the mask. This is needed also, if there is any appreciable density difference between the process gas and ambient air, at the same pressure. This density difference will tend to promote vertical movement and may cause the gas containment and control problem to be more difficult depending on the mechanical design. A mask holder 40 abuts the underside of flange 9 of cylinder 12. The mask 41 which may be attached to the holder as seen in the Husain related application is sealed thereto by a silicone rubber ring seal 43. The mask-to-wafer zone 48 is sealed by a circular silicone rubber ring seal 45 extending from the bottom of mask holder 40 to a peripheral flange 44a on chuck 44. Process gas enters through one or more inlets 47 around the periphery of chuck 44 adjacent to the wafer-holding central portion. Zone 48 extends in the gap 49 between mask membrane 42 and wafer 20 and around the periphery of wafer 20. Venting of the process gas is provided through vent tube 46 which extends upwardly to ambient air at orifice end 46a at a level equal or approximate to about the level of the mask membrane. In each of the embodiments the pressure on the top and bottom of the mask membrane are equal or of a differential not sufficient to cause significant membrane deflection or vibration. While this invention has been described in terms of use in an X-ray lithographic system with an X-ray source it may be utilized with other types of beam printing apparatus such as those using an ion beam or deep ultraviolet source. The above description of embodiments of this invention is intended to be illustrative and not limiting. Other embodiments of this invention will be obvious to those skilled in the art in view of the above disclosure.
description
The present teachings generally relate to an acoustic monitoring system, and more specifically, to diagnosing noise of a sound-producing device. Traditional sound diagnostic systems utilize sensors fixed to devices or machines to capture sound, and rely on complex computer systems to perform signal analysis of the captured sound. These complex computer systems are typically reserved for expert technicians or employees of the servicing company performing the diagnosis. Consequently, users are unable to personally diagnose unfamiliar or undesirable sounds produced from a device or machine. Moreover, users must typically contact a service technician to obtain a diagnosis of an unfamiliar or undesired sound, which is inconvenient, time consuming and costly. According to an exemplary embodiment of the present teachings, an acoustic monitoring system comprises a portable acoustic detection device to receive sound from a sound-producing device, and a sound analysis device in electrical communication with the portable acoustic detection device via a data network. The sound analysis devices determines at least one diagnosis of the sound-producing device based on a comparison between the sound and pre-recorded sound data, and determines at least one corrective action based on the at least one diagnosis. The acoustic monitoring system further includes confidence level device in electrical communication with the portable acoustic detection device and the sound analysis device to determine a confidence level of the at least one diagnosis indicating a likelihood that the at least one diagnosis is successfully diagnosed. According to another exemplary embodiment of the present teachings, a portable acoustic detection device comprises a sensor to receive sound from a sound-producing device, a wireless communication module to electrically communicate with a sound database that stores pre-stored sound data and to transmit identification data that identifies the sound-producing device. The portable acoustic detection device further includes a sound application module that outputs locality information that indicates a least one location at which the portable acoustic detection device is positioned to receive the sound, and that outputs a diagnosis and corrective action information to inhibit the sound based on a comparison between the sound and the pre-stored sound data. According to yet another exemplary embodiment of the present teachings, an acoustic analysis device comprises a wireless communication module that electrically communicates with a portable acoustic detection device via a data network to receive sound data that is based on sound produced by a sound-producing device, and a sound database that stores pre-stored sound data, diagnosis data corresponding to the pre-stored sound data, and corrective action data corresponding to the diagnosis data. The acoustic analysis device further includes a diagnosis module that determines at least one diagnosis of the sound-producing device based on a comparison between the sound data and the pre-recorded sound data, and that determines at least one corrective action based on the at least one diagnosis. Additional features and utility are realized through the techniques of the present teachings. Other embodiments and features of the teachings are described in detail herein and are considered a part of the claimed teachings. For a better understanding of the teachings with the utility and the features, refer to the description and to the drawings. The terminology used herein is for the purpose of describing exemplary embodiments only and is not intended to be limiting of the teachings. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises” and/or “comprising,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one more other features, integers, steps, operations, element components, and/or groups thereof. FIG. 1 illustrates an exemplary acoustic monitoring communications network 100 according to an exemplary embodiment of the present teachings. The acoustic monitoring communications network 100 allows communication between various electrical devices and machines. The devices and machines of the acoustic monitoring communications network 100 may include, but are not limited to, a portable acoustic detection device 102, a laptop 104, a desktop work station 106, a data server 108, a cloud computing environment 110, and a sensor-transceiver combination device 112. The acoustic monitoring communications network 100 may also include an automobile 114 equipped with an electronic communication system. The acoustic monitoring communications network 100 includes a data network 116 to allow each device and/or machine 102-114 to electronically communicate data between one another. The data network 116 may include a wired and/or wireless data communication network. Wireless communication between the electronic devices and machines 102-114 over the data network 116 may be performed according to various well known forms of networking technologies including, but not limited to, WI-FI, Wireless USB, cellular, Bluetooth, optical wireless, radio frequency (RF), etc., and may be used alone or in combination with another to provide the wired and/or wireless connectivity among the electronic devices and machines 102-114. The portable acoustic detection device 102 may be a hand-held device including, but not limited to, a portable terminal, a cellular telephone, tablet computer, a personal digital assistant (PDA), etc. The portable acoustic detection device 102 may receive, detect and/or capture a sound produced from a sound-producing device, as described in greater detail below. The cloud computing environment 110 may include one or more cloud computing nodes 111, which may communicate with the various electronic device and machines 102-114. Cloud computing node 111 may also communicate with other cloud computing nodes. They may be grouped (not shown) physically or virtually in one or more networks, such as Private, Community, Public, or Hybrid clouds, as described hereinabove, or a combination thereof. This allows the cloud computing environment 110 to offer infrastructure, platforms, and/or software as services for which a cloud consumer does not need to maintain resources on a local computing device. It is understood that the types of electronic devices and machines described herein are intended to be illustrative only and that the one or more computing nodes 111 and cloud computing environment 110 may communicate with any type of computerized device and machine over any type of network and/or network addressable connection (e.g., using a web browser). Program code located on one of cloud computing nodes 111 may be stored on a computer recordable storage medium in one of cloud computing nodes 111 and downloaded to a computing device within the computing devices and machines over the data network 116 for use in these computing devices. For example, a server computer in cloud computing nodes 111 may store program code on a computer readable storage medium on the server computer. The server computer may download the program code to a client computer at the computing devices and machines in electrical communication with the data network 116 for use on the client computer. The sensor-transceiver combination device 112 includes a sensor in electrical communication with a transceiver. The sensor may include, for example, a microphone that receives sound. Accordingly, data, such as sound, may be transmitted to a remote device via the transceiver. Moreover, the sensor-transceiver combination device 112 may be implemented in various host devices, as discussed in greater detail below. Referring now to FIG. 2, an exemplary embodiment of an acoustic monitoring system 200 of the present teachings is illustrated. The acoustic monitoring system 200 includes a portable acoustic detection device 202, and a sound analysis device 204. The portable acoustic detection device 202 and the sound analysis device 204 may electrically communicate with one another over a data network 206 via wired and/or wireless communication, as discussed above. For example, the portable acoustic detection device 202 and the sound analysis device 204 may each include a wireless communication module 208/208′ that communicates with the data network 206 such that the portable acoustic detection device 202 and the sound analysis device 204 may communicate data between one another. The portable acoustic detection device 202 includes a sensor 210, such as a microphone, to input sound produced from a sound-producing device. Further, the sound-producing device includes, but is not limited to, a consumer appliance, an automobile, a spinning hard-drive, sounds of a human anatomy, etc. Since at least one exemplary embodiment provides the sensor 210 in the portable acoustic detection device 202 (i.e., the sensor 210 is not necessarily fixed to the sound-producing device), the sensor 210 may be located at a plurality of locations near the sound-producing device. Accordingly, a plurality of sound readings at a plurality of different locations with respect to the sound-producing device may be obtained, as discussed in greater detail below. The portable acoustic detection device 202 further includes a user interface 212 and a sound application module 214. The user interface 212 may include an input module and/or a display module. The input module may receive at least one input from a user of the portable acoustic detection device 202. For example, the at least one input may include sound-producing device identification information such as a make/model of the sound-producing device, a bar code associated with the sound-producing device, a VIN number, a Quick Response (QR) code, a RFID tag, an input image, and an ID output from the sound-producing device to the portable acoustic detection device. The input may also include location information indicating a location of the sound with respect to the sound-producing device. Additionally, a sound-producing device may transmit the input information to the portable acoustic detection device 202 without user intervention. For example, a sound-producing device may transmit a make/model number to the portable acoustic detection device 202 via Bluetooth, RF, etc. A display module included with the user interface 212 may display information to a user regarding the diagnosis of a sound-producing device. For example, if the sound-producing device is diagnosed to be faulty, the display module may display an alert to the user. The alert may include, but is not limited to, a graphic, a vibration, and a short message service (SMS) message. The alert may also include an alarm sound output by the user interface. Additionally, the display unit of the user interface 212 may display sound-producing device information, user control information, diagnosis information, and a corrective action. The sound-producing device information may include information identifying the sound-producing device. For example, the user interface 212 may display a model name, a model serial number, an image of the sound producing-device, and an image of the sound-producing device and/or a part thereof. Accordingly, a user may be sure the diagnosis provided by the sound monitoring system 200 corresponds to the appropriate sound-producing device. The user control information may include instructions for obtaining sound samples to be analyzed. For example, the control information may display instructions for locating the portable acoustic detection device 202 at one or more areas surrounding the sound-producing device. In addition, the user control information may include instructions indicating a number of sound samples to obtain, and a period of time over which a sound sample is obtained, and operating instructions for operating the sound-producing device. For example, the operating instructions may instruct a user to adjust an operating speed of the sound-producing device. The diagnosis information may include downloadable pre-recordings of similar sounds associated with the sound, expert technician diagnosis comments, possible origins of the sound, possible defects of the sound-producing device, and diagnostic codes. The exemplary embodiments described herein are not limited to diagnosing problems, defects, etc. of the sound-producing device. The diagnosis information may also validate proper operation of the sound-producing device. The corrective action includes information that may assist in inhibiting the sound produced by the sound-producing device. For example, the corrective action may include maintenance instructions and/or sound-producing device settings instructions. The maintenance instructions may provide a user with information for correcting improper operation of the sound-producing device, lists of repair technicians familiar with the improper operation, directions to particular repair shops, repair order forms, service organizations, replacement parts of the sound-producing device, and new sound-producing device sales. The sound-producing device settings information includes information instructing a user to adjust at least one input setting of the sound-producing device. For example, the sound-producing device setting information may instruct a user to adjust an operating speed, cycling time, power consumption, etc. of the sound-producing device. Hence, if an excessive operating speed is causing a device to produce an undesired sound, the sound producing device setting information may instruct a user to reduce the operating speed of the device, thereby inhibiting the sound. Referring further to the portable acoustic detection device 202, the sound application module 214 may comprise a processing circuit and a computer program product. The computer program product may include a tangible storage medium readable by the processing circuit. Further, the computer program product may store instructions executable by the processing circuit to process the sound received by the portable acoustic detection device 202. The sound process executed by the processing circuit may include, but is not limited to, obtaining, storing, and accumulating information related to the sound-producing device. The sound processing executed by the processing circuit may also include various signal processing techniques to identify the sound including, but not limited to, Fast Fourier Transform (FFT) analysis, spectrogram analysis, sliding window Fast Fourier Transform (FFT)/Discrete Fourier transform (DFT) analysis, and spectral energy density analysis. The sound analysis device 204 included with the sound monitoring system 200 may be located at various devices and/or machines of the acoustic monitoring communications network 100. For example, the sound analysis device 204 may be located at the portable acoustic detection device 102, the cloud computing environment 110, the data server 108, the automobile 114, etc. Referring to the exemplary embodiment illustrated in FIG. 2, the sound analysis device 204 is located remotely from the portable acoustic detection device 202. For example, the sound analysis device 204 may be located at a data server 108. The sound analysis device 204 includes a user interface 216 and a sound database 218. In addition, the sound analysis device 204 may be in electrical communication with a diagnosis module 220 and a confidence level module 222 for diagnosing a sound-producing device and determining corrective actions for inhibiting the sound, as described in greater detail below. In at least one exemplary embodiment illustrated in FIG. 2, the diagnosis module 220 and the confidence level module 222 may be included with the sound analysis device 204. However, it can be appreciated that the diagnosis module 220 and the confidence level module 222 may be included with the portable acoustic detection device 202. The user interface 216 may include an input unit and a display unit. The input unit may receive inputs from a user and/or technician operating the sound analysis device 204. The display unit may display information regarding the sound information stored in the sound database 218. The display unit may also display information received from the portable acoustic detection device 202 to a user and/or technician operating the sound analysis device 204. For example, the sound analysis device 204 may receive identification information, such as a make/model number, image, etc., which identifies the sound-producing device producing the sound to be diagnosed. Accordingly, the display unit may display the identification information, thereby assisting a user and/or technician, in diagnosing the sound. The sound database 218 is capable of storing predetermined sound information such as pre-recorded sound data, which may be classified, clustered and annotated. Moreover, a user and/or technician may use the user interface 216 to input additional sound information to the sound database 218. As mentioned above, the diagnosis module 220 may determine at least one diagnosis of the sound-producing device based on the sound transmitted by the portable acoustic detection device 202. Based on the diagnosis, the diagnosis module 220 may determine additional information including, but not limited to, sound-producing device information, user control information, diagnosis information, an alert, and a corrective action. The information, such as the alerts, diagnosis information etc., may be received and displayed by the portable acoustic detection device 202 as described above. Further, the additional information may indicate proper operation of the sound-producing device. Diagnoses performed by the diagnosis module 220 may be executed according to various well-known signal processing techniques. For example, the diagnosis module 220 may identify the sound produced by the sound-producing device by comparing sound data indicative of the sound to a predetermined acoustic wavelength of a pre-recorded sound stored in the sound database 218. Various signal processing techniques may be used to execute the sound comparison described above including, but not limited to, Fast Fourier Transform (FFT) analysis, spectrogram analysis, sliding window Fast Fourier Transform (FFT)/Discrete Fourier transform (DFT) analysis, and spectral energy density analysis. The diagnoses may be stored in a storage medium, such as sound data base 220, or at a remote location such as a data server or cloud computing environment 110 and/or, and recalled for future use. In addition to diagnosing the sound generated by the sound-producing device, the diagnosis module 220 may determine corrective actions, which are transmitted to the portable acoustic detection device 202 and are displayable to a user. Accordingly, a user may perform the corrective actions on the sound-producing device to inhibit the sound. For example, the portable acoustic detection device 202 may display maintenance instructions and/or sound-producing device settings instructions. The maintenance instructions may provide a user with information for correcting improper operation of the sound-producing device, lists of repair people familiar with the improper operation, directions to particular repair shops, repair order forms, service organizations, replacement parts of the sound-producing device, new sound-producing device sales, etc. Further, although the sound-producing device may be operating correctly, i.e., the diagnosis module determines that the sound-producing device is not faulty or defective, the diagnosis module may output sound-producing device settings instructions that instruct a user to adjust one or more operating settings of the sound-producing device to inhibit the sound produced therefrom. For example, if the diagnosis module 220 determines that the sound detected by the portable acoustic detection 202 device is produced by a properly operating fan, the diagnosis module 220 may output a sound-producing device settings instruction that instructs a user to reduce the speed of the fan, thereby inhibiting the sound. The sound analysis device 204 may further include a confidence level module 222 that electrically communicates with the diagnosis module 220. The confidence level module 222 may determine a confidence level of one or more diagnoses determined by the diagnosis module 220. The confidence level indicates a likelihood as to whether the diagnosis determined by the diagnosis module 220 is correct. For example, confidence levels may be assigned a value ranging from 0-5. A diagnosis having a high confidence level, e.g., a value of 5, indicates that the particular diagnosis determined by the diagnosis module is likely correct and no other alternative diagnoses associated with the sound exist. However, a low confidence level, e.g., a value of 0 indicates that the particular diagnosis determined by the diagnosis module is likely incorrect and more accurate diagnoses of the sound exist. Accordingly, as the confidence level, i.e., value, increases from 0 to 5, the likelihood that diagnosis module 220 determined the correct diagnosis increases. The confidence level associated with a particular diagnosis may be determined in several ways. For example, if a majority of acoustic experts, e.g., five out of five acoustic experts have concluded via testing, or otherwise, that a particular sound is caused by a particular problem, then the confidence level associated with the particular diagnosis is assigned a high confidence level, e.g., a high value of 5 out of 5. However, if minority of experts, e.g., only two out of five experts have concluded a particular problem causes the particular sound, then the diagnosis is assigned a low confidence level, e.g., 2 out of 5. Accordingly, a user may be informed of the strength of diagnosis, i.e., the likelihood as to whether the diagnosis received is correct. The confidence module 224 may also update a confidence level associated with a particular diagnosis in response to a result of the corrective action taken by the user upon the sound-producing device. Accordingly, the accuracy of the stored diagnoses may be increased. For example, if a corrective action corresponding to a particular diagnosis is determined to inhibit the sound, the confidence level module 222 may increase the level, i.e., value, associated with the particular diagnosis. Alternatively, if the corrective action corresponding to the particular diagnosis failed to inhibit the sound, the confidence level module 222 may decrease the level, i.e., value, of the confidence level associated with the particular diagnosis. When more than one diagnosis associated with a particular sound exists, the diagnosis module 220 may prioritize the diagnoses according to the confidence level. Accordingly, the diagnosis module 220 may output the diagnosis having the highest confidence level. In addition, the user may be presented with a plurality of diagnoses in order of their respective confidence level. For example, diagnoses may be displayed according to diagnoses that are most likely correct (i.e., diagnoses having high confidence levels) to diagnoses that are most likely incorrect (i.e., diagnoses having low confidence levels). Further, the confidence level module 222 may perform a confidence-increasing action based on a comparison between the particular confidence level (C) and a predetermined threshold value (Th). More specifically, the confidence level module 222 may compare the particular confidence level (C) to the predetermined threshold value (Th), and initiate the confidence-increasing action when the particular confidence level (C) is less than the predetermined threshold value (Th), i.e., C<Th. In at least one exemplary embodiment, the confidence-increasing action may be automatically performed each time C<Th. Upon initiating the confidence-increasing action, the sound monitoring system 200 may interact with a technician and/or social media network via the data network 206 to receive updated diagnosis information and/or corrective actions. Accordingly, an end-to-end acoustic monitoring system may be achieved, which allows a user to obtain a sound produced from a sound-producing device, receive a diagnosis, and attempt to inhibit the sound according to corrective actions displayed on the portable acoustic detection device. Referring now to FIG. 3, a sound monitoring system 300 is illustrated according to an exemplary embodiment of the present teachings. The sound monitoring system 300 includes a sound producing device, such as a consumer appliance 302, a portable acoustic detection device, such as a cellular telephone 304, and a data server 306. The cellular telephone 304 and the data server 306 may communicate with one another via a data network 308. Moreover, the data server 306 may include the sound analysis device 310 discussed in detail above. The cellular telephone 304 receives sound (S) generated by the consumer appliance 302. More specifically, the cellular telephone 304 may include a sound application module 311 that stores a sound application, as described above. The user of the cellular telephone 304 may execute the sound application, which initiates communication with the sound analysis device 310 located at the data server 308. Upon execution of the sound application, the user may also input identification information, such as a make/model number, an image of the consumer applicant 302, etc., which is then transmitted to the sound analysis device 310. In response to receiving the identification information, the sound analysis device 310 may direct the user capture the sound generated by the consumer appliance 302. In at least one exemplary embodiment of the present teachings, the sound analysis device 310 may instruct the user to locate the cellular telephone 304 at different locations near the consumer appliance 302. Additionally, the sound analysis device 310 may direct the user as to the number of sound samples to capture. Upon capturing the sound, the cellular telephone 304 may convert the sound into sound data via the sound application module 311, and may transmit the sound data to the sound analysis device 310. Upon receiving the sound data, the sound analysis device 310 may initiate a diagnosis procedure via the diagnosis module, as discussed above. Once a diagnosis is determined, the sound analysis device 310 may also determine a corrective action associated with the diagnosis for inhibiting the sound. As discussed above, if multiple diagnoses exist, the sound analysis device 310 may prioritize the diagnoses based on confidence levels determined via the confidence level module. After determining a particular diagnosis, the sound analysis device 310 may transmit the particular diagnosis and corrective action to the cellular telephone 304. Accordingly, the user may perform to the corrective action upon the consumer device 302 to inhibit the sound. In addition the user may input the result of the corrective action to the cellular telephone 304. As discussed above, if the corrective action successfully inhibits the sound, the sound analysis device 310 may increase confidence level associated with the particular diagnosis sent to the user and output a termination signal that terminates the diagnosis procedure executed by the sound application. Otherwise, the sound analysis device 310 may decrease the confidence level associated with the particular diagnosis sent to the user and the sound analysis device 310 may begin determining another diagnosis. Accordingly, the diagnosis may be continued until a proper diagnosis is determined. Referring now to FIG. 4, a sound monitoring system 400 is illustrated according to another exemplary embodiment of the present teachings. The sound monitoring system 400 includes a sound producing device, such as an automobile 402, a portable acoustic detection device, such as a cellular telephone 404, and a data server 406. The cellular telephone 404 and the data server 406 may communicate with one another via a data network 408. The sound monitoring system 400 operates similarly to the sound monitoring system 300 discussed above with respect to FIG. 3. Referring to the exemplary embodiment illustrated in FIG. 4, a user of the cellular telephone 402 may become aware of an unfamiliar and/or undesired sound (S) produced by the automobile 404. Upon initializing a sound application program included with sound application module 411 of the cellular telephone 404, the user may input a make/model of the automobile 402 and a particular area of the automobile 402 generating the sound, for example the engine compartment. In response to the user's inputs, the sound analysis device 410 may instruct the user to locate the cellular telephone 404 near various engine components located in the engine compartment, such as such as the cylinder block, intake system, cooling system, etc. Accordingly, various engine components possibly contributing to the sound may be analyzed by the sound analysis device 410 such that the sound may be properly diagnosed. Referring to now to FIG. 5, a sound monitoring system 500 is illustrated according to another exemplary embodiment of the present teachings. The sound monitoring system 500 operates similarly to the sound monitoring systems 300 and 400 described in detail above. The exemplary sound monitoring system 500 illustrated in FIG. 5 includes a sound producing device, such as an automobile 502, a portable acoustic detection device, such as a cellular telephone 504, and a cloud computing environment 506. The cloud computing environment 506 may store pre-recorded sounds, diagnosis information and corrective actions via one or more computing nodes 508. In addition, a sound analysis device 510 including a diagnosis module and confidence module may be implemented via the computing nodes 508 of the cloud computing environment 506. The diagnosis and confidence level modules may operate similar to the diagnosis module 220 and the confidence level module 222, respectfully, as discussed above. The automobile 502 and/or the cellular telephone 504 may each communicate with the cloud computing environment 506 to share sound information and/or other information including, but not limited to, diagnosis information, automobile maintenance information, corrective action information, etc. Accordingly, one or more sounds (S) produced by the automobile 502 and detected by the cellular telephone 504 may be processed by a sound application module 511 included in with the cellular telephone 504, analyzed by leveraging the sound analysis device 510 implemented at the cloud computing environment 506. An exemplary embodiment of the sound monitoring system 500 illustrated in FIG. 5 may further include a sensor-transceiver combination device 512 generally indicated. The sensor-transceiver combination device 512 includes a sensor 514 and a transceiver 516. The sensor 514 detects information corresponding to the automobile 502. The sensor 516 may include, for example, a microphone that detects one or more sounds produced by the automobile 502. The transceiver 516 is in electrical communication with the sensor 514. In addition, the transceiver 516 may electrically communicate with any of the automobile 502, the cellular telephone 504, and the cloud computing environment 506. Accordingly, information between the sensor-transceiver combination device 512, automobile 502, cellular telephone 504, and cloud computing network 506 may be communicated between one another. In at least one exemplary embodiment, the sensor-transceiver combination device 512 may be implemented in an automobile service station. Thus, sounds produced by an automobile 502 during servicing and/or maintenance may be analyzed. For example, the sensor-transceiver combination device 512 may be implemented at a refueling station. As the automobile 502 is prepared for refueling, a sensor 514 located at the refueling station may detect sound from the automobile 502, and the transceiver 516 may transmit the sound to the cloud computing environment 506. The sound may be analyzed by the sound analysis device 510 implemented via the cloud computing environment 506, and diagnosis information and/or corrective actions may be transmitted back to the refueling station and/or cellular telephone 504 for display to the driver of the automobile 502. Now referring to the flow diagrams described below, various exemplary methods of monitoring a sound produced from a sound-producing device are described. There may be many variations to the diagrams or the operations described therein without departing from the spirit of the teachings. For instance, the operations described may be performed in a differing order or steps may be added, deleted or modified. All of these variations are considered a part of the claimed teachings. Referring now to FIG. 6, a flow diagram illustrates a method of monitoring acoustics of a sound-producing device according to an exemplary embodiment of the present teachings. At operation 600, a sound produced by a sound-producing device is detected. In at least one exemplary embodiment, the sound is captured by a portable acoustic detection device, such as a cellular telephone. The sound-producing device may be a consumer appliance, an electrical device, and automobile, etc. The sound and/or sound data indicative of the sound is compared to pre-recorded sounds at operation 602. The pre-recorded sounds are included in a sound data base, which may be stored at the portable acoustic detection device, and/or at a location remote from the portable acoustic detection device, such as a data server and/or a cloud computing environment. Based on the comparison between the sound and the pre-recorded sound, one or more diagnoses are determined at operation 604. At operation 606, a confidence level corresponding to the one or more diagnoses is determined, and a diagnosis having the highest confidence level is output at operation 608. At operation 610, a corrective action is output to the portable acoustic detection device such that a user may apply the corrective action to the sound-producing device for inhibiting the sound, and the method ends. Referring now to FIG. 7, a flow diagram illustrates a method of monitoring acoustics of a sound-producing device according to another exemplary embodiment of the present teachings. At operation 700, a sound produced by a sound-producing device is captured via a portable acoustic detection device, such as a cellular phone. At operation 702, identification data identifying the sound-producing device is input to the portable acoustic detection device. The identification data may be input by a user of the portable acoustic detection device via a user interface. In addition, the sound-producing device may output identification information to the portable acoustic detection device. For example, the sound-producing device may transmit a model number to the portable acoustic detection device via Bluetooth wireless communication. In response to identifying the sound-producing device at operation 702, the method may determine the sound received at operation 700 and the origin of the sound at operation 704. At operation 706, the sound-producing device may be determined as being defective. If the sound-producing device is determined to be operating normally, i.e., not defective, one or more control measures for reducing sound produced by the sound-producing device may be output at operation 708. For example, control measures such as reducing a motor speed, cycle-frequency, power consumption, etc., may be output to a user that such that a noise produced by the sound-producing device may be inhibited. Otherwise, if the sound producing device is determined to be defective at operation 706, an alert, such as a graphic, sound, etc., may be output at the portable acoustic device to alert the user the sound-producing device is defective. At operation 712, information for resolving the defective sound-producing device may be output, and the method ends. For example, information such as maintenance instructions, lists of repair technicians, directions to particular repair shops, repair order forms, service organizations, replacement parts of the sound-producing device, new sound-producing device sales, etc., may be output to the user to assist the user in fixing and/or replacing the sound-producing device, thereby eliminating the sound. Referring now to FIG. 8, a flow diagram illustrates a method of updating a confidence level associated with a diagnosis provided by an acoustic monitoring system according to an exemplary embodiment of the present teachings. At operation 800, a diagnosis corresponding sound produced by a sound-producing device is received. At least one exemplary embodiment of the present teachings performs the diagnosis by comparing the received sound to a pre-recorded sound. Upon diagnosing the sound, a determination is made as to whether a confidence level (C) corresponding to the diagnosis exists. If a confidence level exists, the confidence level is compared to a predetermined threshold value (Th) at operation 804. If C≧Th, the diagnosis is output at operation 806. Further, the confidence level may be compared to other diagnoses at operation 806, and output according based on the confidence level. In at least one exemplary embodiment, the diagnoses may be prioritized based on the respective confidence level. If it is determined at operation 802 that no confidence level is associated with the diagnosis, or if it is determined at operation 804 that C<Th, then a confidence increasing action is performed at operation 808, and the diagnosis is output at operation 806. At operation 808, corrective actions are output. The corrective actions may include maintenance instructions, device control measures such as reducing a motor speed, cycle-frequency, power consumption, etc. At operation 812, a determination is made as to whether the corrective action inhibited the sound produced by the sound-producing device. In at least one exemplary embodiment, a user may input a result of the corrective action via a user interface of the portable acoustic detection device. If the corrective action failed to inhibit the sound, the confidence level associated with the diagnosis is decreased at operation 814, and the method returns to operation 800 where a new diagnosis may be received. However, if the corrective action successfully inhibited the sound, the confidence level associated with the diagnosis is increased at operation 816, and the method ends. Accordingly, diagnoses associated with various sounds produced by one or more sound producing devices may be continuously updated based on a user's real-time experience, and a user may be provided with the most up-to-date diagnoses for diagnosing a particular sound. As described in detail above, at least one exemplary embodiment of the present teachings allows a user to obtain a sound produced from one or more locations of a sound-producing device via a portable acoustic detection device, receive a diagnosis of the sound and corrective actions via the portable acoustic detection device, and to attempt to inhibit the sound according to corrective actions displayed on the portable acoustic detection device. Accordingly, a user may personally diagnosis a sound produced by a sound-performing device with convenience, and without directly seeking the assistance of a technician. As a result, convenience to the user is increased, while additional costs resulting from technician analysis may be avoided. As will be appreciated by one skilled in the art, features of the present teachings may be embodied as a system, method or computer program product. Accordingly, features of the present teachings may take the form of an entirely hardware embodiment, an entirely software embodiment (including firmware, resident software, micro-code, etc.) or an embodiment combining software and hardware features that may all generally be referred to herein as a “circuit,” “module” or “system.” Furthermore, features of the present teachings may take the form of a computer program product embodied in one or more computer readable medium(s) having computer readable program code embodied thereon. Any combination of one or more computer readable medium(s) may be utilized. The computer readable medium may be a computer readable signal medium or a computer readable storage medium. A computer readable storage medium may be, for example, but not limited to, an electronic, magnetic, optical, electromagnetic, infrared, or semiconductor system, apparatus, or device, or any suitable combination of the foregoing. More specific examples (a non-exhaustive list) of the computer readable storage medium would include the following: an electrical connection having one or more wires, a portable computer diskette, a hard disk, a random access memory (RAM), a read-only memory (ROM), an erasable programmable read-only memory (EPROM or Flash memory), an optical fiber, a portable compact disc read-only memory (CD-ROM), an optical storage device, a magnetic storage device, or any suitable combination of the foregoing. In the context of this document, a computer readable storage medium may be any tangible medium that can contain, or store a program for use by or in connection with an instruction execution system, apparatus, or device. A computer readable signal medium may include a propagated data signal with computer readable program code embodied therein, for example, in baseband or as part of a carrier wave. Such a propagated signal may take any of a variety of forms, including, but not limited to, electro-magnetic, optical, or any suitable combination thereof. A computer readable signal medium may be any computer readable medium that is not a computer readable storage medium and that can communicate, propagate, or transport a program for use by or in connection with an instruction execution system, apparatus, or device. Program code embodied on a computer readable medium may be transmitted using any appropriate medium, including but not limited to wireless, wireline, optical fiber cable, RF, etc., or any suitable combination of the foregoing. Computer program code for carrying out operations for features of the present teachings may be written in any combination of one or more programming languages, including an object oriented programming language such as Java, Smalltalk, C++ or the like and conventional procedural programming languages, such as the “C” programming language or similar programming languages. The program code may execute entirely on the user's computer, partly on the user's computer, as a stand-alone software package, partly on the user's computer and partly on a remote computer or entirely on the remote computer or server. In the latter scenario, the remote computer may be connected to the user's computer through any type of network, including a local area network (LAN) or a wide area network (WAN), or the connection may be made to an external computer (for example, through the Internet using an Internet Service Provider). Features of the present teachings are described above with reference to flowchart illustrations and/or block diagrams of methods, apparatus (systems) and computer program products according to embodiments of the teachings. It will be understood that each block of the flowchart illustrations and/or block diagrams, and combinations of blocks in the flowchart illustrations and/or block diagrams, can be implemented by computer program instructions. These computer program instructions may be provided to a processor of a general purpose computer, special purpose computer, or other programmable data processing apparatus to produce a machine, such that the instructions, which execute via the processor of the computer or other programmable data processing apparatus, create means for implementing the functions/acts specified in the flowchart and/or block diagram block or blocks. These computer program instructions may also be stored in a computer readable medium that can direct a computer, other programmable data processing apparatus, or other devices to function in a particular manner, such that the instructions stored in the computer readable medium produce an article of manufacture including instructions which implement the function/act specified in the flowchart and/or block diagram block or blocks. The computer program instructions may also be loaded onto a computer, other programmable data processing apparatus, or other devices to cause a series of operational steps to be performed on the computer, other programmable apparatus or other devices to produce a computer implemented process such that the instructions which execute on the computer or other programmable apparatus provide processes for implementing the functions/acts specified in the flowchart and/or block diagram block or blocks. The flowchart and block diagrams in the Figures illustrate the architecture, functionality, and operation of possible implementations of systems, methods and computer program products according to various embodiments of the present teachings. In this regard, each block in the flowchart or block diagrams may represent a module, segment, or portion of code, which comprises one or more executable instructions for implementing the specified logical function(s). It should also be noted that, in some alternative implementations, the functions noted in the block may occur out of the order noted in the figures. For example, two blocks shown in succession may, in fact, be executed substantially concurrently, or the blocks may sometimes be executed in the reverse order, depending upon the functionality involved. It will also be noted that each block of the block diagrams and/or flowchart illustration, and combinations of blocks in the block diagrams and/or flowchart illustration, can be implemented by special purpose hardware-based systems that perform the specified functions or acts, or combinations of special purpose hardware and computer instructions. The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the teachings. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises” and/or “comprising,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one more other features, integers, steps, operations, element components, and/or groups thereof. The corresponding structures, materials, acts, and equivalents of all means or step plus function elements in the claims below are intended to include any structure, material, or act for performing the function in combination with other claimed elements as specifically claimed. The description of the present teachings has been presented for purposes of illustration and description, but is not intended to be exhaustive or limited to the teachings in the form disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the teachings. The embodiment was chosen and described in order to best explain the principles of the teachings and the practical application, and to enable others of ordinary skill in the art to understand the teachings for various embodiments with various modifications as are suited to the particular use contemplated. While the preferred embodiment to the teachings had been described, it will be understood that those skilled in the art, both now and in the future, may make various improvements and enhancements which fall within the scope of the claims which follow. These claims should be construed to maintain the proper protection for the teachings first described.
055725600
abstract
A boiling water reactor fuel assembly with fuel rods having variable fuel rod pitches.
description
This application is related to U.S. patent application Ser. No. 13/495,083 filed concurrently herewith. 1. Field This invention pertains generally to small modular pressurized water reactors and more particularly to a combined core makeup tank and heat removal system for such a reactor. 2. Related Art In a nuclear reactor for power generation, such as a pressurized water reactor, heat is generated by fission of a nuclear fuel such as enriched uranium, and transferred into a coolant flowing through a reactor core. The core contains elongated nuclear fuel rods mounted in proximity with one another in a fuel assembly structure, through and over which the coolant flows. The fuel rods are spaced from one another in co-extensive parallel arrays. Some of the neutrons and other atomic particles released during nuclear decay of the fuel atoms in a given fuel rod pass through the spaces between fuel rods and impinge on fissile material in adjacent fuel rods, contributing to the nuclear reaction and to the heat generated by the core. Moveable control rods are dispersed throughout the nuclear core to enable control of the overall rate of the fission reaction, by absorbing a portion of the neutrons, which otherwise would contribute to the fission reaction. The control rods generally comprise elongated rods of neutron absorbing material and fit into longitudinal openings or guide thimbles in the fuel assemblies running parallel to and between the fuel rods. Inserting a control rod further into the core causes more neutrons to be absorbed without contributing to fission in an adjacent fuel rod; and retracting the control rods reduces the extent of neutron absorption and increases the rate of the nuclear reaction and the power output of the core. FIG. 1 shows a simplified conventional nuclear reactor primary system, including a generally cylindrical pressure vessel 10 having a core closure head 12 enclosing a nuclear core 14 that supports the fuel rods containing the fissile material. A liquid coolant, such as water or borated water, is pumped into the vessel 10 by pump 16, through the core 14 where heat energy is absorbed and is discharged to a heat exchanger 18 typically referred to as a steam generator, in which heat is transferred to a utilization circuit (not shown) such as a steam driven turbine generator. The reactor coolant is then returned to the pump 16 completing the primary loop. Typically, a plurality of the above-described loops are connected to a single reactor vessel 10 by reactor coolant piping 20. Commercial power plants employing this design are typically on the order of 1,100 megawatts or more. More recently, Westinghouse Electric Company LLC has proposed a small modular reactor in the 200 megawatt class. The small modular reactor is an integral pressurized water reactor with all primary loop components located inside the reactor vessel. The reactor vessel is surrounded by a compact, high pressure containment. Due to both the limited space within the containment and the low cost requirement for integral pressurized light water reactors, the overall number of auxiliary systems needs to be minimized without compromising safety or functionality. For that reason, it is desirable to maintain all the components in fluid communication with the primary loop of the reactor system within the compact, high pressure containment. One such auxiliary system is the core makeup tank and another such system is the passive residual heat removal system. However, there is limited space within the containment to accommodate these several systems. Therefore, it is an object of this invention to simplify the core makeup tank system and the passive residual heat removal system so that their components interfacing with the primary reactor loop can be supported within the high pressure, compact containment of a small modular pressurized water reactor. Additionally, it is a further object of this invention to combine the features of the core makeup tank system and the passive residual heat removal system to reduce the space requirement for those systems within the high pressure, compact containment. These and other objectives are achieved by a small modular pressurized water reactor having a primary circuit including a reactive core, an upper internals, a steam generator heat exchanger and pressurizer housed within a reactor pressure vessel which is enclosed within a substantially close fitting containment. The small modular reactor includes a primary coolant hot leg between a coolant flow exit from the core and an upstream side of the steam generator heat exchanger and a primary coolant cold leg between a downstream side of the steam generator heat exchanger and a coolant flow entrance to the core, with the hot leg and cold leg housed within the reactor pressure vessel. The small modular pressurized water reactor further includes a combined passive heat removal system and high-head water injection system that employ a core makeup tank enclosed within the close fitting containment. The core makeup tank includes a heat exchange assembly having a primary side and a secondary side. The primary side has an interior flow path within the heat exchange assembly with a primary side inlet and a primary side outlet. A primary side inlet plenum is in fluid communication with the inlet of the interior flow path of the heat exchange assembly and the hot leg exiting the core. A primary side outlet plenum is in fluid communication with the outlet of the interior flow path of the heat exchange assembly and the cold leg between the downstream side of the steam generator heat exchanger and the coolant flow entrance to the core. A secondary side plenum within the secondary side of the heat exchange assembly has an inlet end and an outlet end and a secondary side flow path over an exterior of the heat exchange assembly interior flow path, connecting the inlet end to the outlet end of the secondary side plenum. An ultimate heat sink heat exchanger is connected to the core makeup tank between the inlet end and the outlet end of the secondary side plenum. The combined passive heat removal system and high-head water injection system further includes means for isolating the primary side of the heat exchange assembly from the core. Preferably, the core makeup tank is positioned at an elevation above an elevation of the core and the ultimate heat sink heat exchanger is at an elevation above the elevation of the core makeup tank. Preferably, the core makeup tank is supported outside the reactor pressure vessel, but is enclosed within the containment, with the ultimate heat sink heat exchanger positioned outside the containment. Desirably, the primary side of the heat exchange assembly is pressurized to at least the same pressure as the core and preferably, substantially the same pressure as the core. In one embodiment, the heat exchange assembly is a tube and shell heat exchanger, desirably with the primary side inlet plenum at a top of the core makeup tank and the primary side outlet plenum at the bottom of the core makeup tank. Desirably, the means for isolating the primary side of the heat exchange assembly from the core is a valve in fluid communication with the primary side outlet between the primary side outlet plenum and the cold leg. In another embodiment, the secondary side plenum is pressurized to prevent boiling and, desirably, the ultimate heat sink includes a heat sink heat exchanger having a primary side connected to the secondary side of the core makeup tank heat exchange assembly and the heat sink heat exchanger having a secondary side in heat exchange relationship with a pool of coolant. In still another embodiment, the small modular reactor includes a plurality of such core makeup tanks. FIGS. 2, 3 and 4 illustrate a small modular reactor design which can benefit from the combined passive heat removal system and high-head water injection system claimed hereafter. FIG. 2 shows a perspective view of the reactor containment, partially cut away, to show the pressure vessel and its integral, internal components. FIG. 3 is an enlarged view of the pressure vessel shown in FIG. 2 and FIG. 4 is a schematic view of the reactor and some of the reactor auxiliary systems, including the ultimate heat sink and secondary heat exchange loop of the combined passive heat removal system and high-head water injection system of one embodiment of this invention. Like reference characters are used among the several figures to identify corresponding components. In an integral pressurized water reactor such as illustrated in FIGS. 2, 3 and 4, all of the components typically associated with the primary side of a nuclear steam supply system are contained in a single pressure vessel 10 that is typically housed within a high pressure containment structure 34. The primary components housed within the pressure vessel 10 include the primary side of the steam generator, reactor coolant pumps, a pressurizer, and the reactor itself. The steam generator system 18 of a conventional reactor, in this integral reactor design, is broken down into two components, a heat exchanger 26 which is located above the upper internals 30 and a steam drum 32 which is maintained external to the containment 34 as shown in FIG. 4. The steam generator heat exchange 26 includes the pressure vessel 10/12 rated for primary design pressure and shared by the reactor core 14 and other conventional reactor internal components, two tube sheets 54 and 56, hot leg piping 24 (also referred to as the hot leg riser), heat transfer tubes 58 which extend between the lower tube sheet 54 and the upper tube sheet 56, tube supports 60, secondary flow baffles 36 for directing the flow of the secondary fluid medium among the heat transfer tubes 58 and secondary flow nozzles 44 and 50. The heat exchanger 26/pressure vessel head 12 assembly is maintained within the containment 34. The external-to-containment steam drum 32 is comprised of a pressure vessel 38, rated for secondary design pressure. The external-to-containment steam drum 34 includes centrifugal type and chevron type moisture separation equipment, a feedwater distribution device and flow nozzles for wet steam, feedwater, recirculating liquid and dry steam, much as is found in a conventional steam generator design 18. The flow of the primary reactor coolant through the heat exchanger 26 in the head 12 of the vessel 10 is shown by the arrows in the upper portion of FIG. 3. As shown, heated reactor coolant exiting the reactor core 14 travels up and through the hot riser leg 24, through the center of the upper tube sheet 56 where it enters a hot leg manifold 74 where the heated coolant makes a 180° turn and enters the heat transfer tubes 58 which extend through the upper tube sheet 56. The reactor coolant then travels down through the heat transfer tubes 58 that extend through the tube sheet 56 transferring its heat to a mixture of recirculated liquid and feedwater that is entering the heat exchanger through the sub-cooled recirculation input nozzle 50 from the external steam drum 32, in a counter-flow relationship. The sub-cooled recirculating liquid and feedwater that enters the heat exchanger 26 through the sub-cooled recirculation input nozzle 50 is directed down to the bottom of the heat exchanger by the secondary flow baffles 36 and up and around heat exchange tubes 58 and turns just below the upper tube sheet 56 into an outlet channel 76 where the moisture laden steam is funneled to the wet steam output nozzle 44. The wet saturated steam is then conveyed to the external steam drum 32 where it is conveyed through moisture separators which separate the steam from the moisture. The separated moisture forms the recirculating liquid which is combined with feedwater and conveyed back to the sub-cooled recirculation input nozzle 50 to repeat the cycle. Both typical pressurized water reactor designs and advanced pressurized water reactor designs (such as the AP 1000® offered by the Westinghouse Electric Company LLC, Cranberry Township, Pa.) make use of both decay heat removal systems and high-head injection systems to prevent core damage during accident scenarios. In the Westinghouse small modular reactor design, illustrated in FIGS. 2, 3 and 4, cost and space constraints limit the capability of these systems as currently implemented in the larger pressurized water reactors. This invention provides a design for the combination of the passive decay heat removal and high-head water injection functions into a single, simple, integrated system. This combined safety system greatly simplifies the integral reactor design as compared to the larger pressurized water reactor safety systems, and allows for comparable reactor protection capabilities during accidents at a decreased cost and with lower spatial requirements. The embodiment of the invention claimed hereafter which is described herein includes a novel core makeup tank design with an internal passive residual heat removal heat exchanger that connects directly to the reactor vessel and a heat exchanger in the ultimate heat sink pool. As can be viewed from FIGS. 2 and 4, the combined core makeup tank/passive residual heat removal heat exchanger 40 is located within the containment vessel 34. The passive residual heat removal heat exchanger 42 is located within the core makeup tank 40. The passive residual heat removal heat exchanger 42 includes an inlet plenum 44 at the top end of the core makeup tank and an outlet plenum 46 at the lower end of the core makeup tank. An upper tube sheet 48 separates the upper plenum 44 from a secondary fluid plenum 64 and a lower tube sheet 52 separates the lower plenum 46 from the secondary fluid plenum 64. A tube bundle 62 of heat exchange tubes extends between the upper tube sheet 48 and the lower tube sheet 52. Accordingly, primary fluid from the hot leg of the core 24, supplied through the inlet piping 84, enters the inlet plenum 44, is conveyed through the tube bundle 62 to the outlet plenum 46 and is returned to the cold leg 78 of the core 14 through the outlet piping 88. The coolant passing through the tube bundle 62 transfers its heat to a secondary fluid in the secondary fluid plenum 64 between the tube sheets 48 and 52. A secondary fluid enters the secondary fluid plenum 64 through the secondary fluid inlet piping 66, absorbs the transferred heat from the tube bundle 62 and exits through the secondary fluid outlet piping 68. The height of the core makeup tank 40, i.e., the elevation at which the makeup tank is supported, is maximized in order to facilitate high natural circulation flows. During steady state operation, the core makeup tank 40 and the tube side of the passive residual heat removal heat exchanger 42 is filled with cold, borated water at the same pressure as the reactor coolant. This water is prevented from flowing into the reactor pressure vessel 10 by a valve 80 on the exit piping 88 on the bottom of the core makeup tank. During accident conditions, the reactor protection system signals the opening of the valve 80, allowing the cold, borated core makeup tank water to flow down through the exit piping 88 and into the cold leg region 78 of the reactor pressure vessel 10. Concurrently, hot reactor coolant then flows from the core exit region 82 into the core makeup tank 40 through the inlet piping 84, and then into the core makeup tank 40 inlet plenum 44. The hot reactor water then flows down through the tubes within the tube bundle 62 of the passive residual heat removal heat exchanger 42, and is cooled by cold secondary water flowing through the shell side of the passive residual heat removal heat exchanger in the secondary fluid plenum 64. The secondary water, which is pressurized to prevent boiling, then flows upward through piping 68 to a second heat exchanger 72 in the ultimate heat sink tank 70, where it transfer heat to the cold water in the tank 70. The now cooled secondary water flows down through the return piping 66, and into the core makeup tank shell side 64 of the heat exchanger 42 to repeat the process. Both this ultimate heat sink loop and the core makeup tank primary loop are driven by natural circulation flows. The core makeup tank primary loop flow continues to remove decay heat from the reactor even after steam enters the core makeup tank inlet piping 84. During an accident in which coolant is lost from the reactor pressure vessel 10, the water level in the reactor vessel drops as the passive residual heat removal heat exchanger 42 removes decay heat from the reactor 10. When the water level drops below the core makeup tank inlet piping entrance 82, steam enters the inlet piping and breaks the natural circulation cycle. At this point, the inventory of the core makeup tank (excluding the secondary shell side 64 of the passive residual heat removal heat exchanger) flows downward through the outlet piping under the steam pressure and into the reactor pressure vessel cold leg 78, effectively serving as high-head injection. During refueling and outages, the core makeup tank/passive residual heat removal system cools the reactor and internals. Any number of these core makeup tanks can be incorporated into the small modular reactor design in order to provide decay heat removal capacity, provided there is space in the containment 34. Thus, the combined core makeup tank/passive residual heat removal system of this invention will remove heat equal to or greater than the decay heat emitted by the core during accident and shutdown conditions. Additionally, this system will provide sufficient borated water to the reactor pressure vessel to maintain safe shutdown of the core during all accident scenarios and will provide sufficient makeup water to maintain water levels above the top of the core during loss of coolant accident conditions. Furthermore, this system occupies minimal space within the containment by combining two safety functions into a single effective system. While specific embodiments of the invention have been described in detail, it will be appreciated by those skilled in the art that various modifications and alternatives to those details could be developed in light of the overall teachings of the disclosure. Accordingly, the particular embodiments disclosed are meant to be illustrative only and not limiting as to the scope of the invention which is to be given the full breadth of the appended claims and any and all equivalents thereof.
047568682
summary
FIELD OF THE INVENTION The invention relates to a mechanism for longitudinally moving and for securing a drive rod of a control bar of a nuclear reactor comprising a tank containing a pressurized coolant, said mechanism having an enclosure coaxial with the rod and forming a hydraulic cylinder slidably receiving the rod with which it defines a decompression chamber, and means for mechanically securing the rod in the high position thereof. The invention is particularly suitable for use in control bar operating mechanisms which are adapted to locate the bars, during a life cycle of the reactor, either in a low position in which they are inserted in the core of the reactor, or in a high position where they are outside the core. This is typically the case of control bars comprising elements containing fertile material for modifying the neutron energy spectrum in a reactor as the fuel therein is progressively exhausted. BACKGROUND OF THE INVENTION Mechanisms of the above-defined type are already known. For example, there is disclosed in French Pat. No. 2,496,193 a mechanism whose decompression chamber is connected to a low pressure discharge reservoir by pipe means having a solenoid or electromagnetic valve. The control bar is lifted by opening the electromagnetic valve so as to create a pressure differential which applies an upwardly directed force to the rod. The means for mechanically securing the rod in the high position are formed by a bi-stable toggle device whose condition changes position upon each opening and closure cycle of the electromagnetic valve. An advantage of such a mechanism is simplicity in design; on the other hand, it has drawbacks. A first drawback is that it is not possible to control, at will and independently, the force tending to lift the bar and the rising speed of the bar. In fact, both values are increasing functions of the degree of opening of the electromagnetic valve and a decreasing function of the leaks between the rod and the cylinder. It is, for example, not possible to increase the lifting force while reducing the speed. In addition, the relationship which exists between the degree of opening of the electromagnetic valve and the force (or the speed) varies over time, especially due to wear or clogging. Another drawback is related to the fact that reactors typically include several tens of control bars. If each drive mechanism comprises pipe means and an electromagnetic valve connected to a low pressure dump reservoir, a number of pipe lengths must be provided which, since they convey a flow of primary coolant, must comply with very strict safety rules, and must be protected against earthquakes and possible missiles. The pipe lengths and their electromagnetic valves are potential sources of leaks likely to cause uncontrolled lift of control bars. SUMMARY OF THE INVENTION It is an object of the invention to provide a drive and securing mechanism which associates the simplicity in design of control by hydraulic coolant discharge with control of the lifting speed. It is a more specific object of the invention to simplify the control of the mechanisms in a reactor having a large number of control bars, some of which must be displaced simultaneously so as to maintian symmetrical distribution of the neutron flux in the reactor core. It might be thought that this result can be obtained, when the drive mechanism is of the type having discharge pipes and electromagnetic valves, by connecting the decompression chambers of all mechanisms which are to operate simultaneously to a same manifold through a common electromagnetic valve. But such a design must be avoided when the securing means are of the bi-stable type, controlled at each operating cycle of the electromagnetic valve since, if for any reason correcting securing or release does not occur in one of the mechanisms, the latter will later operate in opposition of phase with respect to the other mechanisms connected to the same manifold. According to a first aspect of the invention, a mechanism of the above-defined type comprises first electromagnetically controlled means placed outside the enclosure, hydraulic means controlled by said electromagnetically controlled means for reducing the pressure of the collant in the decompression chamber so as to cause step by step lift of the rod with a predetermined step and second electromagnetically controlled means, different from the first ones, also placed outside the enclosure, for controlling hydraulic means communicating the decompression chamber with the dump tank so as to cause downward movement of the rod and release of said securing means, said hydraulic means being placed in the enclosure. According to another aspect of the invention, a mechanism of the above-defined type comprises a positive displacement reciprocating pump inserted between the decompression chamber and the inside of the tank, whose piston is actuated by first electromagnetically controlled means and, upon reciprocation, causes a lift of predetermined amplitude of the rod, and means for securing the rod in the high position releasable by second electromagnetically controlled means. With this arrangement, the lifting force and the lifting speed may be controlled independently. The lifting force depends mainly on the force exerted by the first electromagnetically controlled means. The lifting speed depends essentially on the rate of actuation of these means. The downward speed of the bar for insertion thereof into the core may be controlled independently, by adjusting the head loss in the flow path between the decompression chamber and the tank, said path being open when the second electromagnetically controlled means are energized. It will be appreciated that the mechanism of the invention does not comprise pipe lengths conveying the primary coolant outside the tank, which simplifies the overall design and removes the risks of leaks which may cause uncontrolled withdrawal of the control bars or the need to permanently make up for these leaks. Finally, because the function of lifting the rod and the function of securing the rod are separate, the first electro-magnetically controlled means of several bars may be controlled by a same generator without the risk of out of phase operation should the securing system of one of the mechanisms fail during one operation. Bar fall may be caused by gravity, by opening a coolant flow path between the inside of the tank and the decompression chamber. In another embodiment, the pump is used for accelerating the fall speed.
059237171
abstract
The present invention, in one aspect, is a method for identifying an optimum core loading arrangement. The method generally has an initialization phase and a running, or search, phase. In the initialization phase, an initial core loading arrangement is identified based on the relative reactivity levels of the bundles to be loaded and the reactor core locations. Once the initial core loading arrangement is identified, such arrangement is then optimized, within the defined constraints, in the running phase. More specifically, in the running phase, each core location is analyzed to determine whether such core location reactivity level can be changed from the initial reactivity level to either satisfy a constraint or optimize cycle energy, or both.
042004918
description
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS The invention comprises a passive system which can be used to detect the presence of radioactive lanthanum-140, the short-lived residual product of radioactive barium-140. the distribution and concentration of the radioactive lanthanum-140 indicated by the intensity of high-energy gamma radiation provides an accurate map of fission product deposition. Lanthanum-140 is characterized in that it emits gamma rays at a relatively high level of about 2.5 mev. In order to selectively produce a measurable neutron flux which corresponds to the level of gamma radiation, a threshold sensitive converter material is employed. Particular substances, such as beryllium and deuterium, exhibit a characteristic photoneutron reaction which has insignificant cross-section to radiation below an energy threshold. Above the characteristic threshold level of radiation, a photoneutron flux is created which is sufficiently high to activate materials for measuring neutron flux. The photoneutron reaction threshold of beryllium is known to be at 1.67 mev. For deuterium, the corresponding threshold energy level is 2.22 mev. One material commonly used to measure neutron flux is the activant gold. Gold and other activant materials such as silver, lutetium and manganese are known to create isotopes exhibiting a long-lived radiation emission characteristic which directly corresponds to the level of incident radiation. This isotope radiation emission characteristic can later be measured by conventional low level radiation detectors. By way of explanation and definition, the term activant is used herein to indicate materials suitable as a neutron flux detecting element. In particular, an activant is a material which, upon exposure to neutron flux, produces an activated isotape emitting radiation with a relatively long half life. For the purposes of the invention, the activant is a material having a neutron activation cross section of greater than about ten barns (10.times.10.sup.-24 cm.sup.2) and whose activated isotope emits gamma or beta radiation with an energy greater than about 50,000 electron volts with a half life of greater than about one hour. Turning now to the figure, there is depicted one practical embodiment of a device operative according to the invention for detecting and recording emissions of lanthanum-140, indicating the recent history of power distribution of a nuclear reactor fuel element. The device comprises a wand 10 consisting of a longitudinally extended tubular casing 12 filled with a sheathing material 14, such as a beryllium oxide (BeO) compound, in which is embedded a centrally disposed longitudinally extended activant 16. The casing 12 is preferably circularly cylindrical. The activant 16 may be a filament such as gold wire or another of the above named materials. A gold wire on the order of 2 mm diameter is sufficient in size. The diameter of the finished cylindrical wand 10 is sufficiently small to permit it to be introduced into the spaces between individual fuel rods of a nuclear reactor (not shown), for instance, through the holes and guide tubes used for the introduction of control rods or pins. A wand diameter of 10 mm should satisfy these requirements. The casing 12 may be a metal such as stainless steel or Zircaloy which is transmissive of gamma radiation. The casing 12 is hermetically sealed by a suitable process such as swaging or soldering to enclose the sheathing material 14 and the gold wire 16. The gold activant 16 may be on the order of 2 mm thick extending the length of the detector wand 10. The detector in cylindrical form may be manufactured in a manner similar to that used for the manufacture of thermocouples or other solid state neutron detectors. For instance, a tubular casing 12 may be swaged or drawn in a manner generally well-known in the arts. The invention need not be limited to tubular configured wands, however. A sheet detector might also find useful application. For example, a sheet may comprise sheaths 14 of neutron flux converter material sandwiching an activant foil 16 which is similarly sensitive to the neutron flux generated by the sheaths 14. The technique for use of the wand 10 illustrates the method of the invention. After the termination of the operation of the nuclear reactor, one or more wands 10 may be introduced into each fuel element whose power distribution is to be measured. The high energy gamma radiation of the short-lived lanthanum-140 activates the beryllium insulative sheath 14 which produces a secondary radiation of neutrons. The neutrons further interact with the gold to create long-lived low level radiation isotopes. The wands 10 are left in place until the neutron flux-sensitive activant 16 (the gold wire) is adequately exposed. This may normally take on the order of a few hours to several days. After the period of exposure is completed, the wands 10 are removed from the reactor fuel element for further analysis. The activant can then be analysed by passing each wand 10 through a collimated low level radiation detector which would identify the location and intensity of power production in the fuel element so that the actual power distribution history can be identified and mapped. The present invention has a number of advantages over the prior art. In particular, the invention can be used with fuel elements after discharge from the reactor to indicate power distribution during the most recent exposure history of the reactor. Secondly, the present invention stores information which can be read by an off-line system a point at a time, as for example, by means of a data collection apparatus 18 coupled to receive signals indicative of the residual radiation through a detection head 20, thereby avoiding any necessity of attempting to read all infomation simultaneously or to account for decay resulting from the time differential of detected primary radiation. A particular advantage of the present invention is that a shielded protector system is not required. Only low levels of radiation are generated in the final read-out involved in this invention. Moreover, the final read-out can be made at a relatively slow pace with substantially no concern over the time-dependent decay of primary radiation of the relatively short half-lived fission products. The invention has been described in conjunction with specific embodiments. Other embodiments incorporating the same or substantially identical features of the present invention will be suggested to those of ordinary skill in the art in view of this disclosure. It is therefore not intended that this invention be limited, except as indicated by the appended claims .
abstract
The present invention provides a method of correcting coordinates so as to quickly and properly arrange a sample in a field of view in a review apparatus for moving a sample stage onto the specified coordinates to review the sample. A review apparatus according to the present invention, which is a review apparatus for moving a sample stage onto coordinates previously calculated by a checking apparatus to review the sample, has a function of retaining a plurality of coordinate correction tables to correct a deviation between a coordinate value previously calculated by a checking apparatus and an actual sample position detected by the review apparatus. The review apparatus evaluates correction accuracy of the plurality of coordinate correction tables and applies one of the coordinate correction tables with the maximum evaluation value.
047708425
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS The present invention provides an improved sensor system that allows up to 128 remote sensor units to asynchronously and simultaneously transmit data over a common coaxial cable to a distantly located receiver and computer and receive power via the same cable. A buffer board 2, as illustrated in FIG. 1, preferably supplies alternating current power to a coaxial cable 4 which has remote units 6 attached thereto, however, the power supplied could be direct current. The cable can be a standard 1/4 or 1/2 inch 50 ohm cable. Each of the remote units 6 converts the alternating current power carried by the coaxial cable 4 to direct current to power an analog serial bus interface and other node components. The interface integrated circuit can sample low frequency sensors 8, encode the sensor signals and transmit same over the coaxial cable 4 on a designated frequency channel. Each remote unit 6 is assigned a different carrier frequency. The interface can also transmit signals from a high frequency sensor 10 over the cable 4 in the designated channel. The frequency division multiplexed signal produced by each remote sensor unit 6 is demodulated by an appropriate receiver 12 which can be tuned to the designated channel frequency. If the sensor signals have been encoded, the respective receiver 12 also decodes the sensor signals and supplies same to the computer 14. The computer 14 would normally be a sophisticated, high speed process control type machine, however, a simple IBM PC is acceptable. If the high frequency sensor signal is transmitted by the remote unit 6, the respective receiver 12 produces same as analog output signal. As illustrated in FIG. 2, a common transformer 26 couples the alternating current, at, for example, 28 volts and 60 Hz, to a power supply 28 which converts the alternating current into positive and negative direct current supplied to an analog serial bus interface integrated circuit 30. The transformer 26 also provides isolation preventing the failure of a single node from knocking out the entire system. The power supply is a common power supply which will convert a 60 cycle 28 volt signal into plus 5 volt, minus 5 volt and ground direct current sources and supply at least 100 milliwatts, the minimum necessary for the interface 30, but preferably at least one watt so that other circuits and sensors can be adequately powered. It is also possible to construct a simple power supply from two diodes, two capacitors and three terminal regulators available from National Semiconductor as described in the National Semiconductor Linear Databook, 1982, in the application hints on pages 1-20. The interface 30 includes a ripple counter 32 which receives a multibit frequency designation word from programming pins external to the chip 30. The multibit frequency designation word designates the carrier frequency assigned to the particular remote unit 6. The ripple counter 32 is reloaded with the frequency selection word from the external pins each time the decoder 38 detects a count of zero. The phase/frequency comparator 34 compares the ripple counter carry out signal to a reference frequency of approximately 44.7 kilohertz from timing counters 40. The timing counters 40 also produce timing signals for other devices on the chip 30. The timing counters 40 are driven by an oscillator 42 connected to an external oscillator crystal 44 such as a TV crystal which oscillates at approximately 3.5 megahertz. The ripple counter 32, decoder 38, phase/frequency comparator 34, voltage controlled oscillator 36, timing counters 40 and oscillator 42 create a programmable frequency synthesizer that operates off of a crystal reference such as the T.V. crystal. The timing counters 40 also control the multiplexing of low frequency analog sensors 22 by an analog multiplexer 46. The analog multiplexer 46 provides the analog signals from one of the sensors 22 to an external analog-to-digital converter 48 available from G.E. Intersil as a 7109 converter. The sample rate of the converter 48 is controlled by a timing signal from the timing counters 40. When the analog-to-digital converter 48 has converted the selected analog signal, the digital value thereof is stored in latch 50. When the prior contents of shift register 52 have been shifted out the contents of latch 50 as well as a two bit address from the analog multiplexer 46 are loaded in parallel into the shift register 52. The two bit address from the multiplexer 46 indicates which of the four analog signals is currently being sampled. The shift register 52 then serially outputs its contents to a Manchester encoder 54. The Manchester encoder 54 modifies the voltage produced by a filter 56 applied to the voltage controlled oscillator 36. The chip 30 also includes a loop filter amplifier 58 is used for filtering out high frequency components. During operation the phase/frequency comparator 34 drives the voltage produced by the filter 56 upward whenever the voltage controlled oscillator 36 is producing a frequency lower than the carrier frequency and drives the voltage downward whenever the voltage controlled oscillator frequency is higher than the carrier frequency, and tends to track the carrier frequency designated by the inputs to the ripple counter 32. As a result, the Manchester encoder 54 is frequency shift keying the signal produced by the voltage controlled oscillator 36 by raising or lowering the voltage produced by the filter 56 in dependence upon the signal output by the Manchester encoder 54. The output of the voltage controlled oscillator 36 is coupled to the coaxial cable 4 through a transformer 60. The transformer should be a high frequency transformer capable of operating in the 6 to 12 megahertz frequency range. Such a transformer can be constructed by winding several turns of wire around a ferrite core and providing an isolation resistor of 5k ohms. As can be seen from the above discussion, the interface chip 30 encodes the analog signals and modulates a carrier frequency therewith. As a result, it is possible for the chip 30 to sample and transmit the values for four low frequency analog sensors 22. If a high frequency analog input signal, such as a signal from a piezosensor, (up to 20 kHz with a dynamic range of 70dB) is to be transmitted, the high frequency signal directly modulates the voltage produced by filter 56 so that the output of the voltage controlled oscillator 36 is a carrier frequency modulated by the high frequency analog input signal. When a signal with a frequency higher than 20 kHz is being transmitted, it will occupy more than a single channel of bandwidth, as a result, it is necessary to leave adjacent channels empty. It is also possible for the chip 30 to interface the values from digital sensors by substituting the outputs of the digital sensors for the input signals from the analog-to-digital converter 48. In this manner, at least 13 binary sensors can be sampled every 133 milliseconds. Thus, the interface chip 30 of FIG. 2 is capable of operating in at least four different modes: (1) low frequency analog; (2) high frequency analog; (3) digital data from one or more digital sensors; and (4) digital data from the external A/D 48. The ripple counter 32, as illustrated in FIG. 3A and 3B, is driven with a clock signal from the voltage controlled oscillator 36. The clock signal passes through three buffers 62-66 (FIG. 3A) where the lettering next to each buffer (2X) indicates the sizing of the transistors in the buffer. The ripple counter 32 down counts the contents thereof where each set/reset flip-flop is clocked by the output from the previous stage. As the counter 32 is down counted, the output from the last stage flip-flop 82 (FIG. 3B) is applied to the phase/frequency comparator 34. When the content of the counter 32 equals zero, all of the carryout (CO) lines are at a 0 logic level, resulting in the outputs of the NOR gates 84-90, which are part of decoder 38 producing a logic 1 level. When all of the inputs to NAND gate 92 (FIG. 3B) of the decoder 38 are high, set/reset flip-flop 94 produces a load signal at the next clock signal which loads counter flip-flops 68-82 with the frequency selection count supplied from the external chip pins through inverters 130-160 and NAND gates 98-128. FIG. 4 illustrates the details of construction of each of the set/reset flip-flops 68-82 of FIG. 3. A T-switch 170 (transmission switch) supplies a NAND gate 172 with the D input. The gate 172 also receives the reset signal and a signal from switch 174. The switches 170 and 174 are activated with the clock signal. The gate 172 outputs to both switch 178 and gate 176. The set input is connected to gate 176 and gate 182. Gate 182 receives an input from either switch 178 or gate 182. Gate 182 produces an output through buffers 186 and 188 and supplies a signal to gate 184. Gate 184 also receives the reset input. The output from the counter 32 is applied to the phase/frequency comparator 34 of FIG. 5 along with a reference signal from the timing counter 40. The compared signals are applied to the clock inputs of the set/reset flip-flops 208 and 210 through signal buffers 200-206. When the flip-flops are being clocked at a constant ratio with respect to each other, the NAND 212 resets each flip-flop at the same ratio. When the outputs of the flip-flops are being clocked at the same ratio, the transistors 214 and 216, on the average, produce a constant voltage level at the junction therebetween. Whenever one flip-flop is being clocked at a changing frequency as compared to the other flip-flop, the average voltage produced by transistors 214 and 216 changes. Whenever the counter signal is slowing down, as compared to the reference signal, the output voltage produced by the phase/frequency comparator 34 rises. The rise in the output voltage, after a delay, causes the output voltage produced by the filter 56 to rise, thereby causing the voltage controlled oscillator 36 to move to a higher frequency. Whenever the ratio is falling, that is, the decoder signal is rising in frequency with respect to the reference signal, the voltage produced by the frequency phase/comparator 34 falls, thereby slowing the voltage controlled oscillator 36. The oscillator 42 of FIG. 6 is connected to the oscillator crystal 44 which is off the chip 30 and which can be a TV crystal available from CTI as Model PTI1633. The crystal signal is supplied through buffers 220-228 to the input of the timing counters 40. In addition, the output of the oscillator 42 is supplied external to the chip 30 through a buffer 230 and transistor 232 and 234. The numbers adjacent to transistors 232 and 234 indicate the sizing of the transistors necessary to produce an acceptable output that can be monitored by external circuits. The timing counters 40 include synchronous D flip-flops 240-268 and asynchronous set D flip-flops to 270-278, as illustrated in FIGS. 7A and 7B. The flip-flops have buffers and NAND gates 272-290 connected thereto which provide appropriate signal levels and set the division rate for each stage. The first stage (FIG. 7A), including flip-flops 240-246 is a divide by sixteen stage, the second stage, including flip-flops 248-252 is a divide by five stage, the third stage, including flip-flops 254-258 is a divide by six stage, the fourth stage, including flip-flops 270-278 is a divide by thirty-one stage and the last five stages (FIG. 7B), including flip-flops 260-268, are each divide by two stages. The outputs of the last four stages are applied to NAND gates 292-298 to produce the clock signals for the circuits as indicated. (See also the timing diagram of FIG. 14). The outputs produced by the NAND gates 292-298, as well as the flip-flops, are buffered by buffers 300-324, so that appropriate signal driving levels can be provided. In addition, the outputs of the high byte enable 294 and low byte enable 292 gates are provided through buffers 326 and 328 and transistors 330-336 to the external pins of the chip 30. FIG. 8 illustrates the construction of the D flip-flops 240-268. The D flip-flops each include a T-switch 340 receiving the D input. The switch 340 supplies buffer 342 which is also supplied by switch 346. The output of buffer 342 is applied to buffer 348 and switch 350. The output of switch 350 is supplied to output switch 354 which is fed back through buffer 356 to switch 352. FIG. 9 illustrates the construction of the asynchronous set D flip-flops 270-278. The asynchronous set D flip-flops each have a construction very similar to the flip-flop of FIG. 8. T-switch 360 receives the D-input and supplies buffer 362 which is also fed by switch 364 via NAND gate 366. The gate 366 receives the set input which is also applied to gate 372. Gate 372 receives another input from switch 368 and produces an output that is fed back through buffer 374 and switch 370. One of the outputs from the timing counters 40 is provided to the 4 to 1 analog multiplexer 46 illustrated in FIG. 10. The timing signal provided to the multiplexer 46 is oscillating at a frequency of 7.517 Hz, producing windows approximately 133 ms wide, resulting in a complete cycle every 532.1 ms for four conversions. The timing counter signal is applied to D flip-flops 380 and 382 which control NAND gates 384-390. The gates 384-390 produce switching signals which control T-switches 392-398 through buffers 400-414. The multiplexer 46 also provides an address to the shift register 52 which indicates which analog input is currently being output. One bit of the address is produced by exclusive OR gate 416 while the other bit is produced by flip-flop 382. That is, the grey code of the multiplexer is converter to a two bit binary address output. The address signals are buffered by buffers 418-424 which indicate appropriate sizing. The address supplied to shift register 52 is also supplied as an output of the chip 30 through transistors 426-432. If one of the inputs to the analog multiplexer 46 is connected to ground and/or to a predetermined voltage the system can provide automatic calibration. When the A/D converter 48 has converted a single analog input sample, the sample is loaded into the latches 440-464 illustrated in FIGS. 11A and 11B one byte at a time. That is, the timing counter 40 provides a low byte enable signal to latches 440-454 which loads the low byte of the sample and a high byte enable signal which subsequently loads the upper five bits of the conversion into latches 456-464. When the latches 456-464 have been loaded, register load signals from the timing counters 40 load the contents of the latches 440-464, as well as the address bits from the multiplexer 46 into dual input D flip-flops 466-500. Once the flip-flops 466-500 are loaded, a clock signal, at a frequency of 120.28 Hz, from the timing counters 40 clocks the contents of the flip-flops 466-500 serially to the Manchester encoder 54. The details of construction of each of the single bit latches 440-464 of FIG. 11 are illustrated in FIG. 12. Each single bit latch includes a T-switch 510 receiving the input and supplying same to a buffer 514. The output of buffer 514 is fed back through buffer 516 and switch 512. The details of construction of each of the dual input D flip-flops 466-500 of FIG. 11 are illustrated in FIG. 13. The dual input flip-flops receive one input through a T-switch 520 and the other input through switch 522. Both switches 520 and 522 supply switch 524. The output of switch 524 is applied to switch 528 and fed back through buffer 530 and switch 526. The outputs are produced by switch 532 through buffers 536 and 538. Switch 534 feeds back the inverted output. The timing associated with the sampling by the analog-to-digital converter 48, loading of the sample into the latches 440-464, transfer from the latches 440-464 to the shift register flip-flops 466-500 and the clocking of the samples serially to the encoder 54 is illustrated in FIG. 14. The top waveform indicates the clocking of the bits from the shift register 52 into the encoder 54 where the number within the waveform indicates the particular data bit being clocked. After the high byte and low bytes have been enabled (FIG. 14A) the digital-to-analog converter 48 begins an integration period followed by a deintegration period (FIG. 14B). During the deintegration period, the shift register 52 is loaded with the previous sample stored by the latch 50. This load enable corresponds to a dead period in the serial transmission of the shift register bits to the encoder 54. Near the end of the deintegration period, a valid data period starts during which the high and low bytes from the converter 48 are stored in the latch 50. Before a new integration cycle occurs, a delay is seen at the A/D converter 48 when using the RUN/HOLD as the clock. The delay period is approximately 113 microseconds after the low byte is latched and the multiplexer 46 is clocked. The serial bits from the shift register 52 are applied to an exclusive OR gate 550 in the Manchester encoder 54, illustrated in FIG. 15. The other input of the gate 550 receives a 120 hertz timing signal from timing counters 40. The output of gate 550 is applied to a D flip-flop 552, the construction of which is illustrated in FIG. 8. The clock signals for D flip-flop 552 and D flip-flop 554 are supplied from the timing counters 40 through buffers 556 and 558 at 240 Hz. The output of flip-flop 552 is applied to an output line through buffers 560 and 562 and T-switch 564. The output of flip-flop 554 is applied through buffers 566 and 568 controls the switch 570 is applied to and one control input of T-switch 564. FIG. 16 illustrates the timing diagram for the Manchester encoder 54. As can be seen from the timing diagram, the Manchester encoder 54 produces a signal that is on the average, zero volts. Such a signal is very important when a carrier frequency is being modulated and controlled by a voltage controlled oscillator. The use of the Manchester encoder 54 ensures that, on the average, the encoded signal produces the carrier frequency. FIG. 17 illustrates the loop filter amplifier 58 where, once again, the sizing of the transistors 580 and 582 is illustrated by the sizing numbers adjacent thereto. The filter 56 can be ordinary 3 pole, or if higher accuracy is required, a 5 pole filter which will produce a time constant of approximately 40 seconds allowing the voltage controlled oscillator 36 to receive a very steady input signal. One of ordinary skill in the art can provide an appropriate filter by reviewing a filters designs book on phase locked loops such as the Phase Locked Loop chapter of the Motorola MECL Data Book, 1982. The voltage controlled oscillator 36 is a standard ring type oscillator, as illustrated in FIG. 18. The ring type oscillator consists of cascade connected P (592 and 594) and N (590) transistors producing eleven inverter stages where the output of the transistor in the final stage is connected back to drive the input of the first stage. The output of the ring oscillator is buffered by buffers 596-602 to increase its signal level before being applied to the transformer 60 of the coaxial cable 4. The buffers, once again, indicate transistor sizing. An auxiliary output is provided through buffer 604 which is connected to an external pin of chip 30 for connection to the ripple counter 32. The voltage controlled oscillator 36 produces greater than 60 dB signal to noise. Each channel is 44.7 kHz wide, allowing 128 channels within one octave and thereby eliminating harmonic distortion considerations. The voltage controlled oscillator 36 is not hard wired back to the ripple counter 32 so that an external voltage controlled oscillator can be used, if desired. To allow the present invention to interface conveniently with other devices which use zero to five volts while still maintaining plus five to minus five volt logic within the chip, level shift circuits are provided between each input pin and the internal chip circuits and between the internal chip circuit and each output pin. An example of a level shift circuit is illustrated in FIG. 19 where, once again, the appropriate transistor sizings are indicated. Each level shift circuit includes transistors 610-624 and buffer 626. FIGS. 20 and 21, including 21A and 21B, illustrate the mask and layout, respectively, of the integrated circuit analog serial bus interface chip 30, where FIG. 20 illustrates the mask layout and FIG. 21 illustrates the relationship between the various circuits and between the circuits and the exterior chip connections. As can be seen in FIGS. 21A and 21B, the ripple counter 32 is located (FIG. 21A) in the upper left hand corner associated with side IV and with the phase locked loop bonding tabs which set the frequency of the frequency synthesizer. Below the ripple counter 32 is the decoder 38 (FIG. 21B) followed by the phase/frequency comparator 34. The voltage controlled oscillator 36 is generally located in the lower left hand corner and is surrounded by power supply lines, as illustrated in FIG. 20, so that the analog output signal produced by the voltage controlled oscillator 36 will be relatively free of the noise generated by the digital circuitry on the chip. This physical isolation is also provided to loop filter 58 which is below the voltage controlled oscillator 36. The voltage controlled oscillator 36 and amplifier 58 are powered by analog power supply bonding tabs located along the bottom side (side III) of the chip while the digital circuitry has its own power supply tabs located on sides II and IV. The separation of the power supply bonding tabs reduces noise coupled to the network. In the center of the chip, running vertically, are the timing counters 40. The timing counters 40 are located centrally because they must provide timing signals throughout the chip. The central location minimizes the length of high and low frequency signal runs within the chip. In the center of the timing counters 52 is a 10 kHz line running from one count stage at the bottom to a count stage at the top. The 10 kHz signal line is shielded on both sides by power supply lines. The oscillator 42 driving the chip is located above the timing counters 40. The latch 50 is located between the folded shift registers 52 so that each shift register will receive its data from the closest latch. The two bits of the shift register 52 which receive the address from the analog multiplexer 46 (FIG. 21B) are located adjacent to the multiplexer 46. The output of the shift register 52 is buffered through buffers 630 and coupled to the Manchester encoder 54 located next to the end of the register 52 where the serial bits emerge. The analog multiplexer 46 is located in the bottom right corner of the chip. The location in the corner allows the inputs to enter and the output to exit the chip without the need for signal crossover lines, this arrangement reduces noise coupled from the chip 30. On the right side of the chip are level shift circuits 632 which match the input signals from the analog-to-digital converter 48 with the signal level of the chip 30. As can be seen from the pin layout in FIGS. 21A and 21B, all digital signals are generally on the upper half of the chip while all analog signals are on the lower half of the chip. This arrangement, once again, isolates noise sensitive analog signal lines from the noise creating digital signal input lines. The high frequency inputs for the oscillator crystal 44 are located at the top (side I) as far from the channel signal (output #2, side III) produced by the voltage controlled oscillator 31. The lead tabs each have notches which divide each tab into two portions. Each portion is large enough to accept a lead, so that if a bonding error occurs the bonder can try again. The chip is oriented in its chip carrier with sides I and III toward the narrow side of the carrier to minimize the length of the analog signal lines, thereby increasing noise immunity. The chip 30 is created using a standard CMOS process, the details of which are available from a plurality of sources, including: Modern MOS Technology, Dewitt G. Ong, McGraw-Hill, 1984. PA1 The Physics of Semiconductor Devices, D. M. Sze, Wiley & Sons, 1984. PA1 Microelectronics-Processing & Device Design, Roy A. Colclaser, Wiley & Sons, 1980. PA1 VLSI Technology, S. M. Sze, McGraw-Hill, 1983. PA1 Integrated Circuit Fabrication Technology, David J. Elliot, McGraw-Hill, 1982. One of ordinary skill in the art can create a chip with a layout, as illustrated in FIG. 21 from the teachings of the above-listed books incorporated by reference herein. As mentioned previously with respect to FIG. 1, the coaxial cable 4 is typically supplied with 60 cycle, 28 volt alternating current from a power supply in buffer 2, as illustrated in FIG. 22. A transformer 640 converts the 120 volt, 60 cycle signal into the signal which is coupled to the coaxial cable 4 through inductors 642 and 644 of 51 pico henrys each. The returning frequency division multiplexed signal from the remote units 6 is coupled through a capacitor 646 and an ordinary radio frequency transformer 648. The signal is then passed through a six to twelve megahertz bandpass filter 650 after which it is amplified by an amplifier unit 652 which comprises series coupled HA-2540 and HA-5002 amplifiers available from Harris. The buffer board also includes a crystal oscillator 654 which is tuned to a reference of 3.579 MHz and a divide by 10 circuit which divides the reference frequency by a factor of 10. Each receiver 6, as illustrated in FIG. 22, includes a microcomputer 660 which controls a frequency synthesizer 662 to synthesize the carrier frequency of the remote unit to which the receiver corresponds. The frequency synthesizer 662 includes a programmable frequency divider which converts the crystal oscillator signal to the appropriate carrier frequency. The frequency synthesizer 662 includes a frequency synthesizer model MC145156 from Motorola, a divider model MC3393 from Motorola and voltage controlled oscillator Model C1648 from Motorola and a filter which is a 40 megahertz standard design lowpass filter designed to remove high frequency noise from the synthesized carrier signal. The synthesized carrier signal is mixed in mixer 664, such as an SL6440 from Plessey, with the modulated carriers for the various receivers provided by amplifier 652. The mixed signal is provided to a crystal filter 666 operating at a frequency of 21.4 megahertz. The crystal filter 666 selects only the channel of interest and attenuates all others. The output from the crystal filter 666 is applied to an FM detector 668 such as a CA3089 available from RCA. The FM detector demodulates and removes the carrier leaving either the Manchester encoded signal or the high/low frequency analog signal transmitted by the respective remote unit 6. If an analog signal is being transmitted by the remote unit 6, the demodulated signal is passed through a programmable attenuator 670, made with a resistor network and an analog multiplexer, such as an MC14052. The gain controlled analog signal is then applied to an amplifier 672, such as an LM 386-4 available from National Semiconductor, before the signal is output. If the Manchester encoded signal is the signal transmitted by the corresponding remote unit 6, comparators 674, such as an LM139 available from National Semiconductor, are used to determine the state of the transmitted signal and provide same to microcomputer 660. The microcomputer is preferably an 8731, available from Intel with appropriate ROM memory for storing a control program. FIG. 23 illustrates conceptually the operation of the microcomputer 660 of FIG. 22. The routine of FIG. 23 monitors a communication link to the computer 14 for commands therefrom and is interrupted by an interrupt timer to perform detection of encoded bits transmitted to the receiver 12 from the associated remote unit 6. The interrupt routine is discussed, in general, with respect to FIG. 27 and, in more detail, with respect to FIG. 28. After a power-on reset occurs 700 and the microcomputer 660 is intialized 702, the microcomputer 660 retrieves 704 the receiver frequency from the bus to computer 14 and loads 706 the frequency synthesizer 662 with the appropriate frequency designation word. Next, the processor 660 sets 708 the interrupt timer to 200 microseconds. That is, at the end of 200 microseconds the processor will examine the comparators 674 to determine whether a start bit has been received from the Manchester encoder 54 of the respective remote unit 6. The microcomputer 660 then enters into a loop wherein the bus from computer 14 is periodically examined to determine if a command has been received from the computer 14. The commands include tasks associated with updating 712 the synthesizer frequency, sending 714 the last encoded word, sending 716 the last four encoded words, sending 718 the last sixteen encoded words, sending 720 the current frequency and sending 722 a self-test. At the beginning of the control routine, as illustrated in FIG. 24A during the power on reset function, the address for the input from the computer 14 bus is stored 730, after which the count register (TCON), interrupt enable register (IE), interrupt priority register (IP), serial count register (SCON) and program status word register (PSW) are initialized 732. Next, the microcomputer 660 begins monitoring the bus to computer 14 to determine whether a frequency word for this particular microcomputer (receiver) has been received. The first step is to examine 734 the receive interrupt flag to determine whether it has been set, indicating that the frequency word has been received. If the receive/interrupt flag has been set, then the microcomputer 660 examines 736 the most significant bit to determine whether it is a 1. If it is not a 1, the receive interrupt flag is set 738 to 0 and the microcomputer 660 continues examining words. If the most significant bit is a 1 then the microcomputer determines 740 whether the word is addressed to itself and then determines 742 whether the word is a frequency designation word. If it is a frequency designation word, the receive interrupt flag is set 744 to 0 followed by a check 746 to determine whether there has been another receive interrupt. If an interrupt has not occurred, then the most significant bit of a valid frequency (0-127) must always be zero. This serves as an additional check to make sure that the data is valid before the synthesizer is loaded. Once the microcomputer 660 has determined that the received word is the frequency designation word, the frequency is stored in the buffer for the frequency synthesizer. P3.5 and P3.6 (FIG. 24B) are microcomputer 660 output lines used to load data serially into the synthesizer 662. Next, the synthesizer update routine is called 752. This routine loads the designated frequency into the frequency synthesizer 662 and will be discussed in more detail with respect to FIG. 25. Once the frequency has been set, various flags and counters are set 754, after which the interrupt timer is set 756 to 200 microseconds. Next, the interrupt enable flag is set and the timer count register is started 758. The loop depicted in FIG. 23 is illustrated in more detail in FIGS. 24C and 24F. The program enters into a loop during which the receive interrupt flag is checked 760 (FIG. 24C) to determined whether a word has been received. If a word has been received, the interrupt flag is set 762 to 0 zero followed by a determination 764 as to whether the word is addressed to this receiver. If the word is addressed to this receiver, a check 766 is made to determine whether the most significant bit is 1. If the most significant bit is 1, the flag which indicates that a communication has been received is set 768 to 0. Next, a check 770 is made to determine whether the word is a frequency update command and if so, the receiver enters a loop in which the receive interrupt flag is checked 772 until a word has been received. The receive interrupt flag is then set 774 to 0 after which the most significant bit is examined 776 (FIG. 24D) to determine whether it is 0. If so, it indicates that a correct frequency specification word has been received and the frequency is loaded 778 into the frequency synthesizer variable. The interrupt timer is then disabled 780 and the counter is disabled 782. Next, the synthesizer update subroutine is called 784 to update the frequency produced by the synthesizer 662. After the frequency update, the appropriate pointers and flags are updated 786 followed by the setting 788 of the interrupt timer to 200 microseconds. Once the interrupt timer has been set, the timer is enabled 790 and the timer count register is also enabled 792. If the word received was not a frequency update word, then the processor determines 794 (FIG. 24E) whether a valid request has been received and if so, executes the appropriate function. If an invalid request has been received 796, the bad word is just ignored. If a command for sending words is detected, an array counter is set 798-802 to the appropriate value. The starting address (X) of the word or words to be transmitted is then stored 802 and the process enters a loop (FIG. 24F) during which the word or words are transmitted. During this loop, the send subroutine is called 812 and will be discussed in more detail with respect to FIG. 26. If one of the other commands has been detected, the appropriate word is loaded 816 (FIG. 24F) and 818 into the transmit buffer or the appropriate self-test flag is set 820 to 0. If the transmission of the frequency or self-test is required, the program status word bit for enabling the bus is set 822 to 0 after which the call subroutine is executed 824. When the last word has been transmitted, the transmit interrupt flag will be set to 1 and the receiving communication flag will be set 828 to 1. Next, the program status word bit is updated 830 to set the bus connection between the microcomputer 660 and the common bus to a high impedance state. The bus connection between the computer 34 and microcomputer 660 is a tristate bus in which the bus connection can be set to a high impedance state whenever the microcomputer 660 is not accessing the bus. FIG. 25 illustrates the details of the subroutine which loads the frequency synthesizer 662 with the appropriate frequency designation word. First, the gain bits for the synthesizer are set 842 followed by the setting 844 of the indicated bits. P3.7 is the data output and P3.6 is the frequency synthesizer 66Z clock. Step 844 clocks a 0 into the most significant bit of the synthesizer 662. Next, the bit pattern for the designated output frequency is retrieved 846 from a look-up table which includes 128 words where each word corresponds to the frequency of a possible channel to be received by the receiver 6. The look up table, which correlates frequency or channel number with synthesizer bit pattern, can be created by one or ordinary skill in the art. Next, the microcomputer 660 enters a loop. During this loop using P3.7 as the output port and P3.6 as the clock, the loop shifts 858 the data to the left and by comparing 850 the word to 32767, determines if the next data bit to the synthesizer should be a 1 or 0. That is, if the word is greater than the constant, the output bit is set 854 to 1, otherwise it is set 851 to 0. When the appropriate frequency is loaded, the microcomputer 660 latches 862 the new frequency by toggling the appropriate bit of the program status word. The processor then waits 80 milliseconds to allow the synthesizer to switch to the new frequency before returning 866. FIG. 26 depicts the details of the send subroutine in which the transmit interrupt flag is checked 872 to determine whether it is a 1 indicating that the bus is not occupied. If the bus is not occupied, the transmit interrupt flag is set to 0 indicating that the bus is occupied and the contents of the buffer are loaded into the transmitter. The contents of the variable XMIT is loaded into a buffer named SBUF and the buffer proceeds to shift out the word. Once the contents of the buffer are transmitted, the routine returns 878. The interrupt routine, illustrated conceptually in FIGS. 27A and 27B, begins by determining 892 (FIG. 27B) whether the start bit flag is set. If the flag is set a determination is made 894 (FIG. 27A) concerning whether the bit being detected is the start bit. The start bit is detected by one of the comparators 674 outputting a signal indicating the input signal is high and the other of the comparators indicating that the input signal is low. That is, the start signal is between the high and low values, as depicted in FIG. 16A. If the start bit is being detected, the width counter is incremented 896 followed by the setting 898 of the interrupt timer to 200 microsecond, an interval that allows an accurate determination of the width and end of the start bit. That is, while the start bit is being detected, the width of the start bit is being measured by the interrupts. If the start bit is not being detected, determination is made 900 as to whether the start bit has a zero width. If so, the interrupt timer is set 898 to 200 microseconds and the microcomputer will continue to look for a start bit of non-zero width. If the start bit has a non-zero width, a determination is made 902 whether the start bit is of the correct width. If the correct width has not yet been detected, then the timer is once again set to 200 microseconds and another interrupt is awaited. If the start bit is of the correct width, the start bit flag is reset 904 and the timer between interrupts is set 906 to a longer period of 2.6 milliseconds. The 2.6 millisecond interval should cause an interrupt in the middle of the first data bit. A start bit is preferably 8.31 milliseconds wide and each data bit is 8.31 milliseconds wide. If the start bit flag is not set and an interrupt has occurred, the microcomputer shifts 908 (FIG. 27B) in the data bit. The value of a data bit can determined by examining the output produced by only one of the comparators. The microcomputer 660 then determines 910 whether this is the last bit of the word and if not, sets the interrupt timer to the interval between data bits (8.31 milliseconds). If the bit is the last bit of the word then the word is stored 914 and the start bit flag is set 916 followed by the setting of the timer for the deadband interval between the end of the last bit and the beginning of the start bit of the next encoded word. FIGS. 28A and 28B illustrate the interrupt routine of FIG. 27 in greater detail whereby the flags, status bits, etc., are examined. First, the register bank pointer to the bank, which is used for storing the incoming word is set 930 (FIG. 28A) to 1 followed by disabling 932 of the timer count register. Then the start bit flag, as previously discussed, is examined 934 followed by a comparison 936 of the indicated input bits. These bits indicate whether a start bit level is detected. If the start bit counter value is greater than 39 the value of the self-test word is examined 940 (FIG. 28C). If the value of the self-test word is not 7F, the self-test counter is incremented by one followed by a setting 946 of the start bit counter to 0. A value of 7F indicates 128 start bits have not been detected. The bit counter indicates which bit of an encoded word is presently being input. When the start bit counter is less than 39 it is examined 948 to determine whether the start bit counter is greater than or equal to 24. If not, the start bit counter is examined 950 and if it is 0, another self-test check is performed, otherwise the start bit counter is set 946 to 0. If the start bit counter is greater than or equal to 24 the program status bit indicated is set 952 to 1 followed by the setting 954-958 of various flags and values to 0. The interrupt timer is also set 960 followed by setting the program status word bit indicated to zero. If the start bit has been detected the start bit counter is examined 962 (FIG. 28A) to determine whether it is at its maximum value. If not, the start bit counter is incremented 964 followed by the setting 966 (FIG. 28B) of the interrupt timer at the value for continuing to examine the start bit. If the start bit flag is not equal to 1, the program status bit for setting the strobe high is set 968 (FIG. 28A) to 0. Next, the data word is shifted 970 left, by one, followed by a check 972 of the input data bit indicated to determine whether the incoming bit is a 1 or a 0. If the incoming bit is equal to 1 a 1 is added 974 (FIG. 28B) to the data word. If the incoming bit status word bit is equal to 0, a 0 is added 976 to the data word. Block 976 is intended to equalize the program delay associated with adding in block 974. Next, the bit counter is incremented 978 followed by setting 980 the test point I/O bit indicated to 0. If the bit counter is determined 982 to be equal to 15 then the end of the word has been detected and the array pointer for storing the word is updated 984. If the array pointer is equal to 17 then the last word in the array available for storing incoming data has been filled and the pointer must rotate back to the beginning of the array by setting 988 the array pointer back to 0. Once a valid array pointer has been determined, the data is stored 990 in the appropriate location of the incoming buffer. The interrupt timer is then set 992 to 4.1 milliseconds to detect the next incoming data bit. If the bit counter is not equal to 15 then the interrupt timer is set 994 to a value appropriate for detecting the next data bit. Once the interrupt timer has been set to the proper value, the counter is enabled 996 followed by the setting 998 of the register bank to 0. The many features and advantages of the invention are apparent from the detailed specification and thus, it is intended by the appended claim to cover all such features and advantages of the invention which fall within the true spirit and scope thereof. Further, since numerous modifications and changes will readily occur to those skilled in the art, it is not desired to limit the invention to the exact construction and operation illustrated and described and accordingly, all suitable modifications and equivalents may be resorted to, falling within the scope of the invention.
047138335
description
Referring to FIG. 1, an X-ray target 10 is illustrated located in a region of magnetic field H, produced by a super conducting solenoid 9, the direction of the field and of the lines of flux being indicated by an arrow 11. The target 10 comprises a block of metal, typically magnesium, having a face 12 exposed to be bombarded by energetic electrons. The target 10 is water cooled by means of pipes and conduits 13 and 14. In FIG. 1, the magnetic field H is illustrated as uniform and linear over an extended region. An electron source is shown generally at 15 also located in the region of magnetic field H and arranged to accelerate electrons towards the target in the direction parallel to the lines of flux indicated by the arrows 11. The magnetic field H and the positioning of the target 10 and electron source 15 is such that the source and the target are interlinked by lines of flux of the magnetic field H. The source 15 comprises a wire filament 16, typically of tungsten, supplied with DC current from a source illustrated by battery 17. The DC current heats the filament 16 to a temperature at which it emits thermionic electrons. A grid or iris 18 is located between the filament 16 and the X-ray target 10 across the lines of flux interlinking the target and filament. The grid or iris 18 is held at earth potential and the filament 16 is held at a relatively high negative potential, typically in excess of 15 kV, by means of a DC EHT supply indicated in FIG. 1 for convenience by the battery pile 19. Thus, an accelerating electric field is established between the grid or iris 18 and the filament 16 so that thermionic electrons from the filament are accelerated by the electric field towards the X-ray target 10. The operation of an electron gun of this general kind is well known and will not be described further herein. It is sufficient to note however that the electrons for bombarding the X-ray target 10 are accelerated by electric field between the filament 16 and the grid or iris 18. The target 10 itself is held at earth potential. The magnetic field H is arranged to be sufficiently strong to ensure that electrons accelerated from the filament 16 are constrained to spiral or execute helical paths about the flux lines towards the face 12 of the target 10. Since flux lines interlink the filament 16 and the target 10, the flux of electrons bombarding the target is maximised. The spacing between the target 10 and the source of electrons 15 is not critical and the two elements of the X-ray source may with advantage be at some distance, as compared with X-ray sources known hitherto. The proximity of the target 10 and electron source 15 as illustrated in FIG. 1 is exaggerated for simplicity and the flight path 20 of accelerated electrons towards the target 10 may be considerably longer. The source of electrons may thus be located in a region of lower magnetic field strength than the anode so that emission may take place over a relatively large area which is projected onto the anode at reduced size. In this way problems of space charge at the source of electrons can be minimised. In order to ensure that electrons accelerated to energies in excess of 15 kV and having components of these energies at angles to the lines of magnetic flux are fully constrained to spiral about the lines of flux, the magnetic field must be of sufficient strength over the entire flight path of the electrons. Magnetic fields of the order of 7 Tesla have been found satisfactory. It can be shown that the cyclotron orbit of an electron of an energy of 10 kV in a magnetic field of this magnitude has a diameter of only approximately 100 microns. Thus electrons travelling to the target at such energies in such a field are brought to the target with a spacial uncertainty of less than 100 microns. The magnetic field may be produced by superconducting solenoid magnets. Technology for this purpose is well established and no further details are given herein. Referring now to FIG. 2, a variation is illustrated of the arrangement shown in FIG. 1. The X-ray source of FIG. 2 may be used in a photo-electron spectroscope or photo-electron microscope as the electron source for irradiating specimens to emit photo-electrons for analysis purposes. Photo-electron spectroscopes are known and a particular form of photo-electron microscope is described in the specification of International patent application No. PCT/GB 82/00008. The X-ray source illustrated in FIG. 2 could be used in the photo-electron microscope described in the above-mentioned patent application. In that photo-electron microscope, the specimen is located in a region of high magnetic field which constrains photo-electrons emitted by the specimen to spiral around the flux lines of the field and thereby maximising the photo-electron flux for analysis purposes. Considering FIG. 2, a specimen 30, is located on the axis of an axially symmetrical magnetic field such as produced by a super-conducting solenoid 31. The specimen 30 is arranged to be irradiated with X-rays from an X-ray target 32 such as that illustrated in FIG. 1. The X-ray target 32 is located also in the region of high magnetic field close to the specimen 30 but slightly off the axis of the field. Energetic electrons from an electron gun illustrated generally at 33 are focused onto the target 32 by means of the magnetic field. The super-conducting solenoid 31 is arranged so that the field is weaker in the region of the electron gun 33 with the lines of magnetic flux diverging from the axis as illustrated in the drawing. Thus, the electron gun 33 is located rather further off the axis 34 than the target 32 such that the gun 33 and the target 32 are interlinked by the curved lines of flux of the magnetic field. In the same way as described above, electrons are accelerated by the gun 33 and constrained to travel along the curving lines of flux so as to bombard the target 32 to produce the desired X-rays which irradiate the specimen 30. The magnetic field strength is sufficient to constrain the electrons at the accelerated energy to follow the curved path 35 illustrated in FIG. 2. Again, the target 32 can be at earth potential because any elastically scattered electrons from the target are also constrained to spiral back along the lines of flux and therefor cannot contaminate the specimen 30 which is located off the flight path 35 of the electrons. An aperture 36 is provided along the flight path 35 to block the direct straight line of sight between the filament of the electron gun 33 and the target 32 and specimen 30. Thus, as a result of the curved path 35 of the electrons, neither the target 32 nor the specimen 30 can be contaminated by material evaporated off the filament. Because the target 32 is at earth potential, there is no need for the usual electrical screens necessary for X-ray sources having positive target anodes. As a result the target 32 can be positioned closer to the specimen 30 to maximise the X-ray flux onto the specimen. In the arrangement illustrated, the elements of the X-ray source and the specimen 30 of the photo-electron microscope or spectroscope share a common evacuated chamber. However, it may nevertheless be desirable to provide separate pumping for the X-ray source and for the spectroscope or microscope. It will be then necessary to provide a window between the X-ray source and the specimen 30 which is transparent to X-rays. An aluminum foil window may be used. The problem of bombardment of the aluminum window with scattered electrons is obviated so that the danger of excessive heating of the window or the generation of aluminum characteristic parasitic X-rays in the window is avoided. Referring now to FIGS. 3 and 4 two arrangements for the filament 16 of the electron gun or source 15 (FIG. 1) 33 (FIG. 2) are illustrated. Referring to FIG. 3, the filament 40 is arranged to extend in a straight line between support posts 41 and 42. The line of the filament 40 is arranged to be at an acute angle as illustrated to the direction of the magnetic field H. As a result the magnitude of Lorenz forces on the filament wire 40 caused by the DC current i flowing in the wire is reduced, thereby minimising the stress on the filament during operation and undesirable deviation of the filament. It will be understood that the smaller the angle between the line of the filament 40 and the field H the less is the Lorenz force on the wire. However, if the wire 40 is parallel to the field, then the field has the effect of preventing escape of thermionically emitted electrons from the wire. Thus, a compromise angle is employed at which the Lorenz force is satisfactorily reduced without excessive reduction in the electron flux from the filament. Angles between 5.degree. and 30.degree. to the field may be suitable. An alternative arrangement is illustrated in FIG. 4 in which the filament 50 extends in a circular path between the two supporting pillars 51 and 52 which are arranged side-by-side. The circular filament 50 is orientated in a plane at right angles to the direction of the field H. In operation, the DC voltage supply to heat the filament 50 is connected between the ends of the circular filament 50 so that the DC current flows about the filament in a direction relative to the direction of the field H which produces a force on the wire of the filament 50 directed radially outwards of the circular filament. In this way, the forces about the wire of the filament 50 do not cause the wire to deviate from the illustrated position, provided the wire of the filament has sufficient strength in tension when heated. Furthermore, forces applied by the ends of the filament 50 to the post 51, 52 are purely tension forces in the wire of the filament so that sheer forces between the ends of the wire and the connecting posts can be eliminated.
claims
1. An x-ray source comprising:an electron source for generating an electron beam;an anode, at which the electron beam is directed to produce x-rays, the anode comprising a layer of a metal on a substrate, the metal layer being less than 8 micrometers thick;a monochromator for suppressing Bremsstrahlung radiation in the x-rays relative to x-ray radiation of a characteristic line of the metal; anda central stop for spatially filtering the x-rays. 2. An x-ray source as claimed in claim 1, wherein the metal layer of the anode is thin, being less than 3-5 micrometers thick. 3. An x-ray source as claimed in claim 1, wherein the metal layer comprises copper. 4. An x-ray source as claimed in claim 1, wherein the metal layer comprises chromium, tungsten, platinum, or gold. 5. An x-ray source as claimed in claim 1, wherein the substrate comprises beryllium. 6. An x-ray source as claimed in claim 1, wherein the substrate comprises carbon. 7. An x-ray source as claimed in claim 1, wherein the substrate comprises diamond. 8. An x-ray source as claimed in claim 1, further comprising a barrier layer between the metal layer and the substrate. 9. An x-ray source as claimed in claim 1, wherein a thickness of the metal layer is selected based on an acceleration voltage of the electron beam such that electrons lose only about 5-15% of their energy in the metal layer. 10. An x-ray source as claimed in claim 1, wherein an energy of the electron beam more than 8 times an atomic shell ionization energy of the metal layer. 11. An x-ray source as claimed in claim 1, wherein an energy of the electron beam about 15 times an atomic shell ionization energy of the metal layer, or more. 12. An x-ray source as claimed in claim 1, further comprising a pin hole aperture. 13. An x-ray source as claimed in claim 1, wherein the x-rays are collected at a take-off angle of 6-45 degree relative to the layer of the metal. 14. An x-ray source as claimed in claim 1, wherein a focal spot size of the electron beam on the metal layer is less than 5 micrometers. 15. A method for generating x-rays, comprising:generating an electron beam;directing the electron beam at a metal layer to generate x-rays, the metal layer being less than 8 micrometers thick;filtering the x-rays to suppress Bremsstrahlung radiation relative to x-ray radiation of a characteristic line of the metal; andspatially filtering the x-rays with a central stop. 16. A method as claimed in claim 15, wherein the metal layer is less than 3 micrometers thick. 17. A method as claimed in claim 15, wherein an energy of the electron beam is more than 8 times an atomic shell ionization energy of the metal layer. 18. A method as claimed in claim 15, wherein an energy of the electron beam is about 15 times an atomic shell ionization energy of the metal layer, or more. 19. A method as claimed in claim 15, wherein the step of filtering comprises using a monochromator. 20. A method as claimed in claim 15, further comprising spatially filtering the x-rays with a pin hole aperture. 21. A method as claimed in claim 15, further comprising collecting the x-rays at a take-off angle of 6-45 degree relative to the layer of the metal. 22. A method as claimed in claim 15, wherein a focal spot size of the electron beam on the metal layer is less than 5 micrometers.
summary
claims
1. An implantation system comprising:a first dose integrator comprising:a first input configured to receive a first current generated from charges carried by implanted ions in a wafer, anda first output configured to output a first accumulated dosage value;a second dose integrator comprising:a second input configured to receive a second current generated from the charges carried by the implanted ions in the wafer, anda second output configured to output a second accumulated dosage value; anda current divider to generate the first current and the second current from the charges carried by the implanted ions in the wafer. 2. The implantation system of claim 1 further comprising a processing unit comparing the first accumulated dosage and the second accumulated dosage to detect a drift in at least one of the first and the second dose integrators. 3. The implantation system of claim 1, wherein the first current and the second current are substantially equal. 4. The implantation system of claim 1 further comprising a third dose integrator including a third input configured to receive a third current generated from the charges carried by the implanted ions in the wafer, and a third output configured to output a third accumulated dosage value, and wherein the first, the second and the third accumulated dosage values are used for determining a drift in one of the first, the second and the third dosage integrators. 5. An implantation system comprising:an implanter;a current divider having a current input, a first divider current output, and a second divider current output;a first dose integrator coupled to the first divider current output and having a first accumulated dosage output;a second dose integrator coupled to the second divider current output and having a second accumulated dosage output; anda processing unit coupled to the first accumulated dosage output and the second accumulated dosage output. 6. The implantation system of claim 5, wherein the first divider current output and the second divider current output are substantially equal. 7. The implantation system of claim 5, wherein the first divider current output and the second divider current output have a difference of less than about one percent of a sum of the first divider current output and the second divider current output. 8. The implantation system of claim 5, wherein the first divider current output and the second divider current output are substantially different. 9. The implantation system of claim 5 further comprising a third dose integrator coupled to a third divider current output and having a third accumulated dosage output, wherein the processing unit compares the first, the second and the third accumulated dosage outputs to detect a drift in one of the first, the second and the third dosage integrators. 10. The implantation system of claim 5, wherein the processing unit is signally connected to the implanter, and wherein the processing unit stops the implanter when a drift is identified. 11. A method of fabricating a semiconductor device comprising:connecting a current divider into a current path, the current path being connected to a wafer in an implanter;implanting ions into the wafer;conducting a wafer current generated from the ions to a current divider, wherein the current divider generates a first current and a second current from the wafer current;inputting the first current into a first dose integrator, wherein the first dose integrator outputs a first accumulated dosage output;inputting the second current into a second dose integrator, wherein the second dose integrator outputs a second accumulated dosage output; andcomparing the first accumulated dosage output and the second accumulated dosage output to detect a drift in one of the first and the second dose integrators. 12. The method of claim 11 further comprising stopping the step of implanting the ions into the wafer when the drift is detected. 13. The method of claim 11, wherein the drift is detected when a relative difference between the first accumulated dosage output and the second accumulated dosage output is greater than about one percent of a combined dosage output of the first and the second accumulated dosage outputs. 14. The method of claim 11, wherein the current divider divides the wafer current into the first current and the second current. 15. The method of claim 11, wherein the first current and the second current are substantially equal. 16. The method of claim 11, wherein the first current and the second current are substantially different. 17. The method of claim 11 further comprising a calibration process to calibrate the first and the second accumulated dosage outputs. 18. An implantation system comprising:a first dose integrator comprising:a first input configured to receive a first current generated from charges carried by implanted ions in a wafer, anda first output configured to output a first accumulated dosage value;a second dose integrator comprising:a second input configured to receive a second current generated from the charges carried by the implanted ions in the wafer; anda second output configured to output a second accumulated dosage value;a processing unit comparing the first accumulated dosage and the second accumulated dosage to detect a drift in at least one of the first and the second dose integrators;an electrical node connected to the wafer; anda current divider connected to the electrical node and generating the first current and the second current from a current flowing through the electrical node, wherein the current divider comprises:a first output connected to the first input of the first dose integrator; anda second output connected to the first input of the second dose integrator. 19. The implantation system of claim 18, wherein the first and the second currents are substantially equal to each other.
052951707
claims
1. A nuclear reactor, comprising a containment vessel that may be flooded to a maximum post accident water level, a reactor core contained within the containment vessel and means for adjusting the pH of post accident water in the containment vessel, characterized in that the means for adjusting the pH comprises: a tank for containing a basic substance located at an elevation above the maximum post accident water level; means for providing a first signal indicative of a radiation level within the containment vessel greater than a predetermined radiation level; and valve means in fluid communication with the tank for allowing the basic substance to drain by gravity into the the containment vessel in response to the first signal. means for providing an atmosphere of a non-reactive gas to the tank for preventing degradation of the liquid NaOH. (a) a tank being capable of containing sufficient liquid NaOH to adjust the pH of post accident water in the containment vessel to about 7 and located at an elevation above the maximum post accident water level; (b) first and second pH sumps together being capable of holding the contents of the tank located proximate the ECCS sumps; (c) sensor means for providing a trigger signal, characterized by: (d) first and second drain lines extending downward from and in fluid communication with a lower end of the tank; (e) first and second squib valves connected to the first and second drain lines respectively and responsive to the trigger signal; (f) third and fourth drain lines extending downward from the first and second squib valves respectively; (g) means for routing the contents of the tank to the first and second pH sumps in about equal proportions. (i) a common line connected to the third and fourth drain lines at their lower ends; (ii) first and second flow adjustment means connected to the common line; (iii) a first director line connected at a first end to the first flow adjustment means and extending downward to the first pH sump at an open second end; and (iv) a second director line connected at a first end to the second flow adjustment means and extending downward to the second pH sump at an open second end; storing a basic liquid in the containment vessel at an elevation above the maximum post accident water level; monitoring the radiation level within the containment vessel; and draining the basic liquid by gravity to a location in the containment vessel below the maximum post accident water level when the monitored radiation level exceeds a predetermined radiation level. 2. The nuclear reactor of claim 1, wherein the valve means is an explosive squib valve. 3. The nuclear reactor of claim 1, wherein the means for adjusting the pH is further characterized by routing means for directing the basic substance to spaced apart locations in the containment vessel below the maximum post accident water level. 4. The nuclear reactor of claim 3, wherein the containment vessel is characterized by pH sumps located at the spaced apart locations being capable of holding the entire contents of the tank. 5. The nuclear reactor of claim 4, Wherein each pH sump is located proximate to a safety injection sump. 6. The nuclear reactor of claim 1, wherein the tank comprises means for sampling the contents of the tank. 7. The nuclear reactor of claim 1, wherein the basic substance is liquid NaOH. 8. The nuclear reactor of claim 7, wherein the valve means is an explosive squib valve. 9. The nuclear reactor of claim 8, wherein the means for adjusting the pH is further characterized by routing means for directing the basic substance to spaced apart locations in the containment vessel below the maximum post accident water level. 10. The nuclear reactor of claim 9, wherein the containment vessel is characterized by pH sumps located at the spaced apart locations being capable of holding the entire contents of the tank. 11. The nuclear reactor of claim 10, wherein each pH sump is located proximate to a safety injection sump. 12. The nuclear reactor of claim 11, wherein the tank comprises means for sampling the contents of the tank. 13. The nuclear reactor of claim 12, wherein the tank comprises a vacuum breaker positioned proximate a top end of the tank. 14. The nuclear reactor of claim 13, wherein the means for adjusting the pH further comprises: 15. A nuclear reactor, comprising a containment vessel that may be flooded to a maximum post accident water level, a reactor core contained within the containment vessel, first and second ECCS sumps within the containment vessel, and means for adjusting the pH of post accident water in the containment vessel; characterized in that the means for adjusting the pH comprises: 16. The nuclear reactor of claim 15, wherein the means for routing the contents of the tank comprises: 17. The nuclear reactor of claim 15, wherein the means for adjusting the pH further comprises a gas line connected to the tank for providing N.sub.2 gas to the interior of the tank. 18. The nuclear reactor of claim 15, wherein the means for adjusting the pH further comprises first and second vacuum breakers in gas communication with the upper end of the tank. 19. A method of adjusting the pH of post accident water in a nuclear reactor, the nuclear reactor being characterized by a containment vessel that is capable of being flooded to a maximum post accident water level and a reactor core located within the containment vessel at an elevation below the maximum post accident water level, comprising the steps of:
claims
1. A volumetric computed tomography (VCT) system for producing, in one single rotation, x-ray measurements for reconstructing a plurality of image slices through a portion of a subject positioned along an axis of rotation, the VCT system comprising:an x-ray source array having a plurality of source positions for producing x-rays emanating from each of the source positions, wherein the x-ray source positions are arranged in a two-dimensional array having an extent in the direction of the axis of rotation;an x-ray detector array for acquiring x-ray measurements for each of the source positions separately, the x-ray detector array including x-ray detector elements that are spaced one from the other in the direction of the axis of rotation; andmeans for rotating the source array and the detector array about the axis of rotation,wherein the x-ray source array and the x-ray detector array have comparable extent along the direction of the axis of rotation. 2. The VCT system of claim 1, further comprising a collimator to partly limit the divergence of the x-rays emanating from each of the source positions, wherein the divergence is partly limited in the direction of the axis of rotation, and wherein each source position illuminates multiple x-ray detector elements in the direction of the axis of rotation. 3. The VCT system of claim 2 wherein each source position illuminates a substantial fraction of the x-ray detector elements in the direction of the axis of rotation. 4. The VCT system of claim 3 wherein each source position illuminates the entire array of detector elements in the direction of the axis of rotation. 5. The VCT system of claim 1, wherein said x-ray source positions are equally spaced in at least one direction. 6. The VCT system of claim 1, wherein said x-ray source positions are unequally spaced in at least one direction. 7. The VCT system of claim 1, wherein detector elements in said detector array are equally spaced in at least one direction. 8. The VCT system of claim 1, wherein detector elements in said detector array are unequally spaced in at least one direction. 9. The VCT system of claim 1, wherein said x-ray source array is a two-dimensional scanned anode x-ray source. 10. The VCT system of claim 1 wherein the array of detector elements is a two-dimensional array. 11. The VCT system of claim 1 wherein the array of detector elements is a one-dimensional array. 12. A method of volumetric computed tomography comprising:producing x-rays from a plurality of source positions arranged in a two-dimensional array having an extent in the direction of an axis of rotation;passing the x-rays from each source position through an object to produce transmitted x-rays from each source position;measuring the transmitted x-rays from each source position with a detector array, the detector array containing elements separated in the direction of the axis of rotation; androtating the source and the detector array around the axis of rotation;wherein the plurality of source positions and the detector array have comparable extent in the direction of the axis of rotation. 13. The method of claim 12, further comprising partly limiting a divergence of the x-rays from each source position using a collimator, wherein the divergence is partly limited in the direction of the axis of rotation such that the x-rays exiting the collimator do not all travel in a plane substantially perpendicular to the axis of rotation, and wherein each source position illuminates multiple elements separated in the direction of the axis of rotation. 14. The method of claim 12 wherein each source position illuminates a substantial fraction of the x-ray detector elements in the direction of the axis of rotation. 15. The method of claim 13 wherein each source position illuminates the entire array of detector elements in the direction of the axis of rotation. 16. The method of claim 12 wherein said detector array forms a one-dimensional linear array. 17. The method of claim 12 wherein said detector array forms a two-dimensional array. 18. The method of claim 12 wherein said plurality of source positions have an axial extent comparable or exceeding the axial extent of the object. 19. The method of claim 12 wherein said detector array has an axial extent comparable or exceeding the axial extent of the object.
047553324
claims
1. An apparatus for pelletizing a radioactive waste powder comprising: a pelletizing section having a radioactive powder receiving cavity: die means including a pelletizing die which has one end facing and opening into said cavity defined in said pelletizing section for receiving said radioactive waste powder in said die from said cavity and the other end exposed to the atmosphere, said pelletizing die being formed therein with a through bore extending from said one end to said other end of said die; a first pelletizing rod arranged to be inserted through said receiving cavity into said through bore from said one end of said die such as to be capable of being drawn out therefrom; a second pelletizing rod arranged to be inserted into said through bore from said other end of said die such as to be capable of being drawn out therefrom; forming an air and powder sealing connection between said second pelletizing rod and said through bore when said second pelletizing rod is within said bore; means for operating said first and second pelletizing rods for keeping said second pelletizing rod in a position partially inserted into said through bore by a predetermined amount, and for simultaneously inserting said first pelletizing rod through said receiving cavity into said through bore and for moving said rods relatively toward each other to a final compressed position thereby pelletizing said powder into a pellet within said through bore; and air discharge passageway means extending from said through bore substantially between said rods in said final compressed position to said receiving cavity for guiding all the air compressed in said through bore during pelletizing to be discharged along with entrained powder only into said receiving cavity without causing any of said compressed air to leak around said second pelletizing rod with entrained powder into the atmosphere during said pelletizing operation thereby increasing the pellet strength, decreasing pelletizing time and preventing atmosphere contamination with the powder. providing a pelletizing section having a receiving cavity; feeding radioactive waste powder into said cavity; providing a pelletizing die with one end facing said cavity defined in said pelletizing section, and receiving said radioactive waste powder in said die through said one end from said cavity and exposing the other end to the atmosphere; forming a through bore extending from said one end to said other end of said die; inserting a first pelletizing rod through said receiving cavity into said through bore from said one end of said die such as to be capable of being drawn out therefrom; inserting a second pelletizing rod into said through bore from said other end of said die such as to be capable of being drawn out therefrom; forming an air and powder sealing connection between said second pelletizing rod and said through bore when said second pelletizing rod is within said bore; operating said first and second pelletizing rods for keeping said second pelletizing rod in a position partially inserted into said through bore by a predetermined amount, and for simultaneously inserting said first pelletizing rod through said receiving cavity into said through bore and moving said rods relatively toward each other to a final compressed position, thereby pelletizing said powder into a pellet within said through bore; forming a passageway extending from said through bore substantially between said rods in said final compressed position to said receiving cavity; and guiding all of the air compressed in said through bore along the passageway during pelletizing to be discharged along with entrained powder only into said receiving cavity and preventing any of said compressed air to leak around said second pelletizing rod with entrained powder into the atmosphere during said pelletizing operation thereby increasing the pellet strength, decreasing pelletizing time and preventing atmosphere contamination with the powder. after said step of pelletizing and said step of guiding withdrawing said second pelletizing rod from said die to be spaced therefrom and open the other end of said die to the atmosphere; and moving said first pelletizing rod through said other end of said die to discharge the pelletized powder from said die. withdrawing said first pelletizing rod from said die and inserting said second pelletizing rod into said die to prevent the escape of gases from said cavity; and further withdrawing said first pelletizing rod a sufficient distance from said one end of said cavity to permit radioactive powder within said cavity to enter said one end and partially withdrawing said second rod to said partially inserted stationary position to permit radioactive powder to enter said bore; and thereafter repeating all of the above steps in order. 2. An apparatus for pelletizing a radioactive waste powder according to claim 1, wherein said through bore in said die has substantially the same diameter for substantially whole of its length, said first pelletizing rod has a diameter smaller than that of said second pelletizing rod, and said air discharge means includes a gap defined between said through bore and said first pelletizing rod, said gap being larger than a gap defined between said through bore and said second pelletizing rod. 3. An apparatus for pelletizing a radioactive waste powder according to claim 1, wherein said first and second pelletizing rods have substantially the same diameter, said through bore has a parallel-bore portion extending from a boundary portion to said other end of said die and a taper-bore portion extending from said boundary portion to said one end of said die such that a diameter thereof becomes gradually larger toward said one end, said boundary portion being located between a position of an inner end of said second pelletizing rod during the pelletizing operation and a position to which an inner end of said first pelletizing rod reaches at a final stage of the pelletizing operation, and said air discharge means includes a gap defined between said taper-bore portion and said first pelletizing rod. 4. An apparatus for pelletizing a radioactive waste powder according to claim 1, wherein said first and second pelletizing rods have substantially the same diameter, said through bore in said die has substantially the same diameter along its substantially entire length, and said air discharge means includes a groove extending longitudinally along the inside surface of said die, said groove extending to said one end of said die from a given position between a position of an inner end of said second pelletizing rod during the pelletizing operation and a position to which an inner end of said first pelletizing rod reaches at a final stage of the pelletizing operation. 5. An apparatus for pelletizing a radioactive waste powder according to claim 1, wherein said first and second pelletizing rods have substantially the same diameter, said through bore in said die has substantially the same diameter along its substantially entire length, and said air discharge means includes a groove formed in said first pelletizing rod, said groove extending a predetermined length from an inner end of said first pelletizing rod toward the other end thereof along an outer periphery of said first pelletizing rod. 6. An apparatus for pelletizing a radioactive waste powder according to claim 2, wherein the ratio of the size of said gap between said first pelletizing rod and said through bore to the diameter of said through bore is between 0.005 and 0.1. 7. An apparatus for pelletizing a radioactive waste powder according to claim 6, wherein the ratio of the size of said gap between said first pelletizing rod and said through bore to the diameter of said through bore is between 0.03 and 0.04. 8. An apparatus for pelletizing a radioactive waste powder according to claim 3, wherein said boundary portion is located at the position at which an inner end of said first pelletizing rod reaches at a final stage of the pelletizing operation. 9. An apparatus for pelletizing a radioactive waste powder according to claim 4, wherein said groove extends to said one end of said die from the position at which an inner end of said first pelletizing rod reaches at a final stage of the pelletizing operation. 10. An apparatus for pelletizing a radioactive waste powder according to claim 3, wherein a taper angle of said taper-bore portion of said through bore is between 0.01 and 5 degrees. 11. A method for pelletizing a radioactive waste powder comprising: 12. A method according to claim 11, further including the steps of: 13. A method according to claim 12, thereafter including the steps of:
047160179
abstract
An insert for providing a reduced inside diameter for a structural tube, specifically an instrumentation guide tube, of a nuclear fuel assembly. The insert has forming lobes which coact with the structural tube to plastically deform the structural tube and mechanically lock it with respect to grid straps of a grid assembly. The insert also has centering lobes to prevent it from being expanded in other than a coaxial alignment with the structural tube. An expansion tool is provided for sequentially registering with and expanding sequential forming lobes in a simplified manner whereby all of the operations necessary to assemble the structural tube in the fuel assembly can be easily and accurately performed.
summary
abstract
Methods of producing and isolating 68Ga, 89Zr, 64Cu, 63Zn, 86Y, 61Cu, 99mTc, 45Ti, 13N, 52Mn, or 44Sc and solution targets for use in the methods are disclosed. The methods of producing 68Ga, 89Zr, 64Cu, 63Zn, 86Y, 61Cu, 99mTc, 45Ti, 13N, 52Mn, or 44Sc include irradiating a closed target system with a proton beam. The system can include a solution target. The methods of producing isolated 68Ga, 89Zr, 64Cu, 63Zn, 86Y, 61Cu, 99mTc, 45Ti, 52Mn, or 44Sc further include isolating 68Ga, 89Zr, 64Cu, 63Zn, 86Y, 61Cu, 99mTc, 45Ti, 52Mn, or 44Sc by ion exchange chromatography. An example target includes a target body including a target cavity for receiving the target material; a housing defining a passageway for directing a particle beam at the target cavity; a target window for covering an opening of the target cavity; and a coolant gas flow path disposed in the passageway upstream of the target window.
abstract
A product irradiation device includes an enclosure and an irradiator shell disposed in the enclosure. The shell comprises a wall or walls enclosing an irradiation source and a transport channel extending from an inlet port to an outlet port of the shell. The enclosure has an entry opening, communicating with the inlet port, through which products are introduced in succession into the transport channel from external of the enclosure. The enclosure has an exit opening, communicating with the outlet port, through which products discharged in succession from the transport channel are transported to a location external of the enclosure, the exit opening being disposed at a location different from the entry opening. The shell has a non-moving transport surface defined by a surface or surfaces of the wall or walls and upon which the products are advanced in fixed increments through the transport channel past the irradiation source. A plurality of linear actuators are provided in or on the shell for advancing the products through the transport channel. A method of irradiating products includes the steps of introducing products in succession into a transport channel of an irradiator shell, moving the products relative to and upon a non-moving transport surface of the shell such that the products are moved past an irradiation source in the shell and discharging the products in succession through an outlet port of the shell disposed at a location different from the inlet port.
description
Field The present disclosure relates to the reduction or prevention of the entrainment of gases into the suction of a pump during a loss of coolant accident (LOCA). Description of Related Art During a Loss of Coolant Accident (LOCA), the Emergency Core Cooling System (ECCS) must pump water to maintain the reactor core water level and to provide a cooling function to the reactor core. However, this same transient may cause gases to be forced downward into an operating Emergency Core Cooling System (ECCS) suction strainer, resulting in gas entrainment. In particular, during a Loss of Coolant Accident (LOCA), both condensable gases (e.g., steam) and non-condensable gases (e.g., nitrogen (N2)) may be directed into a suppression pool, thereby elevating the level of the suppression pool. An Emergency Core Cooling System (ECCS) pump may be used to maintain the suppression pool at an acceptable level by suctioning excess liquid from the suppression pool and supplying the excess liquid to the reactor core. However, the non-condensable gases may become entrained along with the liquid into the suction of the Emergency Core Cooling System (ECCS) pump, thereby causing loss of suction and decreased flow to the reactor core. Furthermore, the presence of non-condensable gases within the Emergency Core Cooling System (ECCS) pump causes cavitation and pump damage, which poses additional safety hazards. The present disclosure describes various devices, assemblies, systems, and methods for preventing pumps (e.g., Emergency Core Cooling System (ECCS) pumps) from receiving relatively large quantities of entrained gas in the suction piping, which would cause cavitation and ultimately result in the failure of the pump. The teachings herein also promote the mitigation or prevention of non-condensable gases from reaching the suction strainers within the wetwell. Specially-designed deflector shields or baffles may be arranged between the drywell-to-wetwell vent downcomer and the Emergency Core Cooling System (ECCS) pump suction strainer. By preventing gases from the reaching the Emergency Core Cooling System (ECCS) suction water, the availability of pump operation for the duration of a postulated accident may be increased. An entrainment-reducing assembly may include a container configured to hold a liquid. The container may include an upper portion and a lower portion. A venting arrangement may extend into the container. The venting arrangement may be configured to direct gases into the container. A suction structure may extend into the lower portion of the container. The suction structure may be configured to carry out an extraction of the liquid from the container. A deflector may be disposed between the suction structure and the venting arrangement within the container. The deflector may be configured to reduce the entrainment of uncondensed gases during the extraction of the liquid. A reactor system may include a first container and a second container. The first container may define a drywell therein. The second container may define a wetwell therein. The second container may include an upper portion and a lower portion. A venting arrangement may connect the drywell to the wetwell. The venting arrangement may include a proximal end extending into the drywell and a distal end extending into the upper portion of the wetwell. A suction structure may extend into the lower portion of the wetwell. A deflector may be disposed between the suction structure and the distal end of the venting arrangement within the second container. A method of reducing entrainment may include discharging gases from a venting arrangement into a liquid. The method may additionally include alleviating an elevated level of the liquid resulting from condensing gases by performing an extraction of the liquid with a suction structure. The method may further include shielding the suction structure from the entrainment of uncondensed gases in the liquid with a deflector during the extraction of the liquid. It should be understood that when an element or layer is referred to as being “on,” “connected to,” “coupled to,” or “covering” another element or layer, it may be directly on, connected to, coupled to, or covering the other element or layer or intervening elements or layers may be present. In contrast, when an element is referred to as being “directly on,” “directly connected to,” or “directly coupled to” another element or layer, there are no intervening elements or layers present. Like numbers refer to like elements throughout the specification. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items. It should be understood that, although the tee ins first, second, third, etc. may be used herein to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers, and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer, or section from another region, layer, or section. Thus, a first element, component, region, layer, or section discussed below could be termed a second element, component, region, layer, or section without departing from the teachings of example embodiments. Spatially relative terms (e.g., “beneath,” “below,” “lower,” “above,” “upper,” and the like) may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It should be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the term “below” may encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly. The terminology used herein is for the purpose of describing various embodiments only and is not intended to be limiting of example embodiments. As used herein, the singular forms “a,” “an,” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “includes,” “including,” “comprises,” and/or “comprising,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. Example embodiments are described herein with reference to cross-sectional illustrations that are schematic illustrations of idealized embodiments (and intermediate structures) of example embodiments. As such, variations from the shapes of the illustrations as a result, for example, of manufacturing techniques and/or tolerances, are to be expected. Thus, example embodiments should not be construed as limited to the shapes of regions illustrated herein but are to include deviations in shapes that result, for example, from manufacturing. For example, an implanted region illustrated as a rectangle will, typically, have rounded or curved features and/or a gradient of implant concentration at its edges rather than a binary change from implanted to non-implanted region. Likewise, a buried region formed by implantation may result in some implantation in the region between the buried region and the surface through which the implantation takes place. Thus, the regions illustrated in the figures are schematic in nature and their shapes are not intended to illustrate the actual shape of a region of a device and are not intended to limit the scope of example embodiments. Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which example embodiments belong. It will be further understood that terms, including those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein. Various embodiments of the present disclosure relate to devices and assemblies, which when used inside a nuclear reactor (e.g., Boiling Water Reactor (BWR) Mark I or Mark II wetwells (torus)), will mitigate or solve the issue of “loss of suction” to Emergency Core Cooling System (ECCS) pumps due to the entrainment of steam and/or non-condensable gases during a Loss of Coolant Accident (LOCA) in the drywell. Various embodiments of the present disclosure also relate to systems and methods for reducing the entrainment of gases. Although the description herein is in the context of a Boiling Water Reactor (BWR), it should be understood that example embodiments are not limited thereto. In addition to the various types of Boiling Water Reactors (BWR), the present disclosure may also be applied to Pressurized Water Reactor (PWR) emergency water sumps with suction strainers. Furthermore, the teachings herein may be applied to non-reactor settings. For instance, the devices, assemblies, systems, and methods may be used in other situations in which a suction is taken from a large reservoir of liquid (e.g., water), whereupon a large injection of non-condensable gases could result in these gases being entrained or swept into the suction pipe, thereby causing the downstream pump to fail. FIG. 1 is a simplified, cross-sectional view of a reactor system according to a non-limiting embodiment. Referring to FIG. 1, a reactor system 100 includes a first container 102 defining a drywell 104 and a second container 108 defining a wetwell 110. A reactor pressure vessel 106 is situated within the drywell 104. A body of liquid 112 (e.g., suppression pool) is disposed within the wetwell 110. The drywell 104 is connected to the wetwell 110 via a venting arrangement 114. The details of FIG. 1 are discussed in connection with the subsequent drawings. The present disclosure details the mitigation or prevention of gases from being entrained in the suction of Emergency Core Cooling System (ECCS) pumps. Such mitigation or prevention may improve the safety operation and availability of the Emergency Core Cooling System (ECCS) pumps during a Loss of Coolant Accident (LOCA). In particular, a relatively large Loss of Coolant Accident (LOCA) may force gas into the Emergency Core Cooling System (ECCS) piping. A relatively large gas entrainment may lead to gas entering an Emergency Core Cooling System (ECCS) pump, resulting in pump damage from cavitation and reduced flow (if any flow at all) to the reactor core. Consequently, the ability of the Emergency Core Cooling System (ECCS) pumps to maintain the proper water level in the reactor core may be affected. That being said, the present disclosure is directed to mitigating or preventing the possibility of gas reaching the suction of the Emergency Core Cooling System (ECCS) piping. A centrifugal pump is used in the Emergency Core Cooling Systems (ECCS) of Boiling Water Reactors (BWR) and Pressurized Water Reactors (PWR). A centrifugal pump requires a net positive suction head (NPSH) at a given location relative to a reference point as defined by the following equation: NPSH = p 0 - p v ρ ⁢ ⁢ g + Δ ⁢ ⁢ z - h L ( 1 ) wherein P0 is the pressure acting upon the fluid at the reference point, Pv is the saturation pressure for the fluid at the current temperature, ρ is the fluid density, g is gravitational acceleration, Δz is the height difference from the current point to the reference point, and hL is the head loss between the two points. If a centrifugal pump does not have a sufficient net positive suction head (NPSH), then low or no flow, pump wear, and in the worst case, seizing of the pump will result. During a Loss of Coolant Accident (LOCA), as will be explained, gases (e.g., non-condensable nitrogen, which was previously used to inert the drywell, and steam, resulting from the flashing flow from a design basis line break) can be forced through the suction strainer in the wetwell (e.g., torus-shaped wetwell) and then into the Emergency Core Cooling System (ECCS) suction header and finally into the pump. Depending on the anticipated Emergency Core Cooling System (ECCS) configuration and potential active failures, the ranges of flow1 in an Emergency Core Cooling System (ECCS) header range from 600 to 30,000 gal/min (5,010 to 417,000 lbs/min). With a ring header diameter of 2 feet, this results in a Reynolds number ranging from 105 to 107. Reynolds number2* is the ratio of inertial forces within a fluid to its vicious forces and is defined by the following equation: Re = QD vA ( 2 ) wherein Q is the Emergency Core Cooling System (ECCS) flow rate, D is the hydraulic diameter, ν is the kinematic viscosity, and A is the cross-sectional area of the ring header. 1 Assuming RCIC˜600 gpm, HPCS (BWR/5s and 6s)˜7,175 gpm, Low pressure systems that take SP suction: LPCI˜4 pumps*8400 gpm/pump=33,600 gpm, LPCS˜2 pumps*8400 gpm/pump=16,800 gpm.2 Assuming Viscosity at 100° F.=4.579E−4 lbm/ft/s; Density at 100° F.=8.34 lbm/gallon; Kinematic viscosity=4.579E−4/8.34*60=0.003294 gal/ft/min; D=2 ft; D/A=D/(¼ pi D2)=4/(pi D)=0.6366 1/ft; Re=Q [gal/min]*193.2 min/gal. Due to the relatively high Reynolds number, the flow regime is highly turbulent and the inertial force dominates over buoyant forces of gas entrained in the water. Therefore, to remove entrained gases from the water the Reynolds number needs to reach a value below 1000, so that buoyancy of the entrained gas can allow phase separation. Even at a Reynolds number of about 100, a wobble occurs that causes bubbles to rise in a spiral or helical path. This velocity of the fluid can occur with expansion of the flow area and is enhanced with flow direction changes. These entrained air bubbles in the pool will take much longer to rise to the surface because of the viscosity of the water. Air bubbles will rise and achieve a terminal velocity governed by Stoke's law. FIG. 2 is cross-sectional view of an entrainment-reducing assembly according to a non-limiting embodiment that may be used in the reactor system of FIG. 1. Although the entrainment-reducing assembly of FIG. 2 is shown in connection with a Boiling Water Reactor (BWR) Mark I suppression pool, it should be understood that example embodiment are not limited thereto. Referring to FIG. 2, the entrainment-reducing assembly 200 includes the second container 108, which defines the wetwell 110 and holds the body of liquid 112 (e.g., suppression pool of water) therein. The venting arrangement 114 connects the drywell 104 (FIG. 1) to the wetwell 110. The venting arrangement 114 includes a vent pipe 202, a header 204, and a plurality of downcomers 206. A suction structure 212 protrudes into the second container 108 at a position below the surface of the liquid 112. The suction structure 212 includes a suction pipe 214 and a strainer 216 secured to the suction pipe 214. The strainer 216 may have a variety of configurations and shapes and is designed to allow the liquid 112 to enter with relative ease while filtering out relatively large-sized debris. A deflector 210 is arranged between the suction structure 212 and the venting arrangement 114 to deflect uncondensed gas 208 away from the suction structure 212 so as to reduce or prevent the entrainment of the uncondensed gas 208 with the liquid 112. The deflector 210 may be configured to flare away from the venting arrangement 114. A spacing distance between the deflector 210 and the suction structure 212 may be equal to or less than half of a total distance between the venting arrangement 114 and the suction structure 212. The deflector 210 may be secured to the second container 108 such that the deflector 210 does not directly contact the suction structure 212. After passing through the strainer 216 and entering the suction pipe 214, the liquid 112 is directed through the suction line to a pump. Optionally, a gas/liquid separator may be additionally connected to the suction line to separate out any uncondensed gases that may have been entrained with the liquid 112. The gases may be separated based on density, and the separated gases may be redirected back into the wetwell 110. The common term for a Mark I wetwell is a torus, since the second container 108 defining the wetwell 110 may be in the form of a torus. When the second container 108 is in a form of a torus, eight vent pipes 202 may connect the drywell 104 to the wetwell 110. In such an example, the header 204 may be in form of a ring within the torus that connects the vent pipes 202. In addition to the vent pipes 202, the gas flow from the drywell 104 is further divided by a plurality of downcomers 206 which discharge the gas below the surface of the liquid 112 (e.g., subcooled water). Steam quenchers may be optionally attached to the downcomers 206. The strainer 216 is positioned so as to remain submerged near the bottom of the second container 108. The liquid 112 is removed from the wetwell 110 via the suction structure 212 and is conveyed through the suction pipe 214 to the Emergency Core Cooling System (ECCS) pumps. The deflector 210 is positioned between the strainer 216 and the downcomer 206 to reduce or prevent the entrainment of an uncondensed gas 208 into the suction line. Although not shown in the drawings, it should be understood that a suction structure 212 and/or a deflector 210 may be provided for each downcomer 206. The entrainment-reducing assembly 200 will be described in additional detail with regard the following three states: normal operations “Steady State,” a state after a “Large LOCA” in the drywell, and the resulting system transient “Gas to ECCS Pumps” state. A “Steady State” assumes that the reactor is at normal operating temperature and pressure and assumed at 100% power. In such a state, the liquid 112 in the wetwell 110 is at a normal level. During a “Large LOCA” state, there is a break at rated power, which involves an instantaneous rupture of a steam or recirculation line in the dry well 104. As a result of the rupture, a shock wave exits with a wave amplitude approaching the reactor operating pressure (e.g., 1000 psig). The attenuated wave enters the venting arrangement 114 and progresses into the wetwell 110. The high pressure gases (e.g., N2 and steam) from the drywell 104 are forced downward through the venting arrangement 114. The high pressure gases exit the downcomer 206 and set off several phenomena, such as pool swell in the torus increasing from the steady state (normal) level to an elevated level, condensation oscillation as the steam chaotically condenses and pool water voids, and forcible downward direction of the gas mixture. In a conventional system, if the Emergency Core Cooling System (ECCS) suction strainer is located in the vicinity of the downcomer nozzle, the gas jet can be forced into the suction strainer, thereby introducing a slug of gas into the Emergency Core Cooling System (ECCS) header. If the Emergency Core Cooling System (ECCS) pumps have already been activated, with water flow from the wetwell established, this slug of gas can move into the Emergency Core Cooling System (ECCS) pump, resulting in reduced pump flow, cavitation, and/or pump damage. In contrast, in the present disclosure, a deflector 210 is in place as the gas jet is forced towards the strainer 216. As a result, the deflector 210 deflects the gas flow, thereby allowing for buoyancy effects to permit the gas to separate and rise to the headspace of the wetwell 110. The strainer 216 under the deflector 210 still maintains suction of the liquid 112 from the wetwell 110. Additionally, as schematically shown in FIG. 2, gas/liquid separator may be used to remove gas that may have become entrained with the liquid 112 into the Emergency Core Cooling System (ECCS) suction line. The gas that is removed from the suction line may be put back into the headspace of the wetwell 110. FIG. 3 is a cross-sectional view of a deflector according to a non-limiting embodiment that may be used in the entrainment-reducing assembly of FIG. 2. Referring to FIG. 3, the deflector 210 may include a first surface 302a with a first ridge 304a and a second surface 302b with a first furrow 304b. When installed in the entrainment-reducing assembly 200, the first furrow 304b will face the strainer 216, while the first ridge 304a will face the downcomer 206. A first angle α1 of the first furrow 304b, as defined by the second surface 302b, should be of a magnitude that is sufficient to cause the uncondensed gas 208 of the impinged two phase jet hitting the deflector 210 to be deflected upward towards the surface of the wetwell liquid 112 to inhibit or prevent the uncondensed gas 208 from entering the strainer 216 and to allow for a separation when the uncondensed gas 208 reaches the headspace of the wetwell 110. In a non-limiting embodiment, the first angle α1 may range from about 155° to 170°. The deflector 210 may optionally have a periphery 308 that slopes away from the suction structure 212 so as to form a second ridge 310a and a second furrow 310b, wherein the periphery 308 enhances the deflection of the uncondensed gas 208 away from the suction structure 212. A second angle α2 of the second furrow 310b may range from 155° to 180°. Although not shown, it should be understood that the second ridge 310a and/or the second furrow 310b may be curved instead of being angular. The deflector 210 may also include a plurality of perforations 306 extending from the first surface 302a to the second surface 302b. The plurality of perforations 306 allow the liquid 112 to flow through the deflector 210, thereby reducing the differential force across the deflector 210 and allowing the liquid 112 to enter the strainer 216. The plurality of perforations 306 may be angled inward toward the suction structure 212 and/or the first furrow 304b so as to allow entry of the liquid 112 while deflecting the uncondensed gas 208 away from the suction structure 212. In FIG. 3, assuming that A-A is a center line that bisects the deflector 210 and B-B is a line that corresponds to a longitudinal axis of the perforation 306 and intersects A-A to form a third angle α3, the third angle α3 may range from about 45° to 135° (e.g., 60° to 90°). The diameter of each of the plurality of perforations 306 may be sized to be about two times the average expected bubble size of the uncondensed gas 208 impinging on the deflector 210. As noted above, the plurality of perforations 306 are at a third angle α3 that reduces or prevents bubble entrainment as the two phase jet impinges on the first surface 302a. The amount of uncondensed gas 208 entrained through the plurality of perforations 306 is a function of perforation diameter, perforation length, and the water flow rate. A method to optimize the diameter of the perforations 306 use the Froude number (Fr): Fr = V L / g ⁡ ( ( ρ l - ρ G ) ρ l ) ⁢ D ( 3 ) wherein VL is the liquid velocity in the perforations, g is the acceleration due to gravity, ρl is the density of the liquid, ρG is the density of the gas, and D is the inside diameter of the perforations. The Froude number (Fr) should be a value that gives the uncondensed gas 208 an adequate opportunity to be deflected and rise toward the headspace of the wetwell 110. In a non-limiting embodiment, Fr<0.31. Although the perforations 306 have been discussed in the context of a circular hole, it should be understood that example embodiments are not limited thereto. For instance, the perforations 306 may be in the form of other curved shapes or polygonal shapes (e.g., slits) FIG. 4A is a perspective view of another deflector according to a non-limiting embodiment that may be used in the entrainment-reducing assembly of FIG. 2. FIG. 4B is a plan view of the deflector of FIG. 4A. Referring to FIGS. 4A-4B, the deflector 210 is in the form of a bent sheet 210a including a first ridge 304a and a first furrow 304b. Although not shown, it should be understood that one or more of the features discussed in connection with FIG. 3 may be applied to this example. FIG. 5A is a perspective view of another deflector according to a non-limiting embodiment that may be used in the entrainment-reducing assembly of FIG. 2. FIG. 5B is a plan view of the deflector of FIG. 5A. Referring to FIGS. 5A-5B, the deflector 210 is in the form of a curved shield 210b including a convex side 504a and a concave side 504b. Although not shown, it should be understood that one or more of the features discussed in connection with FIG. 3 may be applied to this example. FIG. 6A is a perspective view of another deflector according to a non-limiting embodiment that may be used in the entrainment-reducing assembly of FIG. 2. FIG. 6B is a plan view of the deflector of FIG. 6A. Referring to FIGS. 6A-6B, the deflector 210 is in the form of a curved-type louvered arrangement 210c including a first curved portion 600a, a second curved portion 600b, and a third curved portion 600c. The second curved portion 600b and a third curved portion 600c are provided with an opening in the center thereof such that when arranged with the first curved portion 600a into an overlapping louvered arrangement, the first curved portion 600a and the second curved portion 600b define a first passage 606a therebetween, while the second curved portion 600b and the third curved portion 600c define a second passage 606b therebetween. Although not shown, it should be understood that one or more of the features discussed in connection with FIG. 3 may be applied to this example. FIG. 7A is a perspective view of another deflector according to a non-limiting embodiment that may be used in the entrainment-reducing assembly of FIG. 2. FIG. 7B is a plan view of the deflector of FIG. 7A. Referring to FIGS. 7A-7B, the deflector 210 is in the form of a linear-type louvered arrangement 210d including a first slat 700a, a second slat 700b, and a third slat 700c. When arranged in an overlapping louvered arrangement, the first slat 700a and the second slat 700b define a first slit 706a therebetween, while the second slat 700b and the third slat 700c define a second slit 706b therebetween. Although not shown, it should be understood that one or more of the features discussed in connection with FIG. 3 may be applied to this example. FIG. 8A is a perspective view of another deflector according to a non-limiting embodiment that may be used in the entrainment-reducing assembly of FIG. 2. FIG. 8B is a plan view of the deflector of FIG. 8A. Referring to FIGS. 8A-8B, the deflector 210 is in the form of a radially-configured corrugated sheet 210e including a plurality of alternating radially-oriented ridges 804a and radially-oriented furrows 804b that expand outward radially from a point of common convergence 802. Although not shown, it should be understood that one or more of the features discussed in connection with FIG. 3 may be applied to this example. While a number of example embodiments have been disclosed herein, it should be understood that other variations may be possible. Such variations are not to be regarded as a departure from the spirit and scope of the present disclosure, and all such modifications as would be obvious to one skilled in the art are intended to be included within the scope of the following claims.
summary
061987867
claims
1. A method of controlling the system pressure in a power generating system comprised of a turbine-generator and a boiling water reactor, the boiling water reactor including a pressure vessel containing a nuclear fuel core, control rods, and a recirculation flow control system, the power generating system configured so that a core thermal power converts water into steam for driving the turbine-generator to produce electric power, and steam is converted back to water and returned to the boiling water in a closed loop, the turbine-generator includes main turbine control valves to control steam input into the turbine generator, the system pressure being controlled in a turbine control valve modulation pressure control mode, said method comprising the steps of: setting the main turbine control valves to a constant and steady position greater than 75% of wide open; and controlling the system pressure to be within a predetermined range by utilizing a core thermal power modulation mode, the core thermal power modulation mode comprising adjusting the core thermal power of the reactor. converting to a core thermal power modulation pressure control mode; setting the main turbine control valves to a constant and steady position greater than 75% of wide open; and controlling the system pressure to be within a predetermined range by adjusting the core thermal power of the reactor. 2. A method in accordance with claim 1 wherein setting the main turbine control valves to a constant steady position comprises the step of setting the turbine control valves to a wide open position. 3. A method in accordance with claim 1 wherein adjusting the core thermal power comprises the step of adjusting control rod density within the reactor core. 4. A method in accordance with claim 1 wherein adjusting the core thermal power comprises the step of adjusting the recirculation water flow rate through the reactor core. 5. A method in accordance with claim 4 wherein adjusting the recirculation water flow rate through the reactor core further comprises the step of adjusting the input to the recirculation flow control system. 6. A method in accordance with claim 5 further comprising the step of adjusting recirculation water flow by modulating a variable speed recirculation pump. 7. A method in accordance with claim 5 further comprising the step of adjusting recirculation water flow by modulating a recirculation water flow control valve. 8. A method in accordance with claim 1 further comprising the step of automatically modifying a bypass valve closure bias and a power control bias to accommodate variances from the reactor core thermal power modulation pressure control mode over the turbine control valve modulation pressure control mode. 9. A method in accordance with claim 1 further comprising the step of transferring system pressure control from the core thermal power modulation pressure control mode to the turbine control valve modulation pressure control mode when pressure transients are outside of a predetermined range. 10. A method of controlling the system pressure in a power generating system comprised of a turbine-generator and a boiling water reactor, the boiling water reactor including a pressure vessel containing a nuclear fuel core, control rods, and a recirculation flow control system, the power generating system configured so that core thermal power converts water into steam for driving the turbine-generator to produce electric power, and steam is converted back to water and returned to the boiling water reactor in a closed loop, the turbine-generator includes main turbine control valves to control steam input into the turbine-generator, the system pressure being controlled in a turbine control valve modulation pressure control mode, said method comprising the steps of: 11. A method in accordance with claim 10 wherein converting to the core thermal power modulation pressure control mode comprises the step of modifying a bypass valve closure bias and a power control bias to accommodate variances from the reactor core thermal power modulation pressure control mode over the turbine control valve modulation pressure control mode. 12. A method in accordance with claim 10 wherein setting the main turbine control valves to a constant steady position comprises the step of setting the turbine control valves to a wide open position. 13. A method in accordance with claim 10 wherein adjusting the core thermal power comprises the step of adjusting control rod density within the reactor core. 14. A method in accordance with claim 10 wherein adjusting the core thermal power comprises the step of adjusting the recirculation water flow rate through the reactor core. 15. A method in accordance with claim 14 wherein adjusting the recirculation water flow rate through the reactor core further comprises the step of adjusting the input to the recirculation flow control system. 16. A method in accordance with claim 15 further comprising the step of adjusting recirculation water flow by modulating a variable speed recirculation pump. 17. A method in accordance with claim 15 further comprising the step of adjusting recirculation water flow by modulating a recirculation water flow control valve. 18. A method in accordance with claim 10 further comprising the step of transferring system pressure control from the core thermal power modulation pressure control mode back to the turbine control valve modulation pressure control mode when pressure transients are outside of a predetermined range.
052290662
claims
1. An axial rod position determination system for a control rod in a nuclear reactor core, said system comprising: fixed incore detectors; analytical, on-line signature means for periodically creating a response signature database of expected detector response deviations for assumed rod positions from a reference detector response produced at the current rod position by said fixed incore detectors; and rod position determination means for continuously determining a moved rod position of the control rod by scanning the signature database for a signature match between the expected detector response deviations and current detector response deviations produced by said fixed incore detectors with the control rod at the moved rod position. fixed incore detectors; signature means for creating a response signature database of expected detector response deviations for assumed rod positions from a reference detector response produced at the current rod position by said fixed incore detectors, said signature database being divided into inward and outward movement directions from the current rod position and according to control rod bank and individual control rod; rod position determination means for determining a moved rod position of the control rod by scanning the signature database for a signature match between the expected detector response deviations and current detector response deviations produced by said fixed incore detectors with the control rod at the moved rod position; classification means for classifying the current detector responses according to direction and bank and individual control rod; and said determination means scanning a portion of the signature database corresponding to the direction and the bank and individual control rod. fixed incore detectors; signature means for creating a response signature database of expected detector response deviations for assumed rod positions from a reference detector response produced at the current rod position by said fixed incore detectors; and rod position determination means for determining a moved rod position of the control rod by scanning the signature database for a signature match between the expected detector response deviations and current detector response deviations produced by said fixed incore detectors with the control rod at the moved rod position and selecting a closest expected detector response deviation; and incremental search means for incrementally searching for an incremental match between calculated expected detector response deviation and the current detector response deviation starting with the closest expected detector response deviation when the signature match is not found. fixed incore detectors; signature means for creating a response signature database of expected detector response deviations for assumed rod positions, a reference detector response produced at the current rod position by said fixed incore detectors and current core conditions, said signature database is divided into inward and outward move directions from the current rod position and according to control rod bank; rod position determination means for determining a moved rod position of the control rod by scanning the signature database for a signature match between the expected detector response deviations and current detector response deviations produced by said fixed incore detectors with the control rod at the moved rod position and selecting a closest expected detector response when the signature match does not exist; classification means for classifying the current detector responses according to direction and bank or individual control rod and said determination means scanning a portion of the signature database corresponding to the direction and the bank or individual control rod; and incremental search means for searching for an incremental match between calculated expected detector responses and the current detector responses starting from the closest expected detector response when a signature match does not exist. (a) creating a signature database of expected detector response deviations and corresponding rod positions; (b) detecting a change in a detector signal producing a detector signal deviation; (c) scanning the signature database for a match between the detector signal deviation and the expected detector response deviation; (d) outputting the corresponding rod position when a match occurs; (e) selecting, when a match does not occur, the closest match between the detector signal deviation and the expected detector response deviation to determine a closest rod position; (f) incrementing the closest rod position by a predetermined step movement producing an incremented position; (g) determining a calculated detector response deviation from the incremented position; and (h) outputting the incremented position if the calculated detector response deviation matches the detector signal deviation. 2. An axial rod position determination system for a control rod in a nuclear reactor core, said system comprising; 3. An axial rod position determination system for a control rod in a nuclear reactor core, said system comprising: 4. A rod position determination system for a control rod in a reactor core, said system comprising: 5. A position determination method for determining a position of a control rod in a reactor core using a fixed incore detector, comprising:
claims
1. A control rod blade for a boiling water reactor,wherein the control rod blade comprises a free edge portion with a recess having an opening and a wall surface, which comprises a plurality of outlets, arranged in a row in the wall surface, for channels, which are arranged to receive an absorber material and a cover element arranged to be attached along the free edge portion of the control rod blade,which cover element comprises a cover portion arranged to seal the opening of the recess and a support portion which has a contact surface arranged to, in a mounted state, abut a bottom surface of the wall surface in the recess and to form at least one passage between the outlets of the channels in the recess,the cover portion further being arranged to form an external end surface of the control rod blade in a mounted state,wherein the support portion has a width which is less than the width of the recess and the outlet of the channels such that at least one passage between the outlets of the channels in the recess is formed, characterized in that the support portion has a substantially plane contact surface which is arranged to abut a corresponding plane bottom surface in the recess, andwherein the cover element has a substantially T-.shaped cross-section profile. 2. The control rod blade according to claim 1, wherein the support portion has a continuous extension along a whole length of the cover element. 3. The control rod blade according to claim 1, wherein the bottom surface is shaped as a groove in areas that extend between the outlets of the channel. 4. The control rod blade according to claim 3, wherein the groove has a width which substantially corresponds to the width of the support portion. 5. The control rod blade according to claim 1, wherein the cover element is attached to the edge portion of the control rod blade by two longitudinal weld joints. 6. The control rod blade according to claim 1, wherein said absorber material consists of solid absorber bodies. 7. The control rod blade according to claim 6, wherein said solid absorber bodies comprise boron carbide. 8. The control rod for a boiling water reactor comprising at least a control rod blade according to claim 1.
046831118
abstract
An improved gas circulator for a gas-cooled nuclear reactor system is disclosed which includes a stator, a rotor having a rotor shaft, and an impeller attached to one end thereof. A radial active magnetic bearing and a radial backup bearing are positioned proximate each end of the rotor shaft. An axial active magnetic bearing is also located between the ends of the rotor shaft, as is an axial backup bearing, with two of the backup bearings enclosed within a sealed chamber that may contain a lubricant, for example, a solid lubricant or a liquid lubricant. Preferably, the gas circulator is installed in a nuclear reactor system with the rotor shaft in a substantially vertical orientation and the sealed chamber near the bottom of the rotor shaft. The lower radical backup bearing and the axial backup bearing are advantageously located within the sealed chamber. The lower radial backup bearing and the axial backup bearing may be spaced apart sufficiently to permit the lower radial backup bearing to provide substantially all radial support for the rotor when the radial active magnetic bearings are deenergized.
048881520
description
FIG. 1 shows diagrammatically how grids 101, three grids in this case, are so arranged as to retain in place a cluster of nuclear fuel rods 1, only some of which are shown. The rods 1, which have a length of the order of four meters, have one of their ends attached to an end member 103 or 104. Guide tubes 102 interconnect the end members 103 and 104. We are here dealing more particularly with the grids according to the invention. In a first preferred embodiment they can be constructed by assembling cylinder portions of substantially square section 11 and 13 (FIG. 2). Preferably over half their height the cylinder portions 11 and 13 have cut-out parts 12 located at their corners 15. The cylinder portions are assembled by so overlapping them that their cut-out parts are alternately in the upper part (portions 11) or the lower part (portions 13); then a corner 15 of the cylinder portion 13 is introduced into a cut-out part 12 of the adjacent cylinder portion 11. Final assembly is performed by welding at the intersections 18. The corners 15 have flat portions 16 acting as bearings for the rods 1, as can be seen from the grid 22 shown in FIG. 3. Each rod 1 is enclosed by the four sides 21 of a cylinder portion 11 or 13 and supported by four other cylinder portions 11 and 13. As can be seen in FIG. 3, the cylinder portions 11 and 13 are disposed in two superimposed offset quincunxes. The rods 1 bear against the flat portions 16. To this point the cylinder portions 11 or 13 were considered to be all alike. If the assembly comprises guide tubes 102, the cylinder portions adjacent the guide tubes will have an adapted shape. The rigidity of this grid is enhanced by adding ribs 20 on a diagonal of a square 23 formed by four sides 21 belonging to four adjacent cylinder portions 11 and 13. By varying the width of the flat portions 16 it is possible to vary the areas of the square 13 and of the curvilinear rectangle 24 mainly bounded by one side 21 and one rod 1--i.e., adapt the characteristics of flow of the cooling fluid for the rods 1 by adjusting the load losses due to passage through the grid and by channelling the fluid along the rods 1. For certain values of the spacing pitch of the rods 1 and their radius r, the flat portions 16 enable the sides 21 of the rod 1 which they enclose to be disengaged. They then enable the rods 1 to be readily assembled in the grid 22, since they ensure stable bearing in one plane, even if the cylinder portion 11 or 13 of which they form part deviates to one side or is mounted twisted after incorrect manufacture. The cylinder portions 11 and 13 can be produced by any suitable means, more particularly by forming portions of originally cylindrical section, followed by the cutting of the cut-out parts 12, the welding of the elementary panels or else direct extrusion. The cylinder portions 11 and 13 can be identical, the portions 13 being simply turned over. However, the ends of the sides 21 of certain portions 11 can be profiled between the cut-out parts 12 with fins 19 to encourage the mixing of the cooling fluid. The fins 19 are shown curved inwards towards the inside of the cylinder portion 11 and are of variable width. Since the mechanical members shown in FIG. 4, 5 and 6 have to a large extent similar characteristics, they will be described together. In this particular embodiment of the invention the cylinder portions 31, 41 and 51 adapted to form a grid have a substantially triangular shape with corners formed as flat portions, i.e., truncated vertices, 36, 46 and 56 respectively. The height of the corners 35, 45, 55 is reduced by cut-out parts starting from the two ends of the portions 31, 41 and 51: there are upper cut-out parts 32, 42, 52 and lower cut-out parts 33, 43 and 53 which face one another on the portions 31, 41 and 51 respectively. In FIG. 4 the upper cut-out parts 32 are deep and the lower cut-out parts 33 superficial, while the converse situation obtains for the cut-out parts 52 and 53 in FIG. 6, the cut-out parts 42 and 43 in FIG. 5 having a substantially equal depth. Consequently in FIGS. 4 to 6 the corners 35 are at the bottom of the portions 31, the corners 45 are at the centre of the portions 41 and the corners 55 are at the top of the portions 51. Portions 31, 41 and 51 also have rectangular windows 37, 47 and 57 respectively, also disposed at different heights. The windows 37 in the portions 31 are placed at the same height as the corners 45 of the portions 41; the windows 47 of the portions 41 are placed at the same height as the corners 55 of the portions 51; the windows 57 of the portions 51 are placed at the same height as the corners 35 of the portions 31. The size of the windows is moreover large enough for the corners of the other portions placed at their height to be able to partially enter therein. The grid is produced by welding the intersections 38, 48, 58 of the different cylinder portions. In this case also the portions 31, 41, 51 can have the end of their sides between the upper cut-out parts 32, 42, 52 profiled in fins 39, 39, 59 for mixing the fluid. Such a grid 60 is shown in FIG. 7. Similarly to grid 21 in FIG. 3, the different portions 31, 41 and 51 form regular overlapping networks. Each portion of one kind, on condition that it is not placed at the edge of the grid 60, is enclosed by three portions of each of the two other kinds placed alternatively with an angular offsetting of 60.degree.. As in FIG. 3, the flat portions 36, 46 and 56 act as bearings for the rods 1; similarly, portions can be provided comprising slightly different shapes to be able to adapt themselves to guide tubes 102 having a diameter different from that of the rods 1. The main difference from FIG. 3 is that the rods 1 are disposed in a hexagonal, not a square network and that if each rod 1 remains enclosed by a single portion 31, 41 or 51, it is now supported only by three other portions, something which reduces the hyperstaticity of their assembly. The advantages of this method in comparison with the prior art designs, more particularly as regards the strength of the assembly, the ability to control the flow of the cooling fluid and the advantage of the flat portions 36, 46 and 56 are similar to those listed in the comments on FIG. 3. At their periphery the grids 22 and 60 have an edging 65 (FIG. 8) which encloses the cells and has lower cut-out parts 68 and upper cut-out parts 71, and also upper bosses 66 having flat portions 67 and lower bosses 69 having flat portions 70 in the case of the portions 11 and 13 of square section. The adjustments to be made for other sections of the cylinder portions can readily be deduced. The lower cut-out parts 68 alternate with the lower bosses 69; similarly, the upper cut-out parts 71 alternate with the upper bosses 66. The upper bosses 66 are disposed above the lower cut-out parts 68, and exclusively at those places. The upper cut-out parts 71 are disposed above the lower bosses 69, and exclusively at those places. Contact between the edging 65 and the portions 11 is established at the location of the lower cut-out parts 68 and the upper bosses 66; contact between the portions 13 and the edging 65 is established at the location of the upper cut-out parts 71 and the lower bosses 69. The edging 65 and the portions 11 and 13 are so assembled by welding that the bosses 66 and 69 enter the portions 11 and 13 respectively and can thus act as bearings for the rods 1 disposed in the portions 11 and 13 adjacent the edging 65. With this design no cylinder portion remains unoccupied. As shown in FIG. 8, it is also possible to deform the corners 15, which so adapt themselves in the cut-out parts 68 and 71 that after assembly they form a continuation of the edging 65. The grids according to the invention do not ensure the function of axial retention of the fuel rods. Such retention can be assured at the lower or upper end member of the assembly by means of a known attachment system, for example, that disclosed in French Patent 2 577 343. It is possible to ensure not only that the rods 1 have a bearing but also that they are actually clamped. For this purpose the resilience of the corners 15, 35, 45 or 55 in contact with the rods 1 must be increased, while so dimensioning them that the distance between these corners in the grid 22 or 60 is slightly smaller than the diameter of the rods 1. The result is therefore an assembly with a slightly negative clearance. In that case a satisfacctory means of increasing the flexibility of the corners consists in producing therein longitudinal notches 73 which weaken its strength (FIG. 9). On the other hand, to reduce hydraulic load losses by friction it is possible to reduce the height of the cells while maintaining an adequate guide length for the fuel elements. To this end, by means of a peripheral edging 77 of adequate width, preferably two low superimposed grids G.sub.1 and G.sub.2 are assembled, each in conformity with one of the embodiments disclosed hereinbefore (FIG. 10). The assembly, whose height results from the height of the peripheral edging 76, forms a grid 77. The existence of the two spaced-out grids disturbs the regularity of the flow of the coolant as it passes through the grids, thus obtaining the "mixing" function. The cells can be of square or triangular section. As in the case of the preceding edging 65, the edging 76 is formed with cut-out parts and recesses by which the grid G.sub.1 and G.sub.2 are positioned and which have the same references. If the mixing is inadequate, it is possible to profile as fins 19, 39, 49, 59 the ends of the cylinder portions outside the cut-out parts so as to further disturb the flow. The number of fins must be optimized in accordance with the characteristics of the network of rods, the power distribution and the surrounding thermohydraulic conditions, so as to prevent the occurrence of vapor film.
052672840
summary
FIELD OF THE INVENTION This invention relates to alloys for use in light water nuclear reactor (LWR) core structural components and fuel rod cladding tubes. More particularly, this invention relates to burnable-absorber-containing zirconium alloys that do not displace fissile materials in reactor cores, while at the same time providing adequate strength and corrosion resistance for its intended application. Still more particularly, this invention relates to zirconium alloys that contain isotopically purified, erbium-167 as a burnable absorber. BACKGROUND OF THE INVENTION General background materials on fuel rods, claddings and absorber materials are available. See, e.g., Frank J. Rahn et al., A Guide to Nuclear Power Technology, pp. 429-438 (1984). In light water reactor (LWR) designs, fuel is formed into oxide pellets, which consist of uranium oxide or mixed uranium/plutonium oxide. These pellets are then placed in long tubes called cladding tubes to form fuel rods. The cladding tube forms a barrier against radioactive fission products released in the fuel pellets during irradiation. Proper fuel design requires an economical fuel cycle, while providing the necessary fuel characteristics for safe plant operation. Thus structural materials must be selected that have low neutron cross-section and low cost, while providing adequate mechanical corrosion resistance characteristics. Fuel assembly design should accordingly allow for the operation of the reactor at the design power and for the highest possible burn-up without breaching the cladding and releasing radioactive products to the primary coolant. Zirconium alloys are used in fuel designs because they combine desirable nuclear, physical and mechanical properties. Because nuclear-grade zirconium is expensive, its alloys are used only in the active zone of the nuclear core where its neutron economy is most advantageous. Zircaloy-2 and Zircaloy-4 are two slightly different alloys which were developed for nuclear applications. Zircaloy-2 typically contains about 1.4 wt. % tin, 0.15 wt. % iron, 0.1 wt. % chromium and 0.06 wt. % nickel, 1,000 ppm oxygen and the balance zirconium. Zircaloy-4 typically contains about 1.4 wt. % tin, 0.21 wt. % iron, 0.11 wt. % chromium, 30 ppm nickel, 1,200 ppm oxygen and the balance zirconium. Zircaloy-2 has a small content of nickel, while in Zircaloy-4 the nickel content is essentially replaced by iron. This small change in composition reduces the hydrogen absorption rate during service in high-temperature water. The physical and mechanical properties of the two alloys are nearly identical. Pressurized water reactor (PWR) fuel rods are typically made with Zircaloy-4 cladding, while boiling water reactor (BWR) fuel rods utilize Zircaloy-2. However, the channel in a BWR containing the fuel assembly is typically made of Zircaloy-4. Lower and upper tie plates are typically fabricated from type 304 stainless steel. The spacer grids, which keep proper distance between rods along the length of the fuel assembly, are usually made of Zircaloy-4. The end grids, however, are typically made of Inconel-718, chosen for its high corrosion resistance and high strength. Guide thimbles, for the insertion of control rods, are also typically made of Zircaloy-4. Continuous operation of a reactor requires that the core remain critical. However, to compensate for the gradual depletion of fissile material with time, as burn-up accumulates, and to compensate for other phenomena such as the buildup of fission products, excess reactivity must be built into the nuclear core. This excess reactivity must be controlled at any given time to keep the reactor critical for steady-state operation. This task is accomplished by the use of materials that are strong neutron absorbers or "poisons." Control elements constructed from neutron absorbers regulate power generation according to demand, provide quick shutdown, account for short-term and long-term reactivity changes that result from temperature changes, and adjust for fission product accumulation and fissile material depletion. The foremost characteristic of a control material is its neutron absorption properties. These vary with the energy of the impinging neutrons but one can gather together the detailed absorption features into a "thermal absorption cross-section," which is of interest in LWR's. The dominant absorber used in control rods in LWR's is boron. In addition to the movable control rods used in all LWR'S, present LWR designs utilize burnable poisons. These are solid neutron absorbers which are placed in the reactor. As it is subjected to neutron irradiation, the burnable absorber material is gradually depleted. Thus the depletion of the burnable poison corresponds, roughly, to the depletion of fissile material. Burnable-poisons are used to counterbalance excess reactivity at the beginning of the fuel cycle and to provide a means for power shaping and optimum core burn-up. Burnable poison compounds currently of interest include boron, erbium and gadolinium. Many LWR fuel designs employ burnable absorber rods to control axial power peaking or moderator temperature coefficient in a number of ways. In some designs, burnable absorber rods are placed in fuel assembly lattice locations, thereby displacing fuel rods. Other designs employ burnable absorber rod inserts and fuel assembly guide thimbles. Still other designs involve the formation of burnable-absorber coatings on the inside diameters of cladding tubes, on fuel pellet surfaces, or involve distribution of the burnable absorber within the fuel pellet. Ideally, it would be desirable to directly include the burnable absorber materials in the reactor structural components to prevent displacement of the nuclear fuel. The use of erbium, boron or gadolinium, however, may tend to compromise the corrosion resistence of the zirconium alloys. Accordingly, it is a continuing problem in this art to develop an absorber material which can be used as a structural component, while exhibiting adequate corrosion resistance. SUMMARY OF THE INVENTION In view of the foregoing, it is an object of the present invention to provide a burnable absorber that does not displace any fissile material from the fuel rods so that a higher fissile material inventory is available to produce power. It is another object of the present invention to incorporate burnable absorber materials in zirconium bearing structural components which would allow such components to be fabricated in facilities that do not require radiological material handling capabilities or licenses. It is a further object of the present invention to use one or more of boron-10, gadolinium-157 and erbium-167 as burnable absorber materials in zirconium alloy structural components. The present invention thus provides erbium-167 as a burnable absorber in zirconium alloy structural components in amounts ranging from about 0.1 to 0.4 weight percent (wt. %), preferably about 0.2 wt. %.
description
Various aspects of the invention are described below in the context of representative embodiments, which are not intended to be limiting in any way. Although the various embodiments are described as utilizing an electron beam as an exemplary charged particle beam, the general principles set forth herein are applicable with equal facility to use of an alternative charged particle beam such as an ion beam. First Representative Embodiment This embodiment is depicted in FIGS. 1, 2, 3, and 4(A)-4(C). Turning first to FIG. 1, certain optical-system components of an electron-beam microlithography system in the vicinity of the wafer stage are shown. At the upstream end of the depicted apparatus an illumination beam 12 is shown incident on a reticle 11. The illumination beam 12 is emitted from an electron gun (not shown) and formed by an illumination-optical system (not shown, but well understood to be located between the electron gun and the reticle 11) so as to be collimated as it is incident on the reticle 11. The reticle 11 defines one or more xe2x80x9cmeasurement marksxe2x80x9d as used for measuring beam blur, and also can define an actual lithographic pattern. The reticle 11 also represents an xe2x80x9cobject planexe2x80x9d of the depicted system. In this embodiment, the measurement marks include a mark 13 defined as a rectangular aperture (through-hole). As the illumination beam 12 is incident on the measurement mark 13, a portion of the beam passes through the mark without experiencing any absorption or scattering of electrons by the reticle 11. The portion of the beam 12 transmitted through the measurement mark 13 is thus formed into a collimated beamlet EB having a rectangular transverse profile. First and second projection lenses 14, 15, respectively, define a two-stage projection-lens system disposed downstream of the reticle 11. A contrast diaphragm 17 is situated between the projection lenses 14, 15. The beamlet EB formed by the measurement mark 13 in the reticle 11 is converged by the first projection lens 14 to form a crossover CO in the center of an aperture 17a defined by a contrast diaphragm 17. The contrast diaphragm 17 comprises a plate 17b that blocks electrons of the beamlet EB that were forward-scattered during passage through the reticle 11 (i.e., only non-scattered electrons pass through the aperture 17a). A wafer stage 16 is situated downstream of the second projection lens 15. The wafer stage 16 is configured to hold a suitable xe2x80x9csensitivexe2x80x9d lithographic substrate such as a semiconductor wafer having an upstream-facing surface coated with a xe2x80x9cresistxe2x80x9d that is sensitive in an image-imprinting manner to exposure by the beamlet EB from the reticle 11. The wafer stage 16 includes a knife-edged reference mark 2 defined in, desirably, a silicon thin film 3 having a thickness of about 2 xcexcm, for example. The substrate and the thin film 3 on the wafer stage 16 define a plane representing an xe2x80x9cimage planexe2x80x9d of the depicted system. A knife-edge 1 of the reference mark 2 is shown. A knife-edge 1 formed on such a thin film 3 easily provides a high-quality straight edge having minimal edge roughness. Mounted to the upstream-facing surface of the wafer stage 16 is a wafer chuck (not shown but well understood in the art) on which a wafer or other suitable substrate (not shown) is mounted for lithographic exposure. As detailed in FIGS. 2 and 3, a beam-limiting diaphragm 5 is disposed downstream of the knife-edged reference mark 2. The beam-limiting diaphragm 5 comprises a plate 5b that defines a beam-limiting aperture 5a having a diameter xe2x80x9cdxe2x80x9d (FIG. 2). The axial distance (denoted xe2x80x9chxe2x80x9d in FIG. 3) from the beam-limiting diaphragm 5 to the knife-edged reference mark 2 typically is a value between a few millimeters (mm) to about 20 mm. In general, the diameter xe2x80x9cdxe2x80x9d of the aperture 5a is sufficient to block xe2x80x9cmostxe2x80x9d(at least 90%) of the electrons e2. In this regard, referring to FIG. 2, note a right triangle having an apex at the knife-edge 1, a first side extending (along the trajectory e1) from the apex to the center of the aperture 5a, a second side extending from the center to the edge 5e of the aperture 5a, and a hypotenuse extending from the edge 5e to the apex. The apex of the triangle has an angle xcex8, which represents the axial angle of the beam-limiting aperture as measured at the knife-edge. In order for the beam-limiting aperture 5a to block most of the electrons e2, the diameter xe2x80x9cdxe2x80x9d of the aperture 5a desirably is such that the angle xcex8 is xe2x80x9cslightly greaterxe2x80x9d(i.e., 1.1 to 3 times greater) than the angle of convergence of the beamlet EB at the substrate (image plane). Desirably, d≈2hxcex8. If d less than 2hxcex8, then not only scattered electrons but also non-scattered electrons are blocked by the beam-limiting diaphragm 5, which reduces the signal and contrast. On the other hand, if d is much larger than 2hxcex8, then a significant portion of the scattered electrons pass through the beam-limiting aperture 5a, which reduces contrast. In this embodiment an exemplary range of the diameter d is 100 to 200 xcexcm. The plate 5b of the beam-limiting diaphragm 5 desirably is made of an electro-conductive metal and has a thickness (1 mm, for example) sufficient for absorbing electrons incident on the plate 5b. An electron detector (sensor) 6 is situated downstream of the beam-limiting aperture 5a. The electron detector 6 desirably comprises a combination of a photomultiplier and a scintillator, a Faraday cup, or a semiconductor detector. The electron detector 6 is connected to a pre-amplifier 7, a differentiation circuit 8, and an oscilloscope (or analogous display) 9. With a device as shown in FIGS. 2 and 3, as the rectangular beamlet EB (downstream of the second projection lens 15) is scanned over the knife-edge 1, electrons not absorbed by the thin film 3 (i.e., electrons e1 that pass through the knife-edged reference mark 2 without experiencing any scattering, and electrons e2 that are forward-scattered during transmission through the thin film 3) propagate downstream. The electrons e1, e2 then reach the beam-limiting diaphragm 5. The non-scattered electrons e1 pass through the beam-limiting aperture 5a, while most (at least 90% of) the forward-scattered electrons e2 are blocked by the plate 5b. As a result, essentially only the non-scattered electrons e1 are detected by the electron detector 6. An exemplary beam current of non-scattered electrons e1 detected by the electron detector 6 is depicted as the upper graph in FIG. 4(B). This waveform is of detected beam current. As shown in FIG. 4(A), the beamlet EB is scanned over the knife-edge 1 in the direction of the xe2x80x9cSCANxe2x80x9d arrow (i.e., to the right in the figure). As the beamlet EB passes over the knife-edge 1, the proportion of the beamlet EB propagating past the knife-edge 1 progressively increases, indicated by a corresponding increase in the beam current detected by the detector 6 (FIG. 4(B)). Specifically, in FIG. 4(B), note the rise in detected beam current as indicated by the rise to the right in the upper curve. This beam current is amplified by the pre-amplifier 7 and converted to a plot of percentage change versus time by the differentiation circuit 8. An exemplary differential waveform output from the differentiation circuit 8 is shown as the lower curve in FIG. 4(B). Ideally, the differential waveform has a rectangular profile W1 if the beamlet EB has no blur. In practice, an actual differential waveform W2 has sloped sides resulting from beam blur. Referring to FIG. 4(C), the distance xe2x80x9ctxe2x80x9d over which the waveform W2 exhibits a rise is regarded as being situated within the range of 12% to 88% maximum beam intensity of the differential waveform. Beam blur is quantified by determining the distance t. The output waveform of the differentiation circuit 8 is displayed on the oscilloscope 9. Beam adjustment (e.g., calibration of focal point, astigmatism, magnification, rotation, and/or other parameters) and evaluation of imaging performance are performed on the basis of the waveform displayed on the oscilloscope 9. A specific numerical example of the angle xcex8 (see FIG. 2) is established as follows for an electron-beam microlithography apparatus. If the acceleration voltage of the illumination beam 12 is 100 kV, and the angle of convergence of the beamlet EB at the projection lens is 6 mrad, then the aperture angle xcex8 established by the edge 5e of the beam-limiting aperture 5a (as seen from the knife-edge 1) is 6 to 10 mrad. In such an instance, approximately 100% of the non-scattered electrons e1 pass through the aperture 5a, whereas no more than approximately 0.1% of the forward-scattered electrons e2 pass through. Under such conditions, beam-blur measurements can be made at nearly full contrast. Second Representative Embodiment This embodiment is depicted in FIGS. 5 and 6, in which components that are similar to respective components in FIG. 2 have the same respective reference numerals. FIG. 5 is a schematic elevational view with accompanying block diagram, and FIG. 6 is a schematic plan view showing size and shape relationships of electron beams and apertures used in the method. Turning first to FIG. 6, a dummy beam EB2 having a relatively large, hollow transverse profile (with a square-shaped central void) surrounds much smaller measurement beamlets EB1-1. The dummy beam EB2 exerts a Coulomb effect on the measurement beamlets EB1-1. Hence, beam blur is measured under actual exposure conditions in which the Coulomb effect is assumed as being present. The hollow-square profile of the dummy beam EB2 is actually an aerial image of mesh holes in a pattern (defined by an upstream reticle, not shown) used for experimentally varying the pattern-element density of a projected pattern. As shown, the dummy beam EB2 is configured so as to surround the beamlets EB1-1, which are clustered at the center of the void in the dummy beam. A beam-limiting diaphragm 5 is situated downstream of the knife-edged reference mark 2. The beam-limiting diaphragm 5 comprises a plate 5b that defines an aperture 5a (usually round; FIG. 6). The edge of the plate 5b around the aperture 5a is denoted 5e. The axial distance (denoted xe2x80x9chxe2x80x9d in FIG. 5) between the beam-limiting diaphragm 5 and the knife-edged reference mark 2 can range from a few millimeters (mm) to about 20 mm. The plate 5b of the beam-limiting diaphragm 5 is made of an electro-conductive metal and has a defined thickness (e.g., 1 mm thick) sufficient for absorbing incident electrons. The electron detector (sensor) 6 is situated downstream of the beam-limiting diaphragm 5. As in FIG. 3, the configuration shown in FIG. 5 includes a pre-amplifier 7, a differentiation circuit 8, and an oscilloscope 9 connected to the sensor 6. Whenever the beamlets EB1 and the dummy beam EB2 are scanned over the knife-edged reference mark 2, electrons not absorbed by the plate 3 are transmitted downstream of the plate 3. These transmitted electrons comprise non-scattered electrons e1 of the beamlets EB1 that passed through the reference mark 2, forward-scattered electrons e2 of the beamlets EB1 that passed (with forward-scattering) through the plate 3, and forward-scattered electrons e2xe2x80x2 of the dummy beam EB2 that passed (with forward-scattering) through the plate 3. The electrons e1, e2, and e2xe2x80x2 propagate to the beam-limiting diaphragm 5. The non-scattered electrons e1 pass through the aperture 5a. Some of the forward-scattered electrons e2 are blocked by the aperture plate 5b. Also, some of the forward-scattered electrons e2xe2x80x2 of the dummy beam EB2 are blocked by the aperture plate 5b. Third Representative Embodiment In the second representative embodiment many electrons e2 and e2xe2x80x2 pass through the aperture 5a and reach the electron detector 6. In view of these conditions and because the dummy beam EB2 is larger in transverse profile than the beamlet EB1, the number of electrons of the dummy beam EB2 that reaches the detector 6 could be sufficiently large to provide less than optimal results for certain applications. This third representative embodiment addresses these concerns. The third representative embodiment is depicted in FIGS. 7, 8, 9(A)-9(B), and 10(A)-10(C), with reference also being made to FIG. 1. In this embodiment, components that are similar to respective components in the first representative embodiment have the same respective reference numerals. Referring first to FIG. 1, certain optical-system components in the vicinity of the wafer stage are shown. At the upstream end of the depicted apparatus an illumination beam 12 is shown incident on a reticle 11. The illumination beam 12 is emitted from an electron gun (not shown) and formed by an illumination-optical system (not shown, but well understood to be located between the electron gun and the reticle 11) so as to be collimated as it is incident on the reticle 11. The reticle 11 defines one or more xe2x80x9cmeasurement marksxe2x80x9d as used for measuring beam blur, and also can define an actual lithographic pattern. In this embodiment, the measurement marks include a mark 13 defined as a rectangular aperture (through-hole). As the illumination beam 12 is incident on the measurement mark 13, a portion of the beam passes through the mark without experiencing any absorption or scattering of electrons by the reticle 11. The portion of the beam 12 transmitted through the measurement mark 13 is thus formed into a collimated beamlet EB having a rectangular transverse profile. First and second projection lenses 14, 15, respectively, define a two-stage projection-lens system disposed downstream of the reticle 11. A contrast diaphragm 17 is situated between the projection lenses 14, 15. The beamlet EB formed by the measurement mark 13 in the reticle 11 is converged by the first projection lens 14 to form a crossover CO in the center of an aperture 17a defined by a contrast diaphragm 17. The contrast diaphragm 17 blocks electrons of the beamlet EB that were scattered during passage through the reticle 11 (i.e., only non-scattered electrons pass through the aperture 17a). A wafer stage 16 is situated downstream of the second projection lens 15. The wafer stage 16 is configured to hold a suitable xe2x80x9csensitivexe2x80x9d lithographic substrate such as a semiconductor wafer having an upstream-facing surface coated with a xe2x80x9cresistxe2x80x9d that is sensitive in an image-imprinting manner to exposure by the beam from the reticle 11. The wafer stage 16 includes a knife-edged reference mark 2 defined in, desirably, a silicon thin film 3 having a thickness of about 2 xcexcm, for example. A knife-edge 1 of the reference mark 2 is shown. A knife-edge 1 formed on such a thin film 3 easily provides a high-quality straight edge having minimal edge roughness. Mounted to the upstream-facing surface of the wafer stage 16 is a wafer chuck (not shown but well understood in the art) on which a wafer or other suitable substrate (not shown) is mounted for lithographic exposure. Turning now to FIG. 9(A), a subfield pattern (e.g., having dimensions of 250 xcexcm square on the reticle 11) is shown. The depicted subfield has a peripheral zone 11a having a square-frame configuration. The peripheral zone 11a surrounds a square center portion 11b connected to the peripheral zone 11a by four connecting portions 11c. The subfield also includes four electron-transmissive regions 11d situated between the peripheral zone 11a and the center portion 11b. A respective group of rectangular measurement marks 13 is defined at each of five locations: four locations in the peripheral zone 11a and one location in the center portion 11b. Two measurement marks 13 are in each group, one extending in the X direction and the other extending in the Y direction. The electron-transmissive regions 11d can define respective portions of the overall pattern, defined by the reticle 11, to be lithographically transferred to a substrate. Referring now to FIG. 9(B), incidence of an illumination beam 12 on a group of measurement marks 13 in the reticle 11 produces two corresponding collimated rectangular measurement beamlets EB1. Portions of the illumination beam passing through the transmissive regions 11d become respective dummy beams EB2 that serve to adjust beam current for purposes of correcting space-charge effects. The dummy beams EB2 exert a Coulomb effect on the measurement beamlets EB1. Producing the dummy beams EB2 allows measurements of beam blur to be obtained during an actual pattern exposure. FIG. 1 schematically illustrates one measurement beamlet EB1 produced by passage of a corresponding portion of the illumination beam 12 through a single measurement mark 13. As shown in FIGS. 7 and 8, a beam-limiting diaphragm 5 is situated downstream of the knife-edged reference mark 2. The beam-limiting diaphragm 5 comprises a plate 5b desirably made of an electro-conductive metal and having a thickness (e.g., 1 mm) sufficient for absorbing incident charged particles. The aperture 5a defined by the plate 5b desirably has a diameter (denoted xe2x80x9cdxe2x80x9d in FIG. 8) of 50 xcexcm or less (most desirably approximately 10 xcexcm) to ensure adequate blocking of the dummy beams. In FIG. 7 the axial distance xe2x80x9chxe2x80x9d is shorter than xe2x80x9chxe2x80x9d in FIG. 3. Desirably, in FIG. 7, h less than d/2xcex1, wherein xcex1 is the beam-convergence angle at the image plane. The axial distance h between the aperture 5a and the knife-edged reference mark 2 is such that the angle (denoted xe2x80x9cxcex8xe2x80x9d in FIG. 8) of the edge 5e from the knife-edge 1 is slightly greater than the angle of convergence of the beamlet EB1 at the second projection lens 15. A desired range that falls within the scope of xe2x80x9cslightly greaterxe2x80x9d is from 1.1 to 3 times the angle of convergence. By way of example, the angle xcex8 is 10 mrad, the angle of convergence of the beamlet EB1 is 5 mrad, and h is approximately 2.5 mm. The electron detector 6, situated downstream of the beam-limiting diaphragm 5, desirably comprises a combination of a photomultiplier and a scintillator, a Faraday cup, or a semiconductor detector. The detector 6 is connected to a pre-amplifier 7, a differentiation circuit 8, and an oscilloscope (or analogous display) 9. Referring to FIG. 7, as the beamlet EB1 and the dummy beams EB2 pass through the second projection lens 15 and are scanned over the knife-edge 1, electrons not absorbed by the thin film 3 propagate downstream. These downstream-propagating electrons consist of non-scattered electrons e1 of the beamlet EB1 that pass through the reference mark 2, forward-scattered electrons e2 of the beamlet EB1, and forward-scattered electrons e2xe2x80x2 of the dummy beams EB2. The electrons e1, e2, and e2xe2x80x2 then reach the aperture 5a through which the non-scattered electrons e1 of the beamlet EB1 pass. xe2x80x9cNearly allxe2x80x9d (i.e., at least 90% of) the forward-scattered electrons e2 of the beamlet EB1 and the forward-scattered electrons e2xe2x80x2 of the dummy beams EB2 are blocked by the plate 5b. As a result, essentially only the non-scattered electrons e1 are detected by the electron detector 6. The beam current of the non-scattered electrons e1 detected by the electron detector 6 produces a waveform as shown in the upper graph in FIG. 10(B). As shown in FIG. 10(A), as the beamlet EB1 passes over the knife-edge 1, the proportion of the beamlet EB1 propagating past the knife-edge 1 progressively increases, indicated by a corresponding increase in the beam current detected by the detector 6 (FIG. 10(B)). Specifically, in FIG. 10(B), note the rise in detected beam current as indicated by the rise to the right in the upper curve. This beam current is amplified by the pre-amplifier 7 and converted to a plot of percentage change versus time by the differentiation circuit 8. An exemplary differential waveform output from the differentiation circuit 8 is shown as the lower curve in FIG. 10(B). Ideally, the differential waveform has a rectangular profile W1 if the beamlet EB1 has no blur. In actual practice, an actual differential waveform W2 has sloped sides resulting from beam blur. Referring to FIG. 10(C), the distance xe2x80x9ctxe2x80x9d over which the waveform W2 exhibits a rise is regarded as being situated within the range of 12% to 88% maximum beam intensity of the differential waveform. Beam blur is quantified by determining the distance t. The output waveform of the differentiation circuit 8 is displayed on the oscilloscope 9. Beam adjustment (e.g., calibration of focal point, astigmatism, magnification, rotation, and/or other parameters) and evaluation of imaging performance are performed on the basis of the waveform displayed on the oscilloscope 9. Hence, as a result of most of the forward-scattered electrons e2xe2x80x2 of the dummy beams EB2 being blocked by the plate 5b of the beam-limiting diaphragm 5, beam blur can be measured accurately and with low noise. Fourth Representative Embodiment This embodiment is depicted in FIG. 11, in which components that are similar to respective components discussed in the second representative embodiment have the same respective reference numerals. This embodiment is essentially the same as the second representative embodiment except that this third representative embodiment includes not only a first beam-limiting diaphragm 5 but also a second beam-limiting diaphragm 4. This embodiment including two beam-limiting diaphragms is especially useful whenever one or more dummy beams is used. The second beam-limiting diaphragm 4 comprises a plate 4b that defines a respective beam-limiting aperture 4a and is situated between the first beam-limiting diaphragm 5 and the electron detector 6. The second beam-limiting diaphragm 4 is configured as an electro-conductive metal plate having a defined thickness of approximately 1 mm to ensure absorption by the plate 4b of incident charged particles. The axial distance (denoted xe2x80x9cHxe2x80x9d in FIG. 11) between the second beam-limiting diaphragm 4 and the knife-edged reference mark 2 desirably is in the range of approximately 10 to 20 mm. Desirably, h less than d/2xcex1 (i.e., d greater than 2hxcex1), wherein xcex1 is the beam-convergence angle at the image plane. The aperture 4a desirably has a diameter of approximately 200 to 400 xcexcm""s. Note that the aperture 4a has a larger diameter than the aperture 5a in FIG. 7. The aperture 5a in FIG. 7 desirably has a diameter no greater than about 50 xcexcm to ensure adequate blocking of the dummy beams. In contrast, the aperture 4a in FIG. 11 does not have to block dummy beams (because the aperture 5a already does so). As shown in FIG. 11, as the beamlet EB1 and the dummy beams EB2 are scanned over the knife-edge 1, the electrons e1 of the beamlet EB1 that passed through the reference mark 2 without scattering propagate toward the first beam-limiting diaphragm 5. The electrons e2 of the beamlet EB1 that were forward-scattered during transmission through the thin film 3, and the electrons e2xe2x80x2 of the dummy beams EB2 that were forward-scattered during transmission through the thin film 3 also propagate downstream to the first beam-limiting diaphragm 5. The non-scattered electrons e1 pass through the beam-limiting aperture 5a, and many of the forward-scattered electrons e2 are blocked by the first beam-limiting diaphragm plate 5b. Essentially all the electrons e2xe2x80x2 of the dummy beams EB2 are blocked by the first beam-limiting diaphragm plate 5b. Many of the other forward-scattered electrons e2xe2x80x3 (of the electrons e2 from the beamlet EB1) that passed through the first beam-limiting aperture 5a are blocked by the second beam-limiting diaphragm plate 4b. As a result, essentially only the non-scattered electrons e1 are detected by the electron detector 6, which further enhances contrast and allows beam blur to be measured with even greater accuracy. Fifth Representative Embodiment FIG. 12(A) is a plan view of a reticle subfield 31 showing an exemplary pattern of reference marks 33 useful for measuring beam blur according to this embodiment, and FIG. 12(B) is a plan view of the aerial image produced by the subfield of FIG. 12(A). The reticle 31 of FIG. 12(A) (having dimensions of, e.g., 250 xcexcm square) defines multiple pairs of rectangular apertures (reference marks 33). In each pair of reference marks 33, one aperture extends in the X direction and the other extends in the Y direction. The pairs of reference marks 33 are arranged in five rows and five columns within the subfield 31. The aerial image shown in FIG. 12(B) comprises groups of paired rectangular beamlets EB3. The groups of beamlets EB3 of FIG. 12(B) can be used for measuring not only beam blur but also residual strain in a reticle subfield. Residual strain is based on differences in beam blur as measured at different locations within the subfield using respective pairs of beamlets. For example, the beam-limiting aperture 5 is disposed at the location shown in FIG. 12(B), and the respective pair of beamlets EB3 is scanned over the knife-edge 1. The locations of the beamlets EB3 with respect to the knife-edge 1 are determined from the scanning waveform detected by the detector (not shown, but see FIG. 2). After performing measurements using one pair of beamlets EB3, the wafer stage 16 is moved as required in the X and Y directions to reposition the beam-limiting aperture 5a, and the measurement is repeated for another pair of beamlets EB3. This protocol can be repeated to obtain measurements involving each of the pairs of beamlets EB3 produced by the subfield 31. The overall strain of the subfield is determined based on the beam-blur-distribution data obtained with the various pairs of beamlets EB3. Based on the results of these measurements, the CPB microlithography apparatus can be adjusted as required (e.g., adjustments made to the CPB-optical system) to compensate for the strain. The compensation enables the CPB microlithography apparatus to produce optimal exposure results despite the strain in the subfield. The compensations (e.g., adjustment of focal point, astigmatism, magnification, rotation, and/or other parameters as required) can be performed in real time. Therefore, high-accuracy measurements of beam blur can be made at multiple measurement locations within a reticle subfield, thereby facilitating exposure adjustments made in real time so as to realize high-accuracy exposures. Whereas the invention has been described in connection with multiple representative embodiments, it will be understood that the invention is not limited to those embodiments. On the contrary, the invention is intended to encompass all modifications, alternatives, and equivalents as may be included within the spirit and scope of the invention, as defined by the appended claims.
041561465
abstract
An arrangement for replacably mounting an operating member such as glove, bag or filter on the wall of a radiation shielding box includes a cylindrical fixed port fixedly provided on the wall, and a cylindrical replacement port having the operating member mounted thereon and screwed into the fixed port. The interface between the fixed port and the replacement port is sealed by a threaded, compressible elastic member such as rubber. The replacement port can be replaced with another new one when required.
summary
claims
1. A secondary collimator, comprising:a body formed of a high density material; anda continuous spiral slit aperture formed in the body, wherein the continuous spiral slit aperture is oriented with a spirally increasing azimuth angle to enable multiple scatter beams to simultaneously pass through the continuous spiral slit aperture at different scatter angles for extending a range of momenta for which x-ray diffraction (XRD) intensities are measured for a predetermined range of photon intensities. 2. The secondary collimator of claim 1, wherein a first predetermined scatter angle with respect to an axis of the secondary collimator and a second predetermined scatter angle with respect to the axis enables a first scatter detector and a second scatter detector to respectively capture photons scattered from a scan area of an object along predetermined ray paths that pass through the continuous spiral slit aperture. 3. The secondary collimator of claim 1, wherein at least one predetermined scatter angle satisfies: θ i = tan - 1 ⁡ ( a i S - x v ) wherein, ai is a distance of an ith portion of the continuous spiral slit aperture from an axis of the secondary collimator; S is a position (x coordinate) of the secondary collimator to an x-ray source; and xv is an x coordinate of a voxel in which scattering originates. 4. A threat detection system comprising:an x-ray source configured to irradiate an object; anda secondary collimator positioned apart from the x-ray source, the secondary collimator comprising:a body formed of a high density material; anda continuous spiral slit aperture formed in the body, wherein the continuous spiral slit aperture is oriented with a spirally increasing azimuth angle to enable multiple scatter beams to simultaneously pass through the continuous spiral slit aperture at different scatter angles for extending a range of momenta for which x-ray diffraction (XRD) intensities are measured for a predetermined range of photon intensities. 5. The threat detection system of claim 4, wherein a first predetermined scatter angle with respect to an axis of the secondary collimator and a second predetermined scatter angle with respect to the axis enables a first scatter detector and a second scatter detector to respectively capture photons scattered from a scan area of an object along predetermined ray paths that pass through the continuous spiral slit aperture. 6. The threat detection system of claim 4, wherein at least one predetermined scatter angle satisfies: θ i = tan - 1 ⁡ ( a i S - x v ) wherein, ai is a distance of an ith portion of the continuous spiral slit aperture from an axis of the secondary collimator; S is a position (x coordinate) of the secondary collimator to an x-ray source; and xv is an x coordinate of a voxel in which scattering originates. 7. The threat detection system of claim 4, further comprising a plurality of x-ray detectors positioned apart from the x-ray source, wherein the plurality of x-ray detectors comprises a first detector positioned along an axis parallel to a primary beam of radiation and a first scatter detector and a second scatter detector positioned between the first detector and the x-ray source. 8. The threat detection system of claim 7, wherein the secondary collimator is positioned between the first scatter detector and the second scatter detector, the first scatter detector is disposed at a first predetermined scatter angle with respect to the axis and the second scatter detector is disposed at a second predetermined scatter angle with respect to the axis to capture photons scattered from a scan area of the object along predetermined ray paths that pass through the continuous spiral slit aperture. 9. A method comprising:irradiating an object with an x-ray beam using an x-ray source;focusing scatter beams of the x-ray beam using a secondary collimator, wherein the secondary collimator includes a continuous spiral slit aperture that is oriented with a spirally increasing azimuth angle to enable multiple scatter beams to simultaneously pass through the continuous spiral slit aperture at different scatter angles for extending a range of momenta for which x-ray diffraction (XRD) intensities are measured for a predetermined range of photon intensities; anddetecting photons in the scatter beams using at least one scatter detector. 10. The method of claim 9, wherein the at least one scatter detector includes a first scatter detector and a second scatter detector, said detecting photons comprises:detecting at the first scatter detector photons scattered from a scan area of the object along a first path having a first predetermined scatter angle with respect to an axis of the secondary collimator; anddetecting at the second scatter detector photons scattered from the scan area of the object along a second path having a second predetermined scatter angle with respect to the axis, wherein each of the first path and the second path pass through the continuous spiral slit aperture. 11. The method of claim 9, wherein at least one predetermined scatter angle satisfies: θ i = tan - 1 ⁡ ( a i S - x v ) wherein, ai is a distance of an ith portion of the continuous spiral slit aperture from an axis of the secondary collimator; S is a position (x coordinate) of the secondary collimator to the x-ray source; and xv is an x coordinate of a voxel in which scattering originates.
summary
055984495
abstract
A process for the synthesis of .sup.13 N-ammonia in target which comprises charging target water and hydrogen into a synthesis apparatus to make a pressurized condition at 0.1-5 kg/cm.sup.2 and irradiating proton beam to the target water which is circulated to produce .sup.13 N-ammonia, a process for the synthesis of .sup.13 N-ammonia in target which comprises bringing the target water containing .sup.13 N-ammonia thus produced into contact with a Na type cation-exchange resin to collect .sup.13 N-ammonia by the cation-exchange, and then bringing the cation-exchange resin into contact with a saline solution to elute the collected .sup.13 N-ammonia into the saline solution, and a process for the synthesis of a labeled compound, at the time of sucking a prescribed amount of the liquid of the target water, saline solution or the like into a syringe and injecting the liquid from the syringe into the above vessel, comprising depressing the piston of the syringe in the state that the pipe connecting the syringe and the vessel has been once closed to detect the displacement of the piston, and in the case that the displacement exceeds a prescribed value, judging that the sucked amount of the liquid into the syringe is short, on the other hand in the case that the displacement is not more than the prescribed value, opening said pipe to inject the liquid into the vessel.. The method of the invention can synthesize high purity .sup.13 N-ammonia in a short time which is suitable for the PET (Positron Emission Tomography) system which is a kind of tomography utilized for the dragnosis of disease, etc.
051961610
description
DETAILED DESCRIPTION Referring to the drawings, FIG. 1 shows a presently preferred embodiment of a high density nuclear fuel assembly storage rack 10 resting on the planar bottom 12 of a liquid filled storage pool reservoir 14. Reservoir 14 has upstanding sidewalls 16 and 18 (the other sides being omitted for clarity) serving to contain a large volume of moderator fluid, usually water. The fluid serves as an economical coolant and personnel shield from the irradiated or spent fuel assemblies and support racks resting therein. The upper surface of pool 14 is conveniently open for visual surveillance by operators or by monitoring electronics. Pool 14 is typically of sufficient dimensions to hold a plurality of storage racks 10 (one shown), and can be dimensioned to permit limited rack stacking (not shown) because of the failsafe advantages provided by the present inventive rack. There are a plurality of fuel storage cells (conveniently elongate tubes 22) which are arrayed linearly within the rack 10. Each of the cells commonly consists of a square tube 22, sized to slidingly accommodate one fixed rod assembly 24 of FIG. 2. The typical rack of FIG. 1 has three rows of four cells each. In a preferred embodiment, the rack upper cover plate 26 is sealingly joined with the upper periphery of rack outer walls 28 and 30 (rear sidewalls not shown). Lifting members 64 are mounted onto the top surface of the rack upper cover plate 26 for lifting the rack 10. Also, upper plate 26 is interrupted by a plurality of arrayed and hinged, squared edge cover traps 32 and 34, which are each coaxially aligned with receiving tubes 22. Each hinged cover 34 is provided with two or more perforations 36 which serve as exit ports for heated liquid flowing upwardly through receiving tube 22. As depicted in the broken away portion of FIG. 1, each of the lower ends of sidewalls 38 and 40 of tube 22 is provided with a respective arch-like port 42, 44, each of which permits the coldest water accumulated within the rack bottom to be drawn into receiving tube 22 and to circulate upwardly about the stored fuel rods assembly 24 (see FIG. 2). The rod generated waste heating effect creates a continual convection type, multipath circulation of cooling liquid within rack 10. As indicated by the flow directional arrows A and B, so long as the rack is immersed in the pool, cool ambient water is drawn through (Path A) the peripheral ports 46A to 46I and 48A to 48I of cover plate 32 to keep the rack filled, while warm water escapes (Path B) the tubes through the more central upper ports 36, which are disposed in each cover 34, and flows out to the ambient pool 14 where such heat is dissipated by natural diffusion effects. Rack 10 is further provided with a conformed inner rectangular shell 50 shown in FIG. 4, interposed between the conjoined tube sidewalls 28 and 30 and the outer periphery defined by the array of receiving tubes 22. Shell sidewalls 52 and 54 curve inwardly along their lower edges 56 and 58. These shell curvilinear edges are also provided with a number of vertically aligned, slotted ports 60 and 62. Ambient water flows into the rack peripheral ports 46A et al., down between each set of such sidewalls 30 and 54, through bottom ports 60 and 62 of shell 50 and into opposing ports 42 and 44 of the squared tubes 22. A continuous flow of cool water into and warm water out of the pool (see arrows) is attained while rack 10 is immersed in main reservoir 14. It is an important feature of the present inventoion that, after an accident which causes the main pool water level to drop, the full water level (below cover 26) in rack 10 is maintained because of the imperforate outer wall construction of its sides and bottom. As seen in the top plan view of FIG. 3, the recessed receiving tubes 22 and their hinged covers 34 are conveniently arranged in linear rows. These are operable square cells, as shown, with liquid escape ports 36 shown in their covers 34. One of the covers has been removed to depict (FIG. 3) the matching reduced configuration (cross sectional) of a nuclear fuel assembly 24 in situ. As best shown in FIG. 2, each tube 22 is of an appropriate vertical length to accept a fuel assembly 24, including a number of individual fuel rods 66. The tubes 22 can be made of suitable size to permit plural fuel assemblies in each rack, as depicted in FIGS. 1 and 3. A horizontal partition 68 is disposed in each tube nearer the lower end to support the fuel assembly therein. Orifice 70 is included in partition 68 so that cooling liquid can circulate freely through the tubes and past the fuel rods, having entered same via the bottom edged ports 42. When a vacant rack is to be used for temporary storage, the drain and fill plug 72P in rack bottom 74 is removed. The entire rack 10 is then ready to be lowered into the main pool 14, which promptly becomes filled by ambient water entering via the peripheral ports and the unplugged bottom port 72. Plug 72P is suitably reinserted into plug port 72 to establish a seal, e.g. by threading engagement. The moderator is usually water when the assemblies have recently been removed from a reactor, such being quite radioactive and then generating relatively large amounts of heat. When water is used, the entire rack is immersed in the water-filled reservoir, so that the water can circulate usefully through the tubes and thus serve as a coolant as well as a neutron moderator. When the unloaded rack is to be lifted for any purpose, the plug 72P in port 72 can be remotely removed to drain the rack through the plug port as it rises above the surface of the main pool. When unloaded rack 10 is redeposited in the main pool, plug 72P is reinserted to reestablish the reservoir fail-safe nature of the rack. The vertical section of FIG. 4, taken midway through rack 10, better reveals the outer peripheral passageway 76 used by the inflowing ambient cool water which moves downwardly toward the receiving tube lower aperture 42. The imperforate outer wall 30 of rack 10 is preferentially composed of high chrome stainless steel metal or the like which will withstand the oxidizing effects of circulating, surface-oxygenated warmed water. The inner shell wall 50 is conveniently of a substantially thinner gauge sheet metal of stainless steel or chromium coated bronze or brass which is also corrosion resistant, and thus is adapted for the inclusion of the bottom edging slotted ports 60 and 62 (FIG. 1). Various modifications and alterations of this invention will become apparent to those skilled in the art without departing from the spirit of this invention. The presently preferred embodiment described herein illustrates the principles of the invention and its practical application so that one of ordinary skill in the art can utilize the invention in various embodiments and with various modifications as are suited to the particular use contemplated. More particularly, while the detailed description herein has focused on nuclear fuel rod storage assemblies, it will be appreciated that the present invention is broadly applicable to many other toxic waste disposal applications where the benefits thereof are desired. Accordingly, the scope of the invention is defined by the following claims.
abstract
A high-brightness pulsed electron source, which has the potential for many useful applications in electron microscopy, inverse photo-emission, low energy electron scattering experiments, and electron holography has been described. The source makes use of Cs atoms in an atomic beam. The source is cycled beginning with a laser pulse that excites a single Cs atom on average to a band of high-lying Rydberg nP states. The resulting valence electron Rydberg wave packet evolves in a nearly classical Kepler orbit. When the electron reaches apogee, an electric field pulse is applied that ionizes the atom and accelerates the electron away from its parent ion. The collection of electron wave packets thus generated in a series of cycles can occupy a phase volume near the quantum limit and it can possess very high brightness. Each wave packet can exhibit a considerable degree of coherence.
056082240
claims
1. A target changer for use with an accelerator for the remote changing of targets on accelerator beamlines, said target changer comprising: a beam tube for guiding the accelerator beamline; a ring collimator assembly defining a beam shaper and a vacuum window assembly, a first end of said beam tube being secured to a first end of said ring collimator assembly, said beam shaper for receiving and shaping the accelerator beamline, said vacuum window assembly being aligned with said beam shaper and for receiving the beamline therethrough; a carousel hub defining a hub tube, said carousel hub retaining a portion of said ring collimator assembly; a carousel barrel defining a plurality of ports, each of said plurality of ports for receiving a target, said carousel barrel being rotatable about said hub tube such that each of said plurality of ports is alignable with the beamline exiting said vacuum window assembly; and, a rotation mechanism for controlling the rotation of said carousel barrel. a beam tube for guiding the accelerator beamline; a ring collimator assembly defining a beam shaper and a vacuum window assembly, a first end of said beam tube being secured to a first end of said ring collimator assembly, said beam shaper for receiving and shaping the accelerator beamline, said vacuum window assembly being aligned with said beam shaper and for receiving the beamline therethrough; a carousel hub defining a hub tube, said carousel hub retaining a portion of said ring collimator assembly; a carousel barrel defining a plurality of ports, each of said plurality of ports for receiving a target, said target including a target window, a target window spacer flange, a target body, and one or more product tube(s), said target body retaining a target material, said target window spacer flange for providing a space between said target window and end of said target, said product tube(s) extending a length of said target body and for receiving a product resulting from bombarding said target material, said target being retained within said port with an umbilical, said umbilical defining one or more product line(s) and being configured to receive a captured socket head screw, said product line(s) being matable with said product tube(s) for receiving the product within said product tube(s), an end of said captured socket head screw being secured in said carousel barrel proximate said port within which said target is retained thereby securely holding said umbilical against a bottom of said target and creating a high pressure seal between said target window and said target window spacer flange and creating a high pressure seal between said target window and said target body, and creating a high pressure seal between said product tube(s) or said target body and said product line(s), said carousel barrel being rotatable about said hub tube such that each of said plurality of ports is alignable with the beamline exiting said vacuum window assembly; and, a rotation mechanism for controlling the rotation of said carousel barrel. a beam tube for guiding the accelerator beamline; a ring collimator assembly defining a beam shaper and a vacuum window assembly, a first end of said beam tube being secured to a first end of said ring collimator assembly, said beam shaper for receiving and shaping the accelerator beamline, said vacuum window assembly being aligned under said beam shaper and for receiving the beamline therethrough; a carousel hub defining a hub tube, said carousel hub retaining a portion of said ring collimator assembly; a carousel barrel defining a plurality of ports, each of said plurality of ports for receiving a target, said carousel barrel being rotatable about said hub tube such that each of said plurality of ports is alignable with the beamline exiting said vacuum window assembly; and, a rotation mechanism for controlling the rotation of said carousel barrel, said rotation of said carousel barrel being limited to less than 315.degree., said carousel barrel being rotatable in clockwise and counterclockwise directions. 2. The target changer of claim 1 wherein said target includes a target window, a target window spacer flange, a target body, and one or more product tube(s), said target body retaining a target material, said target window spacer flange for providing a space between said target window and a top of said target, said target window spacer flange defining at least one fluid jet for injecting fluid toward said target window to cool said target window, said product tube extending a length of said target body and for receiving a product resulting from bombarding said target material. 3. The target changer of claim 2 wherein said target is retained within said port with an umbilical, said umbilical defining one or more product line(s) and being configured to receive a captured socket head screw, said product lines(s) being matable with said product tube(s) for receiving the product within said product tube(s), an end of said captured socket head screw being secured in said carousel barrel proximate said port within which said target is retained thereby securely holding said umbilical against a bottom of said target and creating a high pressure seal between said target window and said target window spacer flange and creating a high pressure seal between said target window and said target body, and creating a high pressure seal between said product tube(s) or said target body and said product line(s). 4. The target changer of claim 3 wherein said hub tube defines a passage therethrough for accommodating and protecting said product line(s). 5. The target changer of claim 1 wherein said rotation mechanism includes a motor and a chain and sprocket mechanism, said motor being mounted to a motor bracket, said motor bracket being secured to said carousel hub, said chain and sprocket mechanism including a large sprocket, a pinion and a chain, said large sprocket being secured in a spaced manner to the exterior of said carousel barrel, said pinion secured to shaft of said motor and a rotation of said pinion being controlled by said motor, said chain being secured around said pinion and said carousel barrel via said large sprocket. 6. A target changer for use with an accelerator for the remote changing of targets on accelerator beamlines, said target changer comprising: 7. The target changer of claim 6 wherein said hub tube defines a passage therethrough for accommodating and protecting said receiving tube. 8. The target changer of claim 6 wherein said rotation mechanism includes a motor and a chain and sprocket mechanism, said motor being mounted to a motor bracket, said motor bracket being secured to said carousel hub, said chain and sprocket mechanism including a large sprocket, a pinion and a chain, said large sprocket being secured in a spaced manner to the exterior of said carousel barrel, said pinion secured to the shaft of said motor and a rotation of said pinion being controlled by said motor, said chain being secured around said pinion and said carousel barrel via said large sprocket. 9. The target changer of claim 6 wherein said rotation of said carousel barrel is limited to less than 315.degree., said carousel barrel being rotatable in clockwise and counterclockwise directions. 10. The target changer of claim 6 wherein said target window spacer flange defines at least one fluid jet for injecting fluid toward said target window to cool said target window. 11. A target changer for use with an accelerator for the remote changing of targets on accelerator beamlines, said target changer comprising: