patent_number
stringlengths 0
9
| section
stringclasses 4
values | raw_text
stringlengths 0
954k
|
---|---|---|
description | This application claims priority to U.S. Provisional Application No. 61/034,552, filed Mar. 7, 2008, entitled “Magnesium-Based Multilayer X-ray Fluorescence Analyzers.” 1. Field of the Invention The present invention generally relates to a multilayer structure for reflecting x-ray radiation and an associated method for analyzing the atomic or molecular composition of a sample through x-ray fluorescence spectroscopy. 2. Description of Related Art Multilayer reflectors, or Bragg reflectors, operating with x-ray radiation, are often utilized for analyzing structures and detecting the absence or presence of particular atomic elements or molecules. One such procedure is generally known as x-ray fluorescence spectroscopy (XRF). Such a procedure is useful in detecting impurities of minimal amounts present in the sample of interest. For example, XRF is used in the semiconductor industry for detecting impurities in the silicon and germanium wafers that are the foundation of highly-integrated circuits. Two types of XRF systems are commonly used. In energy dispersive spectroscopy (EDS), a detector receives a broad range of energy from the sample and the detector asked to discriminate based on the wavelengths of interest. In wavelength dispersive spectroscopy (WDS), a collection optic acts as a filter to relay to the detector only a wavelength of interest. Each approach has its advantages and disadvantages. For example, EDS systems can detect wavelengths over a large range but have sensitivity limitations. WDS systems have high energy resolution and sensitivity but are designed for detecting only wavelengths of specific elements of interest. In a typical XRF/WDS procedure, an x-ray radiation field is guided to a sample, such as a silicon wafer. The impinging radiation induces a fluorescent radiation field, which is incident upon a multilayer or Bragg reflector. The fluorescent radiation field is directed by the multilayer to a measuring or analyzing detector. In a WDS system, the multilayer functions both as a reflective optic and a frequency selector because the multilayer is designed and oriented in a system such that fluorescent radiation that satisfies Bragg's equation is reflected. Bragg's equation in general is:nλ=2d sin θ, (1) where n is an integral number, λ is the wavelength of the initial x-ray radiation field, d is the periodicity of the lattice structure of the multilayer, and 2θ is the angle of diffraction. Bragg's equation is satisfied for certain types of natural crystals that have regular lattice structures. However, typical crystals have spacings of a few tenths of a nanometer, and because soft x-rays have wavelengths between 1-10 nanometers, Equation (1) is not satisfied for such wavelengths. Consequently, for soft x-ray analyses using Bragg-type reflections, a multilayer or “synthetic crystal” reflector is necessary. A typical multilayer consists of a substrate upon which layers of two or more different materials are sequentially deposited, forming a period of layers of thickness d. Generally, one of the materials has a high dielectric constant and the other has a low dielectric constant. Upon impinging at that interface between the dielectric constants, approximately 10−2 to 10−3 of the incident radiation is reflected at each period of the layers. Therefore, a multilayer structure having 10 to 103 layers would theoretically reflect nearly all of the incident radiation. Multilayers have the added advantage of customization, meaning that the d-spacing can be tailored to meet Bragg's equation for different wavelengths of interest. Traditionally, multilayer XRF analyzers have been utilized in the analysis of various elements from magnesium (Mg) to beryllium (Be). For example, in the semiconductor industry, semiconductor material substrates are now being fabricated with multiple thin film layers. Multilayers can be used to characterize samples having multiple thin film layers formed thereon. Each film layer can be formed from a different material. A wide variety of material combinations having a d-spacing ranging from 1.5 nm to 10 nm are currently in use. For the analysis of a particular element, one can find an optimal structure for the best available performance, but there is always a demand for improvements. For example, a common multilayer consisting of tungsten-silicide (W/Si) periods has been used to analyze films containing various elements such as magnesium (Mg), sodium (Na), fluorine (F), and oxygen (O). Such analyzers are relatively efficient in the analysis of magnesium (Mg) and sodium (Na), but their performance in analyzing fluorine (F) and oxygen (O) is less efficient. By developing a deposition technology one can minimize imperfections of the W/Si multilayer structures such as interlayer diffusion, roughness and others, but a gain in performance is expected to be minor because of a fundamental limit arising from the optical constants of tungsten (W) and silicon (Si) materials. Therefore, there is a need for an improved multilayer analyzer for analyzing thin film layers containing various elements, and, in particular, for analyzing elements such as fluorine (F) and oxygen (O). Examples of thin film layer materials commonly fabricated on semiconductor substrates further include oxides, nitrides, titanium (Ti) and titanium-nitride (TiN). Current analysis of TiN thin films, for example, uses two analyzers: a multilayer, such as a scandium (Sc)-based multilayer, for analyzing nitrogen (N); and a crystal, such as lithium fluoride (LiF), for analyzing titanium (Ti). Using a Sc-based multilayer for analyzing N results in significant reflectivity. This latent reflectivity increases the background signal in certain silicon-containing samples, such as silicon wafers. Further, the Sc-based multilayer is only capable of analyzing the N in TiN thin film coated samples, thus requiring the second analyzer (LiF crystal) for analyzing the Ti. Even when N is the only analyzed element, both analyzers have to be used due to the presence of the Ti-LI, line, which is in close vicinity to the N—Kα line. This requires a two-channel device for housing two separate analyzers which is expensive and inconvenient. Therefore, there is a need for a single analyzer for WDS for analyzing samples fabricated with TiN thin films. Currently, pure magnesium (Mg)-based structures, such as silicon carbide/magnesium (SiC/Mg) multilayer structures, are used for applications at energies below 50 eV. With such low energies, these structures have d-spacings larger than 15 nm. However, for XRF analysis of light elements from magnesium (Mg) to nitrogen (N), multilayer analyzers with d-spacings of between about 2 and 4 nm are optimal. Multilayer structures containing pure Mg layers, such as tungsten/magnesium (W/Mg) with a d-spacing of about 4 nm and smaller, are not desirable due to the strong intermixing/reaction of these materials which results in a very poor x-ray performance. Thus, there is a need for improving the quality of existing analyzers, or for lowering the number of analyzers in a WDS spectrometer without narrowing the number of analyzed elements and losing too much in performance. Further, there is a need for an improved multilayer analyzer which has the capability to optimize parameters, such as reflectivity and selectivity, as well as reduce undesirable background signals, depending upon the particular application and the elements under analysis. In satisfying the above need, as well as overcoming the enumerated drawbacks and other limitations of the related art, at least one embodiment of the present invention provides a multilayer structure which performs in a wide spectral range, and is superior in performance in comparison with existing analyzers. The multilayer structure is magnesium silicide (Mg2Si)-based and may contain two, three, four or more layers in a period. In a first embodiment of the present invention, a multilayer structure for reflecting x-rays includes a substrate and at least one pair of layers formed on a surface of the substrate. The pair of layers includes a first layer and a second layer. The first layer includes magnesium silicide (Mg2Si). The second layer includes at least one of tungsten (W), tantalum (Ta), cobalt (Co), nickel (Ni), copper (Cu), iron (Fe), chromium (Cr), alloys, oxides, borides, silicides, and nitrides of these elements, silicon (Si), carbon (C), silicon carbide (SiC), boron (B), boron carbide (B4C), and any other metal or light element known in the art having suitable optical properties. In this embodiment, the first layer is the top layer (i.e., the layer within the pair of layers first exposed to radiation) and the second layer is the bottom layer (i.e., the layer within the pair of layers last exposed to radiation). In a second embodiment of the present invention, a multilayer structure for reflecting x-rays includes a substrate and at least one triad of layers formed on a surface of the substrate. The triad of layers includes a first layer, a second layer, and a third layer. The first layer includes magnesium silicide (Mg2Si). The second layer includes at least one of silicon (Si), carbon (C), silicon carbide (SiC), boron (B), boron carbide (B4C), or any other light element known in the art having suitable optical properties. The third layer includes at least one of tungsten (W), tantalum (Ta), cobalt (Co), nickel (Ni), copper (Cu), iron (Fe), chromium (Cr), and alloys, oxides, borides, silicides, and nitrides of these elements, and any other metal known in the art having suitable optical properties. In this embodiment, the first layer is the top layer (i.e., the layer within the triad of layers first exposed to radiation) and the third layer is the bottom layer (i.e., the layer within the triad of layers last exposed to radiation). The multilayer structure may further include a fourth layer, thus forming a quartet of layers formed on the surface of the substrate, wherein the fourth layer includes one of the materials listed above with respect to the second layer, and wherein the fourth layer is the bottom layer (i.e., the layer within the quartet of layers last exposed to radiation). In this embodiment, a third, and possibly fourth or more, layer provides the multilayer structure with improved performance and the flexibility to smoothly tune characteristics of an analyzer such as reflectivity, selectivity, and peak-to-background ratio by changing the relative thicknesses of the layers. The variation in the materials and thickness of the layers of the multilayer structure provides a way to optimize analyzer performance in accordance with a particular application, as opposed to prior art multilayer structures having three or more layers, wherein the third layer merely serves as a barrier layer to provide structural integrity to the structure. In a third embodiment of the present invention, an x-ray fluorescence spectroscopy system includes an x-ray source emitting an x-ray radiation field on a sample and a multilayer structure which includes a substrate and at least one period of individual layers formed on a surface of the substrate. The number of individual layers in the period is at least three, wherein the layers are formed from the materials described above. The sample emits a fluorescent radiation field in response to the x-ray radiation field, and the multilayer structure selectively reflects the fluorescent radiation field. In another embodiment of the present invention, a method of x-ray fluorescence spectroscopy includes providing a field of x-ray radiation and irradiating a sample to be analyzed with the field of x-ray radiation, thereby inducing a field of fluorescence radiation. The method further includes directing the field of fluorescence radiation from a multilayer reflector which includes a substrate and at least one period of individual layers formed on a surface of the substrate. The number of individual layers in the period at least three, wherein the layers are formed from the materials described above. The field of fluorescence is then analyzed by a detector after it has radiated the sample. Further objects, features and advantages of this invention will become readily apparent to persons skilled in the art after a review of the following description, with reference to the drawings and claims that are appended to and form a part of this specification. In accordance with a preferred embodiment of the present invention, FIG. 1 depicts a system 10 for the x-ray fluorescence analysis of a sample of interest using wavelength dispersive spectroscopy. An x-ray source 20 emits a field of x-ray radiation 12 directed at a reflective optic 22 which can take various forms, including a multilayer Bragg structure. The reflective optic 22 may be used for collimating or monochromatizing the x-ray radiation 12. Alternatively, the system 10 may operate without the reflective optic 22. As shown, however, the field of x-ray radiation 12 impinges upon a sample of interest 24, such as a silicon wafer that needs to be analyzed to determine chemical impurities. Due to a known physical reaction between the field of x-ray radiation 12 and the sample 24, a field of fluorescent radiation 14 is emitted from the sample. The field of fluorescent radiation 14 contains information in the form of radiation emission lines characteristic of the type of atomic or molecular elements present in the sample 24. The field of fluorescent radiation 14 is selectively reflected from the multilayer structure 26 of the present invention, creating a reflected fluorescent radiation field 16. The reflected fluorescent radiation field 16 is subsequently received and analyzed by a detector 28 that is adapted to interpret qualitative and quantitative aspects of the reflected fluorescent radiation field 16. Radiation is selectively reflected from the multilayer structure 26 in accordance with Bragg's equation, Equation 1 above, where a distance, or d-spacing, d is schematically referred to in FIG. 2 as reference numeral 18. As shown in FIG. 2, the incident radiation 17 (analogous to the incident radiation 14 in FIG. 1) that impinges upon a surface at an angle θ is reflected at intervals that correspond to the d-spacing 18. Constructive interference between a predetermined number of layers creates a uniform field of reflected radiation 19 (analogous to the reflected radiation 16 in FIG. 1). FIG. 3 depicts a multilayer structure 26 in accordance with one embodiment of the present invention. The multilayer structure 26 generally includes a substrate 30, upon which a series of pairs or bi-layers 32, may be periodically formed. As shown in FIG. 3, each bi-layer 32 includes a pair of individual layers 32a, 32b, which are sequentially deposited upon the substrate 30 to create the necessary periodicity. The multilayer structure 26 is preferably composed of between around 10 and around 300 bi-layers 32, or between around 20 and around 600 individual layers 32a, 32b. In a preferred embodiment, the multilayer structure 26 is composed of between around 50 and around 150 bi-layers 32, and each by-layer 32 is between around 1 and around 60 nm in thickness, preferably between around 1.5 and around 10 nm in thickness. This thickness is otherwise referred to as the d-spacing of the multilayer structure 26 (as used in Equation (1)). As noted, each bi-layer 32 is composed of a pair of individual layers 32a, 32b including a first layer 32a and a second layer 32b. In this embodiment, the first layer 32a is composed of magnesium silicide (Mg2Si) and the second layer 32b is composed of at least one of tungsten (W), tantalum (Ta), cobalt (Co), nickel (Ni), copper (Cu), iron (Fe), chromium (Cr), and alloys, oxides, borides, silicides, and nitrides of these elements, silicon (Si), carbon (C), silicon carbide (SiC), boron (B), boron carbide (B4C), and any other metal or light element known in the art having suitable optical properties. Referring to FIG. 3, the first layer 32a is the top layer (i.e., the layer within the pair of layers 32 first exposed to incident radiation) and the second layer 32b is the bottom layer (i.e., the layer within the pair of layers 32 last exposed to incident radiation). Referring to FIG. 4, a multilayer structure 126 in accordance with another preferred embodiment of the present invention is illustrated. The multilayer structure 126 generally includes a substrate 130, upon which a series of triadic layers 132 may be periodically formed. In this embodiment, each triadic layer 132 includes a triad of layers 132a, 132b, 132c, which are sequentially deposited upon the substrate 130 to create the necessary periodicity. The multilayer structure 126 is composed of between around 10 and around 300 triadic layers 132, or between around 30 and around 900 individual layers 132a, 132b, 132c. In a preferred embodiment, the multilayer structure 126 is composed of between around 50 and around 150 triadic layers 132, and each triadic layer 132 is between around 1 and around 60 nm in thickness, preferably between around 1.5 and around 10 nm in thickness. This thickness is otherwise referred to as the d-spacing of the multilayer structure 126 (as used in Equation (1)). As noted, each triadic layer 132 is composed of a triad of layers 132a, 132b, 132c including a first layer 132a, a second layer 132b, and a third layer 132c. In this embodiment, the first layer 132a is composed of magnesium silicide (Mg2Si). The second layer 132b is composed of at least one of silicon (Si), carbon (C), silicon carbide (SiC), boron (B), boron carbide (B4C), and any other light element known in the art having suitable optical properties. The third layer 132c is composed of at least one of tungsten (W), tantalum (Ta), cobalt (Co), nickel (Ni), copper (Cu), iron (Fe), chromium (Cr), and alloys, oxides, borides, silicides, and nitrides of these elements, and any other metal known in the art having suitable optical properties. As depicted in FIG. 4, the second layer 132b is preferably disposed between the first layer 132a and the third layer 132c. In this embodiment, the first layer 132a includes lighter materials and is therefore the top layer within each triadic layer 132 as shown in FIG. 4 (i.e., the layer within each triadic layer 132 first exposed to incident radiation). The third layer 132c includes more absorbent materials and is therefore the bottom layer within each triadic layer 132 as shown in FIG. 4 (i.e., the layer within each triadic layer 132 last exposed to incident radiation). In another embodiment illustrated in FIG. 5, a multilayer structure 226 may include a fourth layer, and thus a series of quartic layers 232 may be periodically formed upon a substrate 230. In this embodiment, each quartic layer 232 includes a quartet of layers 232a, 232b, 232c, 232d, which are sequentially deposited upon the substrate 230 to create the necessary periodicity. The multilayer structure 226 is composed of between around 10 and around 300 quartic layers 132, or between around 40 and around 1200 individual layers 232a, 232b, 232c, 232d. In a preferred embodiment, the multilayer structure 226 is composed of between around 50 and around 150 quartic layers 232, and each quartic layer 232 is between around 1 and around 60 nm in thickness, preferably between around 1.5 and around 10 nm in thickness. This thickness is otherwise referred to as the d-spacing of the multilayer structure 226 (as used in Equation (1)). In this embodiment, the first layer 232a, second layer 232b, and third layer 232c are analogous to the first layer 132a, second layer 132b, and third layer 132c of the triadic multilayer structure 126. Thus, the layers 232a, 232b, and 232c are formed from the same materials as the layers 132a, 132b, and 132c, respectively, as described above with respect to the triadic multilayer structure 126. As illustrated in FIG. 5, the fourth layer 232d of the quartic layers 232 is composed of at least one of the materials listed for the second layer 132b described above with respect to the triadic multilayer structure 126. In this embodiment, the second layer 232b and the fourth layer 232d may be chemically identical, although their respective geometrical characteristics may not be identical. In this embodiment, the second layer 232b is preferably disposed between the first layer 232a and the third layer 232c, and the third layer 232c is preferably disposed between the second layer 232b and the fourth layer 232d. Referring to FIG. 5, the first layer 232a is the top layer (i.e., the layer within each quartet first exposed to incident radiation) and the fourth layer 232d is the bottom layer (i.e., the layer within each quartet last exposed to incident radiation), such that the fourth layer is disposed between the third layer of multilayer period n and the first layer of multilayer period n−1. It is a feature of the present invention that the multilayer structure may be shaped or otherwise tailored to maximize the performance of the system 10. For example, the multilayer structures 26, 126, 226 shown in FIGS. 3, 4, and 5 may be shaped into a conic section, such as an ellipsoid, paraboloid, or spheroid in order to regulate the magnitude of the angle of incidence θ at different points on the surface of the multilayer structures 26, 126, 226. By shaping the surface of the multilayer structures 26, 126, 226, the field of fluorescent radiation 14 can be conditioned in a particular manner such that the reflected field of fluorescent radiation 16 is focused upon the detector 28 in a preferred fashion. The multilayer structures 26, 126, 226 preferably include a cap layer 34, 134, 234 on top of the first layer of the first period (i.e., top period) which acts as either a protective layer or an antireflective layer. For example, the cap layer 34, 134, 234 may be composed of silicon (Si), silicon carbide (SiC), silicon oxide (SiO2), other oxide antireflective coatings, and any other suitable antireflective material known in the art. Additionally, the d-spacing of the multilayer structures 26, 126, 226, shown in FIGS. 3, 4, and 5, i.e. the thickness of the bi-layer 32, triadic layer 132 or the quartic layer 232, may be varied along the depth of the multilayer structures 26, 126, 226, or alternatively, along a lateral axis of the multilayer structures 26, 126, 226. The latter manipulations are known as depth graded d-spacing and laterally graded d-spacing, respectively. Different Mg2Si-based multilayer analyzers were tested along with traditional prior art multilayer analyzers in the analysis of titanium nitride (TiN) thin films on a silicon (Si) wafer, the calculated performance of which is provided in Table 2 below and the energy-intensity distribution plots in FIGS. 6a-b. Referring to FIGS. 6a-b, a plot of the energy-intensity distribution of the calculated performance of various multilayer structures analyzing TiN thin films on a Si wafer is provided. As noted in Table 1, emission lines A, B, C, D, E, F, and G depict the performance of seven different multilayer structures Cr/Sc, Co/Mg2Si, W/Si (OV080A), Ni/Mg2Si, W/Si (OV060A), W/Si (OV045A), and SiC/Mg2Si, respectively, each multilayer structure including a series of bi-layers. Lines A, C, E, and F represent multilayer structures known and used in the art and lines B, D, and G represent Mg2Si-based multilayer structures in accordance with the embodiment illustrated in FIG. 3. TABLE 1Emission Lines Depicted in FIGS. 6a-bLine ACr/Sc (prior art)Line BCo/Mg2SiLine CW/Si (OV080A;prior art)Line DNi/Mg2SiLine EW/Si (OV060A;prior art)Line FW/Si (OV045A;prior art)Line GSiC/Mg2SiLine 1N-KαLine 2Ti-LI Further noted in Table 1, a radiation line of nitrogen of the TiN thin films, known as the N—Kα line, is represented as line 1 and a radiation line of titanium of the TiN thin films, known as the Ti-LI line, is represented as line 2. The peaks along line 1 of each of the various multilayer structure emission lines represent the intensity reflected by each multilayer structure for the N of the TiN thin films. Likewise, the peaks along line 2 of each of the various multilayer structure emission lines represent the intensity reflected by each multilayer structure for the Ti of the TiN thin films. Referring to FIGS. 6a-b, emission line A depicts the performance of a traditional multilayer structure known and used in the art including bi-layers of chromium/scandium (Cr/Sc). The specific product name of this particular tested multilayer analyzer, for which the calculated performance is charted in Table 2 below, is OV080E having a d-spacing of 4 nm. As illustrated in FIGS. 6a-b and Table 2, the peak of the Cr/Sc emission line (line A) situated along the N—Kα line (line 1) illustrates that the performance of the Cr/Sc multilayer structure results in a very high intensity (306.1 a.u.) for the analysis of the N of the TiN thin films. The Cr/Sc emission line (line A) however, does not display a peak along the Ti-LI line (line 2) which reveals that the Sc-based multilayer structure is incapable of analyzing the Ti of the TiN thin films (see Table 2, 0.0 a.u. for the Ti-LI line (line 2)). FIGS. 6a-b and Table 2 further illustrate that the Cr/Sc multilayer structure results in a very high background signal determined by the peak-to-background ratio (given in Table 2 as 2.35 for the N—Kα line (line 1)). Emission line B depicts the performance of a Mg2Si-based multilayer structure in accordance with the embodiment of FIG. 3 of the present invention including bi-layers of cobalt/magnesium silicide (Co/Mg2Si), having a d-spacing of 4 nm. As shown in FIGS. 6a-b and Table 2 below, the peaks of the Co/Mg2Si emission line (line B) situated along the N—Kα line (line 1) and the Ti-LI line (line 2) illustrate not only that the Co/Mg2Si multilayer structure is capable of analyzing both the N and the Ti of the TiN thin films, but that the performance of the Co/Mg2Si multilayer structure results in a very high intensity for the analysis of both the N (169.4 a.u.) and the Ti (86.7 a.u.) of the TiN thin firms. In addition, FIGS. 6a-b and Table 2 further illustrate that the Co/Mg2Si multilayer structure results in a lower background signal than that of the prior art Cr/Sc multilayer structure (line A) determined by the peak-to-background ratio (given in Table 3 as 5.84 for the N—Kα line (line 1) and 1.61 for the Ti-LI line (line 2)). Emission line C depicts the performance of a traditional multilayer structure known and used in the art including bi-layers of tungsten/silicide (W/Si). The specific product name of this particular tested multilayer analyzer, for which the calculated performance is charted in Table 2 below, is OV080A having a d-spacing of 4 nm. As shown in FIGS. 6a-b and Table 2, the peak of the W/Si emission line (line C) situated along the N—Kα line (line 1) illustrates that the performance of the W/Si (OV080A) multilayer structure results in a mid-range intensity (52.9 a.u.) for the group of analyzers in the analysis of the N of the TiN thin films. The peak of the W/Si emission line (line C) situated along the Ti-LI line (line 2) illustrates that the performance of the W/Si (OV080A) multilayer structure results in a mid-range intensity (46.4 a.u.) for the group of analyzers in the analysis of the Ti of the TiN thin films. FIGS. 6a-b and Table 2 further illustrate that the W/Si (OV080A) multilayer structure results in a very high background signal determined by the peak-to-background ratio (given in Table 2 as 2.52 for the N—Kα line (line 1) and 1.16 for the Ti-LI line (line 2)). Emission line D depicts the performance of a Mg2Si-based multilayer structure in accordance with the embodiment of FIG. 3 of the present invention including bi-layers of nickel/magnesium silicide (Ni/Mg2Si), having a d-spacing of 3 nm. As shown in FIGS. 6a-b and Table 2 below, the peak of the Ni/Mg2Si emission line (line D) situated along the N—Kα line (line 1) illustrates that the performance of the Ni/Mg2Si multilayer structure results in a high intensity (75.2 a.u.) for the analysis of the N of the TiN thin films. The peak of the Ni/Mg2Si emission line (line D) situated along the Ti-LI line (line 2) illustrates that the performance of the Ni/Mg2Si multilayer structure results in a high intensity (32.9 a.u.) for the analysis of the Ti of the TiN thin films. FIGS. 6a-b and Table 2 further illustrate that the Ni/Mg2Si multilayer structure results in a much lower background signal determined by the peak-to-background ratio (given in Table 2 as 10.4 for the N—Kα line (line 1) and 2.3 for the Ti-LI line (line 2)). Emission line E depicts the performance of a traditional multilayer structure known and used in the art including bi-layers of tungsten/silicide (W/Si). The specific product name of this particular multilayer analyzer, for which the calculated performance is charted in Table 2 below, is OV060A having a d-spacing of 3 nm. As shown in FIGS. 6a-b and Table 2, the peak of the W/Si emission line (line H) situated along the N—Kα line (line 1) illustrates that the performance of the W/Si (OV060A) multilayer structure results in a low intensity (23.6 a.u.) for the analysis of the N of the TiN thin films. The peak of the W/Si emission line (line H) situated along the Ti-LI line (line 2) illustrates that the performance of the W/Si (OV060A) multilayer structure results in a low intensity (12.2 a.u.) for the analysis of the Ti of the TiN thin films. FIGS. 6a-b and Table 2 further illustrate that the W/Si (OV060A) multilayer structure results in a mid-range background signal determined by the peak-to-background ratio (given in Table 2 as 6.6 for the N—Kα line (line 1) and 1.8 for the Ti-LI line (line 2)). Emission line F depicts the performance of a traditional multilayer structure known and used in the art including bi-layers of tungsten/silicide (W/Si). The specific product name of this particular tested multilayer analyzer, for which the calculated performance is charted in Table 2 below, is OV045A having a d-spacing of 2.25 nm. As shown in FIGS. 6a-b and Table 2, the peak of the W/Si emission line (line F) situated along the N—Kα line (line 1) illustrates that the performance of the W/Si (OV045A) multilayer structure results in a very low intensity (11.74 a.u.) for the analysis of the N of the TiN thin films. The peak of the W/Si emission line (line F) situated along the Ti-LI line (line 2) illustrates that the performance of the W/Si (OV045A) multilayer structure results in a very low intensity (4.71 a.u.) for the analysis of the Ti of the TiN thin films. FIGS. 6a-b and Table 2 further illustrate that the W/Si (OV045A) multilayer structure results in a fairly low background signal determined by the peak-to-background ratio (given in Table 2 as 12.6 for the N—Kα line (line 1) and 2.7 for the Ti-LI line (line 2)). Emission line G depicts the performance of a Mg2Si-based multilayer structure in accordance with the embodiment of FIG. 3 of the present invention including bi-layers of silicon carbide/magnesium silicide (SiC/Mg2Si), having a d-spacing of 3 nm. As shown in FIGS. 6a-b and Table 2 below, the peak of the SiC/Mg2Si emission line (line G) situated along the N—Kα line (line 1) illustrates that the performance of the SiC/Mg2Si multilayer structure results in a lower intensity (28.3 a.u.) than the other Mg2Si-based multilayer structures and two of the prior art multilayer structures, but higher than the other two prior art multilayer structures for the analysis of the N of the TiN thin films. The peak of the SiC/Mg2Si emission line (line G) situated along the Ti-LI line (line 2) illustrates that the performance of the SiC/Mg2Si multilayer structure results in a low intensity (9.9 a.u.) for the analysis of the Ti of the TiN thin films. FIGS. 6a-b and Table 2 further illustrate that the SiC/Mg2Si multilayer structure results in a very low background signal determined by the peak-to-background ratio (given in Table 2 as 70.7 for the N—Kα line (line 1) and 12.4 for the Ti-LI line (line 2)). In comparing the results of the various multilayer structures in the analysis of the N of the TiN thin films, the prior art Cr/Sc (OV080A) analyzer (line A) exhibits the highest intensity, followed by the two Mg2Si-based analyzers, Co/Mg2Si and Ni/Mg2Si (lines B and D), followed by the prior art W/Si (OV080A) analyzer (line C), followed by the third Mg2Si-based analyzer, SiC/Mg2Si (line G), followed by the last two prior art W/Si (OV060A and OV045A) analyzers (lines E and F) with the lowest intensities. In comparing the results of the various multilayer structures in the analysis of the Ti of the TiN thin films, the Co/Mg2Si analyzer (line B) exhibits the highest intensity, followed by the prior art W/Si (OV080A) analyzer (line C), followed by the Ni/Mg2Si analyzer (line D), followed by the prior art W/Si (OV060A) analyzer (line E), followed by the SiC/Mg2Si analyzer (line G), followed by the prior art W/Si (OV045A) analyzer (line F), followed by the prior art Cr/Sc analyzer (line A), which is not capable of analyzing the Ti in the TiN thin films. In comparing the results of the various multilayer structures in the background signal each exhibits, based on the peak-to-background ratio, the prior art Cr/Sc analyzer (line A) exhibits the highest background noise, followed by the prior art W/Si (OV080A) analyzer (line C), followed by the Co/Mg2Si analyzer (line B), followed by the prior art W/Si (OV060A) analyzer (line E), followed by the Ni/Mg2Si analyzer (line D), followed by the prior art W/Si (OV045A) analyzer (line F), and followed by the SiC/Mg2Si analyzer (line G) exhibiting the lowest background noise. Although the prior art Cr/Sc analyzer (line A) exhibits the highest intensity in the analysis of N, it is not capable of analyzing Ti and exhibits the highest background noise and is therefore not a very desirable analyzer. While the prior art W/Si (OV080A) analyzer (line C) exhibits a higher intensity in the analysis of Ti, it is much poorer in the analysis of N and exhibits a very high background noise and is therefore not a very desirable analyzer. Both of the prior art W/Si (OV060A and OV045A) analyzers (lines E and F) are ranked near the middle in terms of the analysis of both N and Ti intensity and background noise. From the results charted in Table 2 and plotted in FIGS. 6a-b, it is evidenced that the Mg2Si-based analyzers, in accordance with the embodiment of FIG. 3, are more desirable analyzers than the prior art W/Si and Cr/Sc analyzers. For example, the Ni/Mg2Si analyzer (line D) exhibits a high intensity for both N and Ti and exhibits low background noise. The SiC/Mg2Si analyzer (line G) exhibits a lower intensity for both N and Ti, however, it exhibits the lowest background noise of all the analyzers. Lastly, although the Co/Mg2Si analyzer (line B) exhibits a higher background signal than the other Mg2Si-based analyzers, it exhibits very high intensity in the analysis of both N and Ti, more than three times the intensity in the analysis of N and nearly twice the intensity in the analysis of Ti than that of the traditional prior art W/Si multilayers. TABLE 2Peak-to-BackgroundIntensity, a.u.RatioAnalyzerN-KαTi-LIN-KαTi-LISiC/Mg2Si28.39.970.712.4Ni/Mg2Si75.232.910.42.3Co/Mg2Si169.486.75.841.61W/Si11.744.7112.62.7(OV045A; prior art)W/Si23.612.26.61.8(OV060A; prior art)Cr/Sc306.10.02.350.0(OV080E; prior art)W/Si52.946.42.521.16(OV080A; prior art) Referring now to FIG. 7, calculated angular reflectivity of a traditional prior art W/Si structure and a new W/Mg2Si structure, in accordance with the embodiment of FIG. 3, at the O—Kα radiation line, is provided in the peak reflectivity-grazing incidence angle plot. The d-spacing of each structure is 4 nm and the number of bi-layers is 100. Gamma, i.e., the ratio of the thickness of the W layer to the d-spacing, is 0.3. Calculations were done assuming plane waves (i.e., no divergence), bulk material density of the layers, and interlayer roughness of 0.35 nm for W/Si and 0.4 nm for W/Mg2Si structures. As shown in FIG. 7, a new bi-layer W/Mg2Si multilayer shows a higher peak reflectivity (20.7%) and narrower FWHM (full width half maximum, 0.51 degrees) which promises better resolution as compared with the prior art bi-layer W/Si multilayer which shows a lower peak reflectivity (12.6%) and a wider FWHM (0.55 degrees). Selectivity, or resolution, is dependent upon the number of layers within the multilayer structure through which the radiation penetrates, i.e., the more layers, the better the resolution. In other words, when radiation penetrates deeper, through more layers of a multilayer structure, this provides better resolution. This is where a W/Mg2Si multilayer analyzer is limited. Because tungsten (W) absorbs radiation very effectively, the depth the radiation penetrates in a W/Mg2Si bi-layer multilayer structure is limited due to the highly absorbent W layers. Radiation is thus absorbed closer to the surface resulting in a poorer resolution. Resolution can be improved by reducing the thickness of the W layer. This approach, however, typically results in a significant loss in peak reflectivity because W is associated with a high peak reflectivity. Accordingly, while FIG. 7 shows that the bi-layer W/Mg2Si multilayer structure performs better than the prior art W/Si multilayer structure, the W/Mg2Si analyzer is limited in resolution. Alternatively, a SiC/Mg2Si multilayer structure, for example, exhibits high resolution. In terms of the resolution of a particular multilayer structure, it is the average absorption of the layers within each period which come into play. Therefore, when comparing the resolution of a SiC/Mg2Si multilayer structure with that of a W/Mg2Si multilayer structure, since both structures include a layer of Mg2Si within each period, the resolution of each structure can easily be compared by comparing W and SiC. Since SiC is lighter than highly absorbent W, radiation penetrates much deeper through a SiC/Mg2Si multilayer structure than through a W/Mg2Si multilayer structure, resulting in higher resolution for SiC/Mg2Si multilayer analyzers. In general, the thickness of each of the layers of a bi-layer multilayer structure, in accordance with FIG. 3, such as W/Mg2Si or SiC/Mg2Si multilayer structures, can be adjusted according to desirable reflectivity and selectivity parameters for specific applications. For example, multilayer structures with a relatively wide reflectivity curve provide better performance in WDS systems and multilayer structures with a narrower and higher reflectivity curve are better suited for EPMA (electron probe x-ray microanalysis) systems. However, due to the limitations of the optical constants of each of the layer materials, there is a compromise between reflectivity and selectivity when altering the thickness of the layers within a bi-layer multilayer structure. For instance, by reducing the thickness of the W layer of a W/Mg2Si multilayer structure, selectivity may be improved by a factor of two; however, reflectivity is reduced by a factor of two as well. In accordance with the embodiments of FIGS. 4-5, the introduction of a third layer, such as SiC, within the period of triadic layers 132 of a multilayer structure 126 having layers of W and Mg2Si, or W within the period of triadic layers 132 of a multilayer structure 126 having layers of SiC and Mg2Si, helps to overcome the limitations of the bi-layer multilayer structures 26 in accordance with FIG. 3. The compromise between peak reflectivity and selectivity is solved by combining, for example, W, SiC, and Mg2Si into a three-layer, or triadic, W/SiC/Mg2Si multilayer structure 126, in accordance with FIG. 4. In this embodiment, the third layer is introduced not as a barrier layer, but for the capability to optimize parameters for a particular application. For example, the relative thickness of the different layers 132a, 132b, 132c within the triadic layers 132 may be modified in order to optimize parameters for particular applications For example, with a multilayer structure 126 such as W/SiC/Mg2Si, where it is known that W is associated with a lower resolution, and that SiC is associated with a higher resolution, it is possible to tailor the multilayer structure 126 to suit a particular application by varying the ratio of thickness between the W and the SiC layers. Thus, to provide a triadic multilayer structure 126 with optimal flux, which is desirable for applications using conventional spectrometers where the divergence of the x-ray beam is relatively high, the layers 132c comprising W should be thicker than the layers 132b comprising SiC. Alternatively, to provide a triadic multilayer structure 126 with optimal resolution, which is desirable for applications using fixed-channel spectrometers, where there is very small or no divergence of the x-ray beam, the layers 132b comprising SiC should be thicker than the layers 132c comprising W. Further evidence illustrating the improved performance of a tri-layer multilayer structure 126, in accordance with FIG. 4, over a bi-layer multilayer structure 26, in accordance with FIG. 3, is provided in FIG. 8. FIG. 8 compares the calculated performance, at the O—Kα radiation line, of a bi-layer W/Mg2Si multilayer structure 26 and a tri-layer W/SiC/Mg2Si multilayer structure 126 as a function of the thickness of the W layer of each particular multilayer structure. For these calculations, the thickness of the Mg2Si layer in the tri-layer structure was maintained constant at 2.89 nm and both structures have a d-spacing of 4.06 nm. Referring to FIG. 8, the effect that varying the thickness of the layers has on the selectivity (i.e., resolution) and reflectivity is evident from the FWHM lines and the Reflectivity lines, respectively. The difference between the FWHM lines for the W/SiC/Mg2Si and W/Mg2Si multilayer structures is not very significant, both lines indicating that as the thickness of the W layer increases, the FWHM increases (i.e., the FWHM peak becomes wider), and thus the resolution decreases. The difference between the reflectivity lines for the W/SiC/Mg2Si and W/Mg2Si multilayer structures is much more significant and shows that the tri-layer W/SiC/Mg2Si multilayer structure has a much better reflectivity than the bi-layer W/Mg2Si multilayer structure. For example, when the W layer is 2 Angstroms (0.2 nm), the W/SiC/Mg2Si multilayer structure exhibits more than two times the reflectivity of the bi-layer W/Mg2Si multilayer structure. As the thickness of the W layer increases, the difference in reflectivity between the two structures is not as great; however, it is significant enough to see that the tri-layer W/SiC/Mg2Si multilayer structure performs better than the bi-layer multilayer structure. As illustrated in FIG. 8, improvement of selectivity by a factor of two results in a mere 15% reflectivity loss with a tri-layer structure versus a 50% reflectivity loss with a bi-layer structure. Reflectivity of the multilayer structure depends not only on layer materials and thickness, but also on the order of the layers in the structure. For instance, reflectivity of a W/SiC/Mg2Si multilayer structure is higher than that of a W/Mg2Si/SiC with similar layer thickness and imperfections. FIG. 9 shows a plot of the expected reflectivity of Mg2Si-based structures compared with prior art multilayer structures in the analysis of TiN thin films on a Si wafer in the photon energy range of 170 eV to 1750 eV. Referring to FIG. 9, emission lines are depicted for the performance of six different multilayer structures, including five multilayer structures having a series of bi-layers and one multilayer structure having a series of triadic layers. The analyzers having a series of bi-layers include prior art analyzers Cr/Sc (OV080E; d=4 nm), Ni/C (OV080N; d=4 nm), and W/Si (OV080A; d=4 nm), and Mg2Si-based analyzers, Co/Mg2Si (d=4 nm) and W/Mg2Si (d=4 nm), in accordance with the embodiment of FIG. 3 of the present invention. The analyzer having a series of triadic layers in accordance with the embodiment of FIG. 4 of the present invention, the performance of which is plotted in FIG. 9, is the W/SiC/Mg2Si analyzer, having a d-spacing of 4 nm. Each emission line is associated with its own symbol provided in the key in FIG. 9. FIG. 9 shows the energy-reflectivity distribution of the various multilayer structures analyzing various elements boron (B), carbon (C), nitrogen (N), titanium (Ti), vanadium (V), oxygen (O), chromium (Cr), manganese (Mn), fluorine (F), iron (Fe), cobalt (Co), nickel (Ni), copper (Cu), zinc (Zn), sodium (Na), magnesium (Mg), aluminum (Al), and silicon (Si). The reflectivity reflected by each analyzer for each particular element is denoted along the radiation line associated with each element as noted in Table 3 below. TABLE 3ElementEmission LineBB-KαCC-KαNN-KαTiTi-LαVV-LαOO-KαCrCr-LαMnMn-LαFF-KαFeFe-LαCoCo-LαNiNi-LαCuCu-LαZnZn-LαNaNa-KαMgMg-KαAlAl-KαSiSi-Kα As evidenced in FIG. 9, for energies above about 700 eV, the reflectivity of new tri-layer W/SiC/Mg2Si and bi-layer W/Mg2Si structures exceeds the reflectivity of the prior art W/Si multilayer structures in this range. At lower energies, another new bi-layer Co/Mg2Si structure promises the best reflectivity and resolution. As described previously with respect to FIGS. 3-5, transition metals such as nickel (Ni), copper (Cu), iron (Fe), chromium (Cr), and alloys, oxides, borides, silicides, and nitrides of these elements, and other materials having optimal optical properties can be used in place of cobalt (Co) as one of the layer materials. Thus, FIG. 9 illustrates that the Mg2Si-based multilayer analyzers over-perform the traditional prior art multilayer analyzers for nearly all elements under analysis, at some points exhibiting two times more reflectivity than the other multilayer structures. An exception is at the N—Kα line, where Sc-based multilayers perform the best. However, as provided above, Sc-based analyzers, such as Cr/Sc and Fe/Sc, have the disadvantages of relatively low resolution and a high-background signal from the Si-Lα line when analyzing thin films on silicon wafers. As illustrated in FIG. 9, the triadic W/SiC/Mg2Si multilayer structure exhibits the highest reflectivity for most elements, and hence further evidences the enhanced performance of the Mg2Si-based triadic multilayer structure. With a triadic multilayer structure 126, the compromise between parameters is not as significant. Accordingly, while a Mg2Si-based bi-layer multilayer structure 26, in accordance with FIG. 3, provides a single analyzer for analyzing TiN thin films and which has improved performance over a number of prior art multilayer structures, a triadic multilayer structure 126, in accordance with FIG. 4, provides the ability to optimize a wide range of parameters without greatly sacrificing other parameters. Thus, the advantages of a triadic multilayer structure 126 comprising a Mg2Si-based layer 132a, a light layer 132b, such as SiC, and an absorbent layer 132c, such as W, include increased peak reflectivity, increased selectivity, and a reduced background signal, which is determined by the peak-to-background ratio. Further tests and analyses of Mg2Si-based multilayer structures were performed, the results of which are discussed below, and shown in Tables 4-7. In the deposition process used for depositing the material layers of the tested multilayer structures, the substrate was first mounted on the facet of a rotating carousel which passes in front of the magnetron sputtering sources to form an alternating structure. The diameter and height of the deposition chamber were 600 mm and 540 mm, respectively. The desired thickness of the coating for each material was obtained through the control of the rotational period of the carousel. The deposition system included three magnetron sputtering cathodes and one ion-beam source used for substrate cleaning prior to deposition. Targets used for deposition of Mg2Si-based structures had a rectangular shape with the length of about 200 mm and width of about 90 mm. Reflectivity and analytical performance in the soft x-ray range were examined by a Rigaku wavelength dispersive fluorescent spectrometer with a standard measurement condition. All soft x-ray measurements were done in comparison with existing prior art W/Si analyzers. Three different sets of multilayers were deposited on substrates and tested. The first set contained W/SiC/Mg2Si multilayer structures with a d-spacing of about 4 nm (2d equal to about 8 nm). The second set included the same structure but with a d-spacing of about 2.25 nm (2d equal to about 5.5 nm). The final set comprised Co/Mg2Si multilayer structures with a d-spacing of about 4 nm (2d equal to about 8 nm). Each of the first two sets contained one structure with a larger thickness of W layer. Such structures typically provided higher flux and are herein referred to as High-Flux structures. Structures with the smallest thickness of W layer showed the narrowest peak width and are herein referred to as High-Resolution structures. Structures with a W layer thickness in between the High-Flux structures and the High-Resolution structures are herein referred to as Average Flux. Performance gain (i.e., intensity or resolution gain) of the new structures was calculated as follows: Performance gain is equal to performance of Mg2Si-based structures/performance of W/Si structures. Tables 4 and 5 below provide test results of the performance comparison between new and W/Si structures. Table 4 shows the performance gain of High-Flux, Average Flux, and High Resolution W/SiC/Mg2Si multilayer structures. Table 5 shows the performance gain of only High Flux Co/Mg2Si multilayer structures having a d-spacing of about 4 nm (2d equal to about 8 nm). TABLE 4High FluxAverage FluxHigh Resolution2d,Energy,Performance GainPerformance GainPerformance GainnmLineeVSampleIntensityResolutionIntensityResolutionIntensityResolution8N-Kα392Si3N41.540.911.430.830.980.8O-Kα525SiO21.360.971.420.841.050.735.5O-Kα525Si021.340.920.950.8F-Kα678LiF1.170.950.860.83Na-Kα1040NaCl1.140.970.80.88Mg-Kα1254Metal1.120.980.780.92 As illustrated in Table 4, all High Flux W/SiC/Mg2Si structures showed a flux gain from 12% at Mg—Kα to 54% at N—Kα. Such tendency, larger flux gain at longer wavelengths, is in good agreement with the calculations plotted in FIG. 9. Lower than expected gain values can be explained by the relatively large divergence of the radiation coming out of a solar slit convoluted with the higher resolution of the new analyzers in comparison with W/Si structures. This phenomenon also explains the lower flux gain from the High-Resolution structures. Experiments done with a wider solar slit, which provides an even more divergent beam, showed a lower flux gain in comparison with data from the previously used narrower solar slit. TABLE 5PerformancePerformanceEnergy,GainGainLineeVSampleIntensityResolutionN-Kα392Si3N41.570.75O-Kα525SiO21.370.65F-Kα678LiF0.630.67Na-Kα1040NaCl0.471.00Mg-Kα1254Metal0.611.05 As showed in Table 5, High Flux Co/Mg2Si structures demonstrated 37-57% flux gain at N—Kα and O—Kα in spite of a much narrower reflectivity curve, indicating high peak reflectivity for these structures. Performance of Co/Mg2Si multilayers at F—Kα is much lower than expected, which can be partially explained by the relatively large divergence of the solar slit used for the measurements. An even better performance can be expected for EPMA systems. Analytical performance of the multilayer analyzers was tested by measuring intensity of fluorescent radiation from samples having different concentrations of the analyzed element. Typically, the intensity linearly depends on concentration. Inclination of the line characterizes sensitivity of an analyzer. Intensity at a concentration equal to zero is called Background Equivalent Coefficient (B.E.C.) which characterizes the degree of background intensity. Further, from an equation describing the linear dependence, Lower Limit of Detection (L.L.D.) can be determined. A larger value of the sensitivity, a smaller value of the B.E.C., and a smaller value of the L.L.D. indicate an improvement in analyzer performance. These three major characteristics of tested W/SiC/Mg2Si analyzers are presented in Table 6 below. The values provided in Table 6 represent a ratio between the value of a particular parameter for the new W/SiC/Mg2Si structures and a corresponding value for the traditional prior art W/Si analyzer. TABLE 6High FluxHigh Resolution2d, nmLineSampleL.L.D.SensitivityB.E.C.L.L.D.SensitivityB.E.C.8N-KαTiN film0.881.391.081.120.851.07O-KαAlO film0.861.340.990.971.020.965.5O-KαAlO film0.871.331.001.010.970.98F-KαPolymer0.831.210.840.920.900.77Na-KαPolymer0.931.100.951.110.810.98Mg-KαPolymer0.891.090.861.060.800.90Mg-KαMgO film0.941.140.921.080.780.76Mg-KαCast Iron0.931.100.951.090.810.95 As shown in Table 6, the High-Flux W/SiC/Mg2Si structures showed about 10-40% improvement in sensitivity and slight improvement in B.E.C. Further, L.L.D. is improved about 10% in comparison with the traditional prior art analyzer. The High-Resolution structures did not show significant improvement due to mainly its poor sensitivity. While multilayer structures having alternating layers of two different materials are known in the art, for example the prior art W/Si multilayer structures discussed and evaluated above, a Mg2Si-based multilayer analyzer provides an improved multilayer structure capable of analyzing both Ti and N in TiN thin films as well as more efficiently analyzing elements such as F and O (see FIG. 9). Further, in view of the highly reactive properties of pure Mg in Mg-based multilayer structures, such as W/Mg, Mg2Si is a more stable layer material and has proven to provide a very desirable layer material for XRF multilayer analyzers, such as Co/Mg2Si, W/Mg2Si, SiC/Mg2Si, W/SiC/Mg2Si, or any other layer combination disclosed herein. Moreover, the testing results provided above in Tables 2 and 4-6, and in FIGS. 6-9, evidence that Mg2Si-based multilayer structures containing two, three or more different layer materials in a single period provide an improved multilayer structure in the analysis of light elements from magnesium to nitrogen. The additional third, fourth, or more layers are provided for improving the overall performance of the analyzer as well as for performance flexibility depending on a particular application. It should be apparent to those skilled in the art that the above-described embodiments are merely illustrative of but a few of the many possible specific embodiments of the present invention. Numerous and various other arrangements can be readily devised by those skilled in the art without departing from the spirit and scope of the invention as defined in the following claims. |
|
description | This application is a continuation of International Application No. PCT/US2011/023765, filed Feb. 4, 2011, which claims priority from U.S. Provisional Application No. 61/301,554, filed Feb. 4, 2010 and U.S. Provisional Application No. 61/305,799, filed Feb. 18, 2010, the disclosures of all of which applications are incorporated herein by reference. The present application relates to nuclear reactors and methods for operation thereof, and more particularly to nuclear reactors which can operate for extended periods of time without refueling. The concept of an automated nuclear reactor for long-term operation was the subject of a January 1996 article by Edward Teller and others which focused on the concept of breeding without reprocessing using a nuclear burn wave which traveled from an initial fissile region, where there was enriched fissile material that was inherently critical, into fertile material which shifted the primary fission reaction from one location to another within the overall reactor core. This concept was further developed by some of the authors on this 1996 paper and others resulting in the publication of descriptions of such traveling wave reactors in a series of published U.S. applications, including Application Nos. 2008/0123796; 2008/0232525; and 2009/0080587. The present invention approaches this objective in a different manner to provide modular nuclear fission waste conversion reactors which can operate without refueling for several decades, producing power continuously over their lifetime and resulting in an ultimate core of spent fuel material containing as much or more fissile material, suitable for reuse, than was present in the initial core. Exemplary embodiments disclosed hereinafter provide modular nuclear waste conversion reactors and methods for their operation. These exemplary embodiments include, without limitation, high-temperature helium coolant, alignment of a dual-segment annular section core, carbide fuel for providing energy over multiple generations of reactor cores, fission product gas collection system, ceramic cladding and schematic structural internal arrangements. In one particular aspect, the invention provides a nuclear fission reactor designed to operate for a decade or longer without refueling, which reactor comprises: a reactor vessel, a central core within said vessel for creating heat via fission reactions in said core, which core includes one or more initial fissile sections and flanking conversion sections, said one or more initial fissile sections remaining an active, integral part of a critical core region throughout the lifetime of the central core, a helium circulation system for extracting heat from said core by the circulation of helium into and out of said vessel to maintain the core temperature between about 700° C. and 1000° C. and to generate power from said heated helium exterior of said vessel, said one or more initial fissile sections of said core comprising fuel elements in the form of silicon carbide containers which contain sintered fuel bodies comprising carbide fissile and fertile nuclides, and a system for withdrawing volatile fission products from said fuel elements during normal operation. In another particular aspect, the invention provides a nuclear fission waste conversion reactor designed to operate for a decade or longer without refueling, which reactor comprises: a reactor vessel, a central core within said vessel for creating heat via fission reactions in said core, which core includes one or more initial fissile sections and flanking conversion sections, which one or more initial fissile sections remain a part of the critical central core throughout reactor lifetime, a helium circulation system for extracting heat from said core by the circulation of helium into and out of said vessel to maintain the core temperature between about 700° C. and 1000° C. and to generate power from said heated helium at a location exterior of said vessel, said core including a plurality of fuel elements in the form of silicon carbide containers that enclose sintered bodies of carbide fissile and/or fertile nuclides, and a system for withdrawing volatile fission products from said plurality of fuel elements during normal operation. In a further particular aspect, the invention provides a nuclear fission reactor designed to operate for a decade or longer without refueling, which reactor comprises: (1) a reactor vessel, (2) a central core within said vessel for creating heat via fission reactions therein, which core contains (a) two initial fissile sections located in two vertically spaced apart horizontal regions, with each horizontal region comprising a generally annular area of sintered bodies of carbide fissile fuel and (b) a plurality of conversion sections that comprise horizontal regions containing sintered bodies of fertile fuel which conversion section horizontal regions are located above, between and below said two horizontal regions containing said two initial fissile sections, said two initial fissile sections remaining an active, integral part of a critical core region throughout the lifetime of the central core, (3) a helium circulation system for extracting heat from said core by the circulation of helium into and out of said vessel to maintain the core temperature between about 700° C. and 1000° C. and to generate power from said heated helium exterior of said vessel, and (4) a system for withdrawing volatile fission products from said sintered bodies of fuel during normal operation. In still another particular aspect, the invention provides generations of reactors wherein the first generation reactor core has a fissile fuel section which comprises low enriched uranium (LEU) and/or a down-blend of weapons-grade plutonium (WPu) or the like, and wherein subsequent generation reactor cores comprise the heavy metal discharge of a previous generation reactor from which some fission products have been separated. In a still further aspect, the invention provides a reactor having a core comprising an initial fissile region plus a fertile region that comprises spent nuclear fuel (SNF), depleted uranium (DU) and/or natural uranium, which reactor operates with fuel utilization and fuel burnup values that more than double those of conventional light water reactors (LWRs). In a yet further aspect, the invention provides a small reactor which can be transported to a site which reactor is designed to maintain a flat reactivity, close to unity, without control poisons for over 20 years without refueling or shuffling of fuel elements, and which is capable of utilizing a wide variety of nuclear fuels and waste, such as discharged, but unreprocessed, fuel from LWRs from which the claddings have been removed. In yet another aspect, the invention provides a small reactor which can be factory-assembled and which uses a small, enriched uranium critical region in the central core to convert DU to plutonium and thereby expand the critical core region outward throughout the central core into now-converted fertile regions while maintaining a flat reactivity, close to unity, without control poisons, for about 15 to 30 years without refueling or fuel shuffling. Shown hereinafter are embodiments of modular nuclear fission waste conversion reactors and methods for their operation which embody various features of the invention. Reactor System As depicted schematically in FIG. 1, a nuclear fission waste conversion reactor module of this type may be located below grade (1). The reactor system suitably includes a vessel (5a) containing a nuclear fission waste conversion reactor core (2) and a heat removal or extraction system (3), which employs a helium coolant and is operatively coupled in fluid communication to at least one heat exchanger (4) or some alternative heat extractor/converter. The reactor vessel (5a) and the heat converter (4) may be coupled by a cross-duct (5) that comprises inner and outer flow passageways (11) and (6). Heat converters (4) may include a heat exchanger, such as a steam generator; alternatively, they may include a fluid-driven turbine-generator or the like, such as would provide a continuous output of electrical power. The overall reactor system generally comprises the fueled core, the reactor vessel internal structures, helium coolant and coolant service system, reactor instrumentation and control, and shutdown heat removal systems. The outer reactor vessel (5a) may be conventionally divided into upper and lower parts connected by a welded flange (5b). The lower part, shown in FIG. 2, contains the reactor core (2), reflector-core support (5c), and control devices. The upper part would contain a riser leading that connects to the shutdown cooling system connections, in the upper region, and to the coaxial cross-duct (5) heat converter, as seen in FIG. 1, which illustrates one embodiment of such a modular, nuclear fission, waste conversion reactor. During normal operation, cool helium (at about 490° C. to 500° C.) enters the vessel (5a) through the outer region (6) of the cross-duct. The cool helium flows downward along the interior wall surface of the reactor vessel (5a) to keep the vessel cool. The helium flow makes a 180 degree turn at an inlet plenum (7) at the bottom of the core and then flows upward through a core support structure support (5c) and a bottom reflector (8). The reactor core (2) includes fuel assemblies, reflector elements, a neutron shield, startup neutron sources, and reactivity control components, all of which are located within a tubular core barrel (21a) and supported by the core support structure (5c), as illustrated in FIGS. 2 and 3. The helium coolant travels upward from the plenum (7) through the active core (2) and through a top reflector (9) before exiting the core through a top plenum (10). The exiting high temperature helium (about 850° C. or above) flows through an inner insulated region (11) of the cross-duct (5) to enter the heat exchanger (4). Cladding and Structural Materials Cladding and structural components of the nuclear fission waste conversion reactor are preferably made of ceramic material so as to withstand high flux and temperature conditions in the core. Ceramic materials are expected to be adequately resistant to creep and corrosion over the envisioned lifetime of full power operation and provide mechanical workability. FIG. 4A shows a plot of the neutron energy spectrum vs. neutron flux for one embodiment of such a modular fission nuclear waste conversion reactor. Neutrons that have not been slowed to thermal energy levels are used for conversion of fertile to fissile material and for subsequent fission of fissile material, and such is achieved by the construction and use of core materials that do not significantly absorb or moderate neutron energy. It is well known that ceramic materials, such as silicon carbide, have a low absorption cross-section for various neutron energies which is lower than that of other relevant materials. Silicon carbide (SiC) also has a higher average atomic weight than pure graphite materials; this reduces the amount of neutron-slowing per scattering interactions. Moreover, carbon and silicon respectively have low cross-sections for absorption for neutrons in the energy range that will be present in a modular fission nuclear waste conversion reactor which is the subject of this application. The modular nuclear fission waste conversion reactor fuel cladding and structural materials are chosen for their ability to retain functional performance throughout their operational lifetime within the core. FIG. 4B shows that silicon carbide exhibits low density change due to neutron fluence, and that these values become stable as displacements per atom (dpa) increase. FIG. 4C shows that the magnitude of such density change can be minimized by operation of the reactor core within an optimum temperature range. Fuel Element and Fuel Element Assembly The modular nuclear fission waste conversion reactor fuel is preferably loaded with fuel that is in carbide form, i.e. preferably monocarbide blended with a minor amount of dicarbide, e.g. uranium monocarbide with a minor amount of dicarbide is referred to as (UC1.X). The excess carbon in the immediate fuel region allows for chemical reaction with fission products to safeguard against chemical attack on the fuel element cladding. The fuel is preferably in the form of a sintered body that may have a packing density of about 50 to 80 volume % and preferably, about 60 to 80 volume %. Thus, the sintered fuel bodies preferably have at least about 20% and preferably between about 20% to 40% interconnected porosity to provide room for deposition of solid fission products while assuring pathways for migration of fission product gases within the fuel region or meat and providing for escape through a vent port (15) throughout reactor lifetime. The carbide fuel may be in the form of a solid sintered plate which is continuous and provides good thermal conductivity to the exterior surface of the fuel element (12). Sintered short cylinders of nuclear fuel may alternatively be used as described hereinafter. FIG. 5 is an illustrative embodiment of one embodiment of an individual fuel element (12) containing such a flat plate, showing its exterior casing and the prominent vent port (15). FIG. 6 is a schematic view of an illustrative embodiment of a boxlike fuel assembly (13) wherein a plurality, e.g. 48, of individual fuel elements (12) of this type are contained within a surrounding frame or holder (13a) preferably constructed of silicon carbide composite materials. The fuel elements (12) are received in slots in the frame and are preferably securely attached to the frame as by use of an annealing process that ensures a continuous, hardened structure, as depicted in FIG. 7, which also shows the internal construction of such fuel elements (12). As one representative embodiment, a holder (13a) about 43.3 cm. square and 16 cm. deep may hold two rows of such flat fuel elements (12). The central sintered carbide fuel plate (14) in this embodiment of a fuel element has interconnected porosity to provide adequate room for deposition of solid fission products and to allow the passage of volatile fission product gases to the vent port (15). The vent port (15) is preferably located at one corner, as depicted in FIG. 5, and such enables connection to a common connector or header (not shown) for each group of fuel elements in one or both halves of a holder 13a. Such connector serves as a manifold and forms a part of an overall fission gas collection system designed to remove volatile fission products from the core region. Over its planned lifetime, less than half of the void volume in the sintered carbide fuel plate (14) will become filled with solid fission products leaving ample room for volatile fission product flow. The flat carbide fuel plate (14) is preferably coated on its exterior surfaces with a carbide fuel slurry that forms a inner smooth heat transfer surface layer (13b) of uranium carbide or the like and then optimally with an outer layer of pyrocarbon (PyC) (13c); such PyC seals the fuel plate surface and allows subsequent cladding of the fuel plate in a vaporous SiC atmosphere. The structural plate cladding (16) forms a closed outer container and is made of silicon composite material, e.g. multiple layers of cross-woven, high purity SiC material that is then infiltrated with β-SiC, during which infiltration process the dense pyrocarbon wrapper seal prevents chemical reaction with the fuel. As an alternative embodiment, a sintered carbide fuel plate (14) that has been coated with such a smoothing layer (13b) may be snugly fit within a separately formed silicon carbide clad or jacket that has been mechanically assembled and then sealed to form the fuel element (12). Because thermal conductivity of near-monocarbide fuels improves (rises) as temperature increases, the result is a reduction of the temperature rise across the fuel plate during operation at higher temperatures. Reflector Such an overall assemblage of such boxlike fuel assemblies (13) is surrounded on all sides by reflector assemblies. The purpose of such reflectors is to minimize neutron leakage by returning neutrons to the central reactor core, which improves neutron economy and allows an increased probability of either converting fertile fuel to fissile fuel or to causing fissile fuel to fission. The use of reflector materials having a high neutron scattering cross-section and low absorption cross-section, such as beryllium and graphite, increases the efficiency of the reflector. The core and the surrounding reflectors are supported on the reflector-core support (5c) as seen in FIGS. 1 and 2; a representative support is shown in FIG. 8A which contains 21 rectangular openings through which there is upward coolant flow. The reactor generally contains 2 main types of reflector materials: (1) a material containing beryllium, such as BeO or Be2C, and (2) graphite Immediately surrounding the fueled core is an inner reflector assembly (18) (see FIG. 8B) containing beryllium that is preferably composed of a plurality of individual blocks (17) of two different shapes which interfit with one another; such blocks (17) of BeO or Be2C can be provided in various cross-sectional shapes and thicknesses as required to surround the fuel assemblies in the core and create an inner reflector assembly that is preferably shaped to provide an outer surface that is a section of a right circular cylinder. FIG. 8B is an illustrative perspective view of one horizontal layer of such an inner reflector assembly (18) formed of such blocks (17) which would surround one horizontal array within the reactor core comprising 21 fuel element assemblies of the types shown in FIGS. 6 and 7. Located adjacent to and immediately radially outward of the inner reflector assembly (18) is an outer reflector assembly (19). FIG. 8C is an illustrative embodiment of one such assembly which is made of twelve graphite blocks (20). Such graphite blocks may be formed in standard shapes and thicknesses as desired for a particular reactor core. The graphite reflector assembly (19) is preferably surrounded by a neutron shield (21) which contains neutron-absorbing material or neutron poisons, such as boron carbide (B4C) or other such poisons. FIG. 8D is an illustrative embodiment of one such shield (21) of neutron-absorbing material formed of relatively thin plates of arcuate shape. The top and bottom reflector assemblies (9) and (8), mentioned with respect to FIG. 2, are preferably made of graphite; they are located above and below the core and are discussed hereinafter. FIG. 9 is a schematic cross sectional view similar to FIG. 3 showing the circumferential reflector assemblies (18) and (19) and the neutron shield (21) in surrounding relationship around the core disposed within a tubular core barrel (21a). Shown are 21 fuel assemblies (13) of square cross section that are vertically aligned above the 21 openings provided in the core support structure (5c), best seen in FIG. 8A. Initial Fissile and Conversion Sections The modular nuclear fission waste conversion reactor initial core comprises separate fuel sections. FIG. 10 is a conceptual schematic illustration of one such stratified region concept which employs two split, i.e. spaced-apart, initial fissile sections (22) and contiguous, flanking fertile conversion sections (23). The arrangement uses a plurality of horizontal regions which in this embodiment are provided by arrays of 21 fuel assemblies (13). Fissile sections may contain low-enriched uranium (LEU), a down-blend of weapons-grade plutonium (WPu), or other such fuel material, generally containing between about 8% and about 18% fissile nuclides. FIG. 11A is a graph showing the fraction of power throughout core lifetime that is contemplated to be derived from the initial fissile sections (see ref line 24) compared to the fraction of power derived from the conversion sections (see ref line 25). At the beginning of core lifetime, the critical fission reactions within the core occur within the initial fissile sections (22), which in the illustrated embodiment include the two spaced-apart annular regions of fissile fuel assemblies (13). Over core lifetime, excess neutrons from the two fissile sections (22) convert U-238 in both the fertile conversion sections (23) and in the fissile sections (e.g. in LEU) to Pu-239. As a result, the critical region of the core expands, extending to provide additional positive reactivity and compensate for the negative reactivity derived from the presence of fission products. The power density ultimately expands in essentially all directions from the initial fissile sections (22) to now include the various conversion sections (23) along with the two initial fissile sections. The percentage of energy being obtained by subsequent fissioning of what was initially fertile fuel, that has now been converted to fissile fuel, increases over core life. Before the end of the first decade of operational life, more energy is being obtained from fissioning of converted fertile fuel than from fissioning of the fissile fuel which was initially loaded into the core. As can be seen in FIG. 11A, by the end of operational life, the vast majority of energy is being generated from the fissioning of converted nuclides; however there is still some contribution from the initial fissile nuclides which remain a part of the expanded core. FIG. 11B is a graph which may be illustrative of the effective multiplication factor, k-eff, vs. time for a first generation core with an LEU fissile section and a DU conversion section. It is contemplated that the reactor will remain critical for over 30 years at substantially continuous, full 100% power operation. During this time, the maximum reactivity swing is only about 3.6%. The illustrative modular nuclear fission waste conversion reactor core has minimum excess reactivity with an expected value of less than 5% throughout operational life. This is significantly lower than existing reactors and provides advantages, such as reduced control system requirements, reactivity addition accident scenarios with reduced impact, and the ability to design control systems to operate in the reflector instead of in the core region. Control drums (5d) may be located within the confines of the inner reflector assembly (18) as depicted in FIG. 3. FIG. 11C is a graph illustrating expected modular nuclear fission waste conversion reactor reactivity values for an embodiment that would utilize DU (ref line 26) or nuclear spent fuel (ref line 27) for the conversion section fertile materials. Multi-Generational Fuel Certain nuclear fuels that are envisioned for use in embodiments of the modular nuclear fission waste conversion reactor are typically widely available; they include, but are not limited to, uranium (natural, depleted, or enriched), plutonium and other transuranics, and previously-burned nuclear fission fuel assemblies (spent nuclear fuel). Uranium and thorium are among the various types of fissile section fuels and conversion section fuels which may be used. Other, less widely available nuclear fission fuels, such as other actinide elements, may also be used. Some embodiments of such a modular nuclear fission waste conversion reactor contemplate long-term operation at full power on the order of about ¼ century to about ½ century, and a unique aspect of the modular nuclear fission waste conversion reactor is that it does not require nuclear refueling or fuel shuffling, i.e. repositioning of fuel elements, during operational life. At the end of operational life, significant Pu will remain with a small amount of other actinides, principally Np, Am and Cf, as a result of which the overall concentration of fissile nuclides should be higher than the original overall concentration of fissile nuclides for the first fuel loading. This should allow for reuse of the fertile and fissile heavy metal material in a next generation reactor. After removal of 30-90% of the fission products from the fuel, preferably at least about 60% thereof, and the addition of some nuclear waste material (depleted uranium or spent nuclear fuel), there should be ample fuel for a new generation core having about the same total fuel weight as the original core, to permit recycling into such a follow-on reactor core. Some advantageous features of various embodiments of the modular nuclear fission waste conversion reactor result from the above considerations. For example, reactor operation for ¼-½ century at full power can be achieved without nuclear refueling, and by using neutrons that have not slowed to thermal energy levels, nuclear fuel reprocessing can be avoided. As a further example, some embodiments of these modular nuclear fission waste conversion reactors permit a high average burn-up of non-enriched actinide fuels, such as spent nuclear fuel or depleted uranium, and these require the use of a comparatively small nuclear fissile region of moderately isotopic-enriched fissile fuel in the core's initial fuel charge for the first generation core. FIG. 12 schematically illustrates the multi-generational life cycle of such a modular nuclear fission waste conversion reactor system. The initial fuel load of the fissile sections may contain low enriched uranium (or other fissile fuel), and the conversion sections may contain nuclear waste (spent nuclear fuel, depleted uranium or other suitable waste). The overall initial enrichment of the core of such a modular nuclear fission waste conversion reactor may be not much greater than that of a light water reactor (LWR); however, the core will achieve much higher fuel burnup during its operational life. For example, the carbide fissile fuel may contain between about 4% and 18% enrichment, e.g. 8-18%, and as previously discussed, subsequent generations of such modular nuclear fission waste conversion reactors should not require additional enriched uranium. After decades of operation at rated power, the modular nuclear fission waste conversion reactor fuel is removed. After some period of time for highly radioactive fission products to decay, the fuel is separated from the structural and cladding material, and some fraction of the fission products, e.g. preferably at least about 60%, are removed. The remaining heavy material (fissile heavy metals, fertile heavy metals and remaining fission products) is fabricated into new fuel elements (12) together with some additional nuclear waste material, e.g. spent nuclear fuel or DU, to provide the next generation modular nuclear fission waste conversion reactor core. The above process is modeled to continue for several generations. The net effect is a system that (after the first generation) adds only nuclear waste material to the next core while providing substantially continuous output of energy during operational life and requiring only the removal of some solid fission products after the end of its operational life of several decades. The modular nuclear fission waste conversion reactor design supports next generation operation over a range of fission product removal efficiencies; however, the end of efficient operational life is considered to have been reached once a certain level of fission product accumulation has occurred. Therefore, operational life and fission product removal efficiency are directly related. Fission Product Collection System If fission product gases were left to build up within the fuel elements, such would likely lead to an over-pressurized condition that might fracture or rupture the cladding. FIG. 13 is a schematic illustrative embodiment showing a fission product collection system which collects and stores volatile fission product gases throughout the operational lifetime of the reactor. Although illustrated with respect to the fuel element assemblies (13), it can easily be incorporated with alternative fuel element constructions. The illustrated embodiment utilizes a network of branches extending to connectors which manifold the 48 fuel elements (12) in each fuel assembly (13); these branches are in turn linked to a series of headers (30) that are connected to a central collector pipe (31). The fission products that are volatile at 500-700° C. and above are transported out of the reactor as gases in the pipe (31) to a filter (32), a separator (33) and a fission product storage system (34). The fission product collection system is maintained at a slightly lower pressure than the primary coolant; this promotes helium flow inward rather than potential fission product gas flow outward. A small amount of inward helium flow, through even the smallest pinhole in the fuel clad or collection system, will suppress any undesirable counter-diffusion of volatile fission products into the primary coolant system. The fission product gases with any entrained helium collect in the headers (30) and exit the reactor through the central collector pipe (31), which may be located at the top of the core, where the primary coolant at about 850° C. or above. After the fission product gases flow through a particulate filter and membrane or adsorption filter (32) and a separator (33) removing entrained solids and condensates, the bulk of such entrained helium from which the fission products have been purged may optionally be returned to the reactor. Finally, the remaining fission product gases are safely stored in a qualified storage tank (34). Neutron Economy The modular nuclear fission waste conversion reactor will likely use reflector material in the form of nuclides that result in a (n, 2n) reaction upon fissioning, such as beryllium (Be). Such reaction occurs when the initial neutron is absorbed and two neutrons are released as a result; it improves neutron economy and compensates for inevitable neutron leakage from the core. FIG. 14 is a graph illustrating a potential neutron flux spectrum demonstrating minimal changes over operational life. The average neutron energy is 105 electron volts. It is contemplated that the neutron spectrum width will thin slightly (i.e. becomes more concentrated near its average) during the first half of operational life, but will return to near starting conditions by the end of operational life. Reactor Temperature Control Reactor temperature control and response to reactivity additions provide strong reasons for the design of a reactor with a negative temperature coefficient, which will provide an automatic dampening response to changes in reactivity. FIG. 15A shows that the present modular nuclear fission waste conversion reactor core design provides for a Doppler coefficient that is negative throughout operational life. FIG. 15B shows that the void coefficient is positive, yet it is smaller than the Doppler coefficient. Therefore, the combination of the Doppler and void coefficients results in a negative temperature coefficient over operational life of such a modular nuclear fission waste conversion reactor core. While individual features of the modular nuclear fission waste conversion reactor may be considered to be conventional, the combination of certain of these features creates a unique reactor design. There are five particular features that are important in achieving such extended operating lifetime in an overall reactor of particular design: (1) SiC cladding, (2) UC1.X fuel type, (3) fissile to fertile ratio, (4) geometry and fuel packing fraction, and (5) gaseous fission product collection system. The SiC cladding, which forms a closed container that encapsulates such sintered fuel bodies, undergoes only low density changes in the specific temperature range of 700-1000° C. that is maintained within the central region of the reactor core. Moreover, within this temperature range, SiC materials experience a self-annealing process that minimizes damage effects from a high neutron flux environment, such as will be experienced in the nuclear waste conversion reactor. For temperatures significantly below this operating range, there may be insufficient molecular mobility for annealing to occur, and at temperatures significantly above the range, void mobility may increase such that voids may combine and increase density variations. To take advantage of this self-annealing process, silicon carbide cladding is chosen, and the operating temperature of the reactor is maintained between 700° C. and 1000° C. as a result generally of helium coolant circulation and slight rotation of the control drums (5d). The most viable reactor coolant for the given temperature range is helium. Helium has a number of positive features including being inert and having both a relatively high heat transfer coefficient/thermal conductivity and favorable neutronics. Based upon the use of helium as the coolant, the geometry requirements for coolant channels between adjacent fuel elements of whatever shape are derived in order to allow the fuel elements (12) to be arranged very close to one another so as to assure good heat conduction from the fuel to the flowing coolant to maintain the desired core temperature at the level of the fuel elements themselves. The fuel, the internal structure of the reactor core and the fuel elements are designed to support use for multiple generations as well as to ensure high fuel utilization during core lifetime and the ability to use depleted uranium (DU) or spent nuclear fuel. It is important that the fuel is primarily a carbide, e.g. UC1.x, rather than typical uranium fuels having two attached atoms, such as UO2 or UCO. One advantage of this is to provide more uranium loading per unit volume. However, having some excess carbon present in the immediate fuel region is advantageous, and at least about 5% excess is preferred. Excess carbon is preferably provided by providing more than one carbon atom per nuclide, on the average. This carbon excess in the intimate vicinity of the heavy metal nuclides deters potential chemical attack on the SiC cladding or other surrounding materials by fission products (two of which are generated per fission). Thus, a lower limit of carbon to uranium ratio is preferably chosen that will prevent such chemical attack, whereas an upper limit of carbon to uranium is preferably not exceeded in order to minimize neutron over-moderation. Although the fuel may, for example, be about UC1.0 to UC1.5, a fuel ratio of preferably UC1.05-UC1.3 is selected when the presence of UC2 in the fuel meat is used to provide the excess carbon. Carbon is also preferably present in the region between the outer surface of the sintered flat fuel plate (14) and the outer SiC cladding (16) for manufacturing purposes when flat plates are used in the fuel elements. Both the fissile and the fertile fuel elements of the type illustrated are made by encapsulating sintered, near-monocarbide fuel plates having the desired packing density within exterior containers that will retain their strength and integrity throughout the lifetime of the reactor over a period of several decades. It is expected that reactor operation will be substantially continuous and will produce usable energy without refueling. Some fission products will become deposited in the interstices of the near-monocarbide fuel, whereas others will migrate from the fuel, out of the fuel element (12) through the exit ports (15) and enter into the fission product collection system. The clad material is chosen for its ability to self-anneal and avoid substantial density changes; it is preferably silicon carbide. Woven silicon carbide cloth material can be used to either create pockets into which a sintered fuel plate will be received, or such cloth can be used to wrap the entire exterior of the fuel plate with the valve port protruding from one upper end. The totally encompassing SiC wrapper is then made impervious via deposition of crystalline beta silicon carbide material using a high-temperature vapor deposition process. Because the chemical reactants used in such vapor infiltration or deposition of silicon carbide are potentially deleterious to monocarbide fuels, prior to the encapsulation of such a fuel plate (14) in such a surrounding body of woven silicon carbide cloth, first a smooth layer of uranium monocarbide or the like and then a seal layer of pyrocarbon are coated on the entire outer surface of the plate. Optionally, the small region where the exit port (15) will be located may be either protected by installation of an exit port fitting or otherwise masked. With the carbide fuel plate protected by such an exterior carbon seal layer and disposed within the encompassing silicon carbide woven body, the subassembly is subjected to gaseous vapor deposition under conditions to deposit silicon carbide throughout the interstices of the entire encapsulating body to create a monolithic solid container made essentially completely of crystalline silicon carbide. The resultant silicon carbide claddings should have a thickness of at least about 1 mm, and preferably it is about 2 to 3 mm thick. Such vapor-deposited silicon carbide also provides an excellent solid heat flow path from the pyrocarbon layer sealing the exterior surface of the sintered fuel plate through to the outer surface of the flat fuel element (12); thus, a very good heat transfer conduction path is facilitated to the outer surface where the heat of fission is transferred to the flowing helium coolant stream as depicted in FIG. 7. The amount of carbon in the seal layer can be considered for some contribution in determining the final amount of excess carbon to be employed. All heat generated by the fissioning fuel must be removed from the reactor core via thermal conduction through the fuel plates, across the SiC clad and adjacent structure, into the helium coolant. The allocation of fissile material is determined by a set of complex limitations, such as the ability for the core to remain critical, the desire to limit maximum temperature during a potential casualty and the desire for the initial fissile sections to remain an active part of the operational core for its desired lifetime. Fertile material is used in the remaining fuel areas to achieve the overall functional requirements. A delicate balance of fertile and fissile fuel allows the reactor to support multiple generations, to utilize relatively high fuel concentration, and to use DU or spent nuclear fuel as fertile material. Fission product gases are created through the fission process, and to ensure such a novel, long life core, fuel elements are designed to allow for removal of such volatile fission products. The incorporation of fission product gas release and removal not only prevents a potential over-pressurized condition, but it removes chemical elements that could detract from overall operational efficiency, i.e. reactivity, if left within the core. To accommodate solid fission products, the packing fraction of the sintered fuel body is carefully controlled in designing the reactor core. Packing fraction may be defined as the ratio of space filled with fuel divided by the space available within the fuel element container; it can be expressed as volume %. A packing fraction upper limit is established to provide adequate volume for the additional space required as a result of the conversion of one uranium atom to two fission products during fission while retaining sufficient interconnected porosity so that volatile fission products will always be able to migrate to the fission product gas collection system for the entire life of the fuel element. A packing fraction lower limit is established to maximize fissile and fertile material in the reactor core while including adequate carbon within the available fuel space and to ensuring good thermal conductivity. To achieve these objectives, it is found that the packing fraction or packing density should be between 50% and 80% and preferably between 60% and 80%. Conversely, there would be at least about 20% interconnected porosity, and at a packing density of about 60%, there would be about 40% void space in the sintered body. Although in FIGS. 1-3, the illustrative reactor is shown as having a cylindrical core, it should be understood that no limitation to such a geometric arrangement or to any geometric arrangement of any type whatsoever is intended. Such a modular nuclear fission waste conversion reactor module suitably includes a reactor core and a reactor coolant system. Each such reactor module is operatively coupled in fluid communication to at least one heat converter via its reactor coolant system. Such reactor modules can each be considered as a complete, stand-alone reactor by itself, or several such reactor modules may be coupled together. If desired, such coupled modules might use a common energy conversion system. As discussed above, the nuclear fission waste conversion reactor modules utilize neutrons that have not slowed to thermal energy levels. To that end, at least one portion of such a modular reactor core includes fertile fuel material as part of a conversion section or sections. A separate portion of the reactor core includes one or more sections where the fuel material is fissile fuel. Upon initial start-up of such a reactor, the core fissile section or sections 22 provide excess neutrons to the core conversion section or sections 23. The core conversion sections 23 then slowly become converted to fuel containing a large fraction of fissile nuclides so as to provide a large part of the fission power of the core. The modular nuclear fission waste conversion reactor core is a neutronically “large” device. Accordingly, each modular nuclear fission waste conversion reactor core has three characteristic dimensions, each of which is typically not substantially less than one mean free path for fission-inducing neutrons. Each nuclear fission waste conversion reactor module comprises a reactor core housing that includes a reactor pressure vessel (5a) and other structural components. Portions of the reactor core housing that are not proximate to the core itself may be made from any materials acceptable for use in reactor pressure vessels, such as without limitation stainless steel. Within the reactor core housing, neutron reflectors (8, 9, 18, 19) and a radiation shield (21) generally surround the reactor core. In some embodiments, the reactor pressure vessel may be sited underground; in such instance, the reactor core housing may exist in a caisson that can also function as a pressure retention vessel in case of a primary coolant system leak. Right circular cylindrical control drums (5d), located in recesses in the inner reflector (18), may be used in reactor control; other known control arrangements, e.g. linear control rods, might alternatively be used. Twelve such rotatable drums (5d) are depicted in FIG. 3, but other numbers of drums may be used. A control drum may be made, for example, of two semi-cylindrical halves split between BeO and graphite, with the BeO normally facing the core. A layer or strip of neutron poison would be attached to the graphite half surface that is normally located away from the core. Control would be accomplished by rotating the drums to move the poison layers closer to the core. Various control poisons might be used including B4C, Gd2O3, Eu2O3, Er2O3 and HfO2. The core will have a relatively large neutron leakage, and the control drums (5d) will have some negative reactivity effect even when the poison layer is rotated away from the core. Fully enriched B4C is preferred, and a 180° layer of B4C about 1 cm thick may be used to coat ½ the surface of a drum of about 30 cm. diameter. The reactor core contains coolant channels which are preferably aligned substantially vertically to help reduce resistance to reactor fluid flow therewithin. The use of the substantially vertical coolant channels may also help mitigate reductions in thermal driving head in natural circulation applications. The coolant channels are operatively coupled in fluid communication with the lower inlet plenum (7) and the upper outlet plenum (10) in the reactor core housing. At least a portion of the reactor coolant system, such as cold leg piping (6) of the cross-duct (5) of the reactor coolant system, is coupled to the inlet plenum. A portion of the reactor coolant system, such as hot leg piping (11) of the reactor coolant system, is coupled to the outlet plenum. When the reactor coolant is helium (He) gas, the cold leg piping may be made from nuclear grade steel. The hot leg piping (11) may be made from high temperature steels, refractory metals, or advanced ceramic composites. As seen in FIG. 1, the hot leg plenum is linked to an outlet path to an inlet of a heat exchanger or a converter (4), such as a steam generator, or to multiple thereof. The cold leg plenum (6) is coupled to receive cooled fluid from an outlet port of each of the heat converters and return it to the core. Heat converters (4) may be fluid-driven electrical turbine generators, such as a gas-driven electrical turbine generator, or may be a combination of a steam generator and a steam-driven electrical turbine generator. Other heat exchangers may also be used. The heat converters are not intended to be limited and can include any kind of heat converter desired for a particular application. It will further be appreciated that any number of the heat converters may be used as desired for a particular application. To that end, the number of heat converters does not need to be the same as the number of modular nuclear fission waste conversion reactor modules when a multiple arrangement is used. In some embodiments, the reactor coolant system may provide for a natural circulation of reactor coolant, even if its only purpose is for core heat removal when forced circulation is not being used. In such applications, the heat converters are physically located above the modular nuclear fission waste conversion reactors with a vertical separation sufficient to generate a thermal driving head as desired for a particular application. In other embodiments, the reactor coolant system may be a forced circulation system wherein suitable reactor coolant circulators or other similar devices are included within the reactor coolant piping system as desired. Illustrative Methods Associated with Modular Nuclear Fission Waste Conversion Reactors Flowchart FIG. 12 depicts an implementation of certain processes and operations. Those having skill in this art will appreciate that the style of presentation utilized herein (e.g. beginning with a presentation of a flowchart presenting an overall view and thereafter providing additions to and/or further details in subsequent flowcharts) generally allows for a rapid and easy understanding of the various process implementations. Referring now to FIG. 12, nuclear heavy metal, such as Th, U, higher actinides, and/or transuranics, is required for both the initial fissile and the conversion sections. For the first generation modular nuclear fission waste conversion reactor, the initial fissile fuel heavy metal may be LEU. This fuel is chemically converted to carbide, sintered and fabricated into clad fissile fuel elements (12). The first generation modular nuclear fission waste conversion reactor core includes fertile material, e.g. DU, and when spent nuclear fuel is used, an additional step to remove the existing cladding and to prepare the fuel for subsequent processing is needed. This step may release volatile fission products, but it would not generally separate different heavy metals nor substantially remove solid fission products. However, some fission products might be separated if desired. This fertile fuel is also converted to carbide, sintered and fabricated into clad fertile fuel elements. The first generation modular nuclear fission waste conversion reactor fuel/clad/structure is inserted in the first generation modular nuclear fission waste conversion reactor as part of its construction as depicted in FIG. 12. The operation of first generation modular nuclear fission waste conversion reactor produces energy, and at least frequently, and preferably continuously, volatile fission products are removed from the core. After an operational life of likely two or more decades, the fuel with its cladding and fuel element structure is removed from the first generation modular nuclear fission waste conversion reactor. A waiting period for fission product decay may be utilized to reduce radiation levels during subsequent steps. The structure and cladding are removed from the fuel elements and discarded, so that the remaining material consists of heavy metals (fertile and fissile) and solid fission products. This material is processed to remove a significant fraction of the solid fission products but such processing would not usually effect elemental separation of heavy metals nor change the enrichment of the removed spent fuel material. FIG. 12 illustrates how the heavy metal/partial solid fission product material becomes the next generation's modular nuclear fission waste conversion reactor initial fissile core material. The amount of fertile material employed in first and second generation modular reactor cores may be slightly different; however, after several generations, the process reaches steady state so that the material volume and composition do not significantly change between generations of reactor cores. After the operative life of the first generation core of such a modular reactor system, some fresh fertile material (generally nuclear waste, such as DU or spent nuclear fuel) is supplied for a subsequent core, while volatile fission products that were removed during operational lifetime and fission products separated from the spent core fuel elements are eliminated. Reactor Core Illustrative Embodiment One embodiment of a reactor core physical arrangement that might be used is depicted in the various drawings. The reactor core would include fuel assemblies, reflector elements, a neutron shield, startup neutron sources, and reactivity control components, all located within the core barrel (21a) and supported by the core support structure (5c). The active core may be provided by an assemblage of rectangular, ceramic, fuel element holders (13a), each of which contains full length channels or grooves in its interior vertical wall surfaces into which flat fuel elements (12) are slidably installed, spaced uniformly from one another to provide vertical channels for helium coolant flow. The embodiment of the active core that is illustrated contains 21 juxtaposed fuel columns (see FIGS. 3 and 9 which show cross-sectional drawings of the reactor core at about the active core mid-plane with the depiction of a fissile section of the stratified core). An exemplary core using such flat fuel elements may include 17 horizontal regions in the form of stacked layers or arrays; each array in the illustrated embodiment includes 21 fuel element assemblies (13) wherein 48 flat, vertically aligned fuel elements (12) are disposed as 2 rows in surrounding, rectangular, open boxes or holders (13a) as seen in FIG. 6. The construction is the same for assemblies (13) of both fissile fuel elements and fertile fuel elements; moreover, a similar construction is conveniently used to provide the upper and lower reflectors. Individual fuel elements (12) are slidably received in channels or grooves cut in the sidewall's central divider of the structural material that makes up the holder (13a), which is preferably silicon carbide composite material. As mentioned before, the installed fuel elements (12) can be suitably secured or attached to the surrounding frame as by using a thin layer of green SiC paste and heating to bond the fuel element cladding to the surfaces of the grooves in the surrounding holders. A typical fuel element assembly (13) might be about 43 centimeters square and have a height of about 16 cm. The illustrated holder 13a, which is open top and bottom, is designed to contain 48 fuel elements which are supported as two groups of 24, with the fuel elements spaced about 3 mm apart to provide the coolant flow channels. Thus, in this one embodiment of an exemplary core, there will be 21 fuel element assemblies (13) of square cross section in each of the 17 horizontal layers to provide an active core region having a height of about 2.72 meters. This core embodiment might contain, in vertical sequence, 4 layers that provide the upper conversion section, 3 layers that provide the upper initial fissile section, 3 layers that provide the central conversion section, 3 layers that provide the lower initial fissile section, and 4 layers that provide the lower conversion section. The six layers that constitute the upper and lower initial fissile section horizontal regions would each include an annular pattern or area of fissile fuel elements in the form of 8 square boxes filled entirely with fissile fuel elements surrounding one center box which contains only fertile fuel elements. The 12 remaining boxes, located around the periphery of the 9 box square region in the center of the 21 column core arrangement, as indicated by bold lines in FIGS. 3 and 9, each comprise one-half fissile fuel elements and one-half fertile fuel elements, with the fertile fuel elements being positioned in the radially outer half of each box. Accordingly, the fissile fuel area of each of the six horizontal arrays that constitute the two initial fissile fuel sections (22) would each include a pattern of 672 fissile fuel elements located within the annular area bounded by the heavy dark outline in FIG. 3. This depicts the generally annular pattern within the array surrounding one center holder that contains 48 fertile fuel elements. The 12 radially outermost fuel assemblies would contain 288 fertile fuel elements in the radially outer halves, for a total of 336 fertile fuel elements in each of these horizontal arrays. The 11 layers that comprise the conversion sections (23) would each contain 21 assemblies of entirely fertile fuel elements, i.e. 1008 fuel elements in each array. As best seen in FIG. 9 the orientations of the boxes are alternated so that the flat fuel elements (12) in each box in one layer are aligned at 90° to the fuel elements (12) in any box directly alongside in any of the four directions. Located vertically below and above the active core region are reflector regions, which are shown schematically in FIG. 2 and marked with reference numerals (8) and (9). These two upper and lower reflector regions are conveniently made of arrays of flat plates of graphite material of similar shape as the fertile and fissile fuel elements and disposed in similar ceramic holders. This arrangement creates continuous vertical channels for upward helium coolant flow through the lower reflector (8), the active core (2) and the upper reflector (9). The alternating arrangement of the orientation of the flat fuel elements in adjacent columns guards against potential streaming that might result in uneven coolant distribution across the core. It will be appreciated that arrangement and geometry of embodiments of modular nuclear fission waste conversion reactors disclosed herein, their cores and their components are not intended to be limited to any geometry and/or arrangement whatsoever. Described components (e.g. blocks), devices, and objects and the discussion accompanying them are used as examples for the sake of conceptual clarity, and various configuration modifications are acceptable. Consequently, as used herein, the specific exemplars set forth and the accompanying discussion are intended to be representative of their more general classes. In general, use of any specific exemplar herein is also intended to be representative of its class, and the non-inclusion of such specific components (e.g. blocks), devices, and objects herein should not be taken as indicating that limitation is desired. As previously indicated, the fuel elements which include the sintered carbide fuel bodies can take various shapes. Instead of the flat plate shape illustrated in FIGS. 5 and 6, the fuel elements might take the form of elongated fuel rods (51) as shown in FIG. 16A. Such fuel rod elements might comprise a tubular silicon carbide material container (53) having a flat cover (55) that carries a vent (57) located in the center of such cover. Such vent could be located at either the top or the bottom of the fuel rod element. Such an elongated cylindrical tube (53) would hold a column of individual sintered fuel compacts, which might be short cylinders of sintered carbide fuel a few centimeters in diameter and a few centimeters high. Each of the fuel bodies (59) might be coated on its lateral surface with a smooth layer (61) of uranium monocarbide or the like to assure good heat transfer from the fuel material to the sidewall of the encompassing silicon carbide container (53). Because the elongated tubes (53) that would serve as the containers for the fuel bodies (59) in such a rod-type fuel element (51) would be fabricated independently, the fuel would not be potentially exposed to vapor deposition of beta silicon carbide from a vapor atmosphere, and thus the sintered fuel would not likely require a pyrolytic carbon seal layer. It is contemplated that these fuel rod elements (51) might extend for the entire height of the reactor core. In which case, each tubular container (53) would contain appropriate sintered compacts of fissile and/or fertile carbide nuclides. For example, the rod-like fuel elements (51) located in the vertical columns of the reactor core that pass through the annular patterned area of the two spaced-apart initial fissile sections (22) might include a lower region made up of bodies of fertile nuclides. Disposed thereabove would be the lower intermediate region containing fissile nuclides which would contribute to the lower initial fissile section of the core. A central region of fertile nuclide bodies would be disposed thereabove and surmounted by the upper intermediate region bodies containing fissile nuclides that would contribute to the upper initial fissile section (22). A region of fertile fuel bodies would then extend above it, for example to the cover (55) if the vent (57) is located at the top. All of the cylindrical fuel body compacts would be provided with a packing density as earlier described; optionally, a central hole (62) might be provided in the fuel bodies (59) so as to assure a pathway for volatile fission products through the entire length of elongated fuel element (51) to the vent (57) at its top or bottom. For handling purposes, these fuel rod elements (51) would be likely disposed within surrounding thin boxes (63) of silicon carbide or the like. Illustrated in FIG. 16C is such an elongated box in the form of a hexagonal tube (63). A plurality of such hexagonal tubes (63) would be conveniently fit together, with their sidewalls juxtaposed with one another, to form the vertical reactor core (2). Alternatively, square boxes or boxes of other uniform shape might also be used. The fuel rod elements (51) would be close-packed within such a surrounding box or frame (63) as shown in FIGS. 16C and 16D. For example, an open mesh (65) might be affixed to the top surface and to the bottom surface of the hexagonal box (63) so as to assist in the regular spacing of the rod fuel rod elements (51) so there would be coolant channels surrounding the entire surface of each of the elements to assure uniform heat transfer therefrom. A header system (not shown) would similarly be used to interconnect the vents (57) of what might, for example, be 91 individual fuel rod elements (51) disposed within a hexagonal box (63). Such headers from the top or bottom of each hexagonal box would be connected to piping as described hereinbefore that would lead to the fission product separation facility. Conceivably the fuel elements (51) could be even further elongated to include upper and lower reactor sections. However, inasmuch as the reflector material would not be generating fission products, it might be expedient to provide similar boxes of rods of reflector material that could be positioned above and below the reactor core region which would simply continue the fuel and coolant channel geometric patterns through these regions. While various aspects and embodiments have been disclosed herein which constitute the best mode presently known to the inventors, other aspects and embodiments will be apparent to those skilled in the art, and changes can be made without departing from the invention the scope of which is defined in the appended claims. Particular features are emphasized in the following claims. |
|
description | This application is a non-provisional patent filing of provisional patent application Ser. No. 62/212,428, filed in the United States Patent Office on Aug. 31, 2015. The present disclosure relates generally to a radiology garment dispensing, cleaning, and tracking system. More particularly, the present disclosure relates to a system for storing, cleaning, and monitoring the use of radiology garments, each garment may include a radiology apron and a radiology collar. The use of x-ray imagery is almost ubiquitous in facilities that provide healthcare services. X-ray imagery allows doctors to view the internal structures of patients without conducting invasive surgery. There are a number of techniques that use x-ray radiation in order to obtain images of a patient, such as radiography, computed tomography, and fluoroscopy. While the exact use of x-rays in generating diagnostic quality imaging differs, all of these techniques on some level bombard the patient with x-ray radiation. While x-ray radiation can be an incredibly useful diagnostic tool, overexposure to x-ray radiation can cause serious harm or death in patients. As such, the use of radiation shielding garments has become standard practice whenever a patient is subjected to x-ray radiation. However, as x-ray imagery is being utilized in increasing amounts, the ability of healthcare facilities to maintain adequate supplies of these radiology garments becomes a large challenge. Further, there are regulatory requirements that place restrictions on the use and cleaning of radiology garments in healthcare facilities, set forth by organizations such as the Centers for Medicare and Medicaid Services, the Department of Health, and the Joint Commission on Accreditation of Healthcare Organizations. While beneficial, these regulations result in additional hurdles to providing x-ray imagery to patients. Accordingly, there is a need for a device that can provide an automated cleaning service, as well as track the usage of radiology garments used by healthcare facilities. While these units may be suitable for the particular purpose employed, or for general use, they would not be as suitable for the purposes of the present disclosure as disclosed hereafter. In the present disclosure, where a document, act or item of knowledge is referred to or discussed, this reference or discussion is not an admission that the document, act or item of knowledge or any combination thereof was at the priority date, publicly available, known to the public, part of common general knowledge or otherwise constitutes prior art under the applicable statutory provisions; or is known to be relevant to an attempt to solve any problem with which the present disclosure is concerned. While certain aspects of conventional technologies have been discussed to facilitate the present disclosure, no technical aspects are disclaimed and it is contemplated that the claims may encompass one or more of the conventional technical aspects discussed herein. An aspect of an example embodiment in the present disclosure is to provide a radiology garment dispensing and cleaning system that is capable of tracking the amount of use of each of the garments in a facility inventory. Accordingly, the present disclosure provides a radiology garment dispensing and cleaning system that allows a healthcare facility to more easily dispense, clean, and monitor the use and cleaning of all of its radiation shielding garments. The system provides each user with an ID card having a unique identifier. Garments are tracked/followed according to when each user scans his or her ID card to retrieve or replace one of the garments. Accordingly, the present disclosure describes a radiology garment storage and cleaning system, for storing and cleaning radiology garments, each garment including a radiology apron and a radiology collar. The radiology garment storage and cleaning system includes a storage apparatus, which is divided into a number of compartments for accepting a radiology garment, the compartments extending from the first side to the second side. Each compartment is equipped with a cleaning system, a door with a lock and at least one status light. The storage apparatus also includes an ID card and a monitoring system which is in electronic communication with the ID card reader, the lock of each compartment, each of the cleaning mechanisms, and the status light of each compartment. The monitoring system is configured to monitor when the radiology garment is placed in one of the compartments, automate the cleaning of the garment, and track the garment's usage. The present disclosure addresses at least one of the foregoing disadvantages. However, it is contemplated that the present disclosure may prove useful in addressing other problems and deficiencies in a number of technical areas. Therefore, the claims should not necessarily be construed as limited to addressing any of the particular problems or deficiencies discussed hereinabove. To the accomplishment of the above, this disclosure may be embodied in the form illustrated in the accompanying drawings. Attention is called to the fact, however, that the drawings are illustrative only. Variations are contemplated as being part of the disclosure. The present disclosure now will be described more fully hereinafter with reference to the accompanying drawings, which show various example embodiments. However, the present disclosure may be embodied in many different forms and should not be construed as limited to the example embodiments set forth herein. Rather, these example embodiments are provided so that the present disclosure is thorough, complete and fully conveys the scope of the present disclosure to those skilled in the art. FIG. 1 illustrates a radiology garment storage and cleaning system, having a storage apparatus 100, an ID card reader 300, and a monitoring system 400. The system is used by a plurality of users, each user having an ID card 350 that contains unique identifying information. The storage apparatus 100 has a front end 100A, a rear end 100B, a first side 100C, a second side 100D, a top surface 100E, and a bottom surface 100F. The storage apparatus 100 also has a plurality of compartments 200, located between the first side 100C and the second side 100D. Each of the plurality of compartments 200 extends from the front end 100A to the rear end 100B, and extends partially between the first side 100C and the second side 100D. Further, each of the plurality of compartments is equipped with a door 202, at least one status light 203, and a lock 204, wherein the lock 204 and at least one status light 203 is in electronic communication with the monitoring system 400. In some embodiments, the door 202 is equipped with at least one vent to facilitate drying following the cleaning operation described hereinbelow. Each of the plurality of compartments 200 is sized to accommodate at least one radiology garment. Here, the radiology garment refers to a radiation apron, a radiation collar, or some combination thereof. As will be described hereinafter, each radiology garment is uniquely identified and its usage is tracked indirectly—by tracking the user withdrawing and replacing the radiology garment into one of the compartments 200 as permitted by the monitoring system 400 locking and unlocking compartments. Thus, the garment is tracked when one of the compartments containing the garment is unlocked by the user ID of one of the users, and the garment is then associated with that user. The garment is further tracked when that user unlocks one of the compartments using their ID card to return the garment, and the garment is presumed to then be the one subsequently located in that compartment. The ID card reader 300 is preferably mounted adjacent to the storage apparatus 100. In a preferred embodiment, the monitoring system 400, which features a memory unit and a processor, is housed within the ID card reader 300. The monitoring system 400 may also be in a remote location and communicate with ID card reader 300 via a computer network such as the Internet. The monitoring system 400 keeps track of which ID card is used, when the ID is used, and the status of one or more radiology garments associated with the ID card. As will be described in detail hereinbelow, by associating the usage of one of the ID cards with the locking and unlocking of the storage components, the usage of the radiology garments stored in the storage compartments can be tracked by the monitoring system 400. Referring to FIG. 2, a side view of one embodiment of one of the plurality of compartments 200 is shown. Here, the compartment features a hanging mechanism 207, a hanger 500, and a radiology garment 600. As can be seen, the hanger 500 preferably hangs on the hanging mechanism 207. FIG. 3A shows a radiology apron 601 and a radiology collar 602 hanging on the hanger 500. FIG. 3B shows the hanger 500 without the radiation apron 601 or radiation collar 602 so that the individual components of the hanger 500 can be better seen. Specifically, hanger 500 features neck a 501, a hook 502, a triangular part 503, a stem 504, and a clamp mechanism 505. The neck 501 has a top end 501A and a bottom end 501B. The hook 504 is attached to the top end 501A and the triangular part 503 is attached to the bottom end 501B. The stem 504 has a top portion 504A and a bottom portion 504B. The top portion 504A is connected to the triangular part 503, and the bottom portion 504B is connected to the clamp mechanism 505. Preferably, the clamp mechanism 505 has a horizontal component 505A and at least two clamps 505B, wherein the at least two clamps 505B are rotatably attached to the horizontal component 505A. Referring back to FIG. 3A, the radiation apron 601 is shown resting on and supported by the triangular part 503, and the collar is shown held by the clamp mechanism 505 via at least two clamps 505B. Preferably, the collar is held substantially taut and flat by the at least two clamps 602, and substantially planar with the radiation apron 601. FIG. 4 shows another embodiment of one of the plurality of compartments 200, featuring a cleaning system 201, a liquid impermeable bottom 205, and a volume of cleaning solution 206. The cleaning system 201 preferably comprises a first pulley 201A, a second pulley 201B, a cable 2010, and at least one brush 201D mounted on and vertically movable by the cable 2010. The door 202 and the lock 204 are also shown here for reference. Here, the at least one brush 201D engages with and cleans the garment 600. Controlled by the monitoring system 400, cleaning mechanism 201 operates by rotating the first pulley 201A, the second pulley 201B, or both to vertically move the at least one brush 201D vertically across garment 600. Preferably, the cleaning system comprises two first pulleys 201A, two second pulleys 201B, two cables 2010, and at least two brushes 201D, one brush attached to each cable 2010, as shown here, wherein the brushes 201D are configured to be at the same vertical and opposed to each other so that they both engage the garment simultaneously at the same height but from opposite sides. This provides the preferable configuration where each brush 201D engages with and cleans one side of garment 600, allowing for comprehensive cleaning. Preferably, during the cleaning mechanism 201 operation, the brush 201D will be submerged in the volume of cleaning solution at least momentarily, proximate to second pulley 201B. This allows the brush 201D to become at least partially infused with cleaning solution, providing more efficient cleaning of garment 600 and provide anti-bacterial treatment to the garment. Preferably, as a safety feature, cleaning system 201 will only operate to clean the garment 600 when the lock 204 is engaged. Generally, the system will wait for a predetermined amount of time before allowing the garment to be dispensed to one of the users, so as to provide the garment with sufficient time to dry. In addition, drying apparatus, including fans, heating elements and lights, etc., may be provided within the compartment to speed drying of the garment 600. FIG. 5 shows an example flow chart of a method of cleaning a radiology garment of the present invention. In step 700, using the radiation dispensing and cleaning apparatus, one of the users swipes their ID card through card reader 300 to request garment storage. In step 800, monitoring system 400, after receiving the user identifying information on the ID card, finds an unoccupied compartment 200 and disengages that compartment's lock 204. From there, in step 900 the user places the radiology garment in the unlocked compartment 200 and shuts the door 202. Subsequently, monitoring system 400 determines that the door 202 is closed, engages the lock 204, and subsequently operates the appropriate cleaning mechanism 201 in step 1000. When the system is finished cleaning, it indicates to the user that the radiology garment is clean by illuminating the at least one status light 203. The monitoring system thereby tracks the garment location as being within said compartment 1010. In some embodiments, the present invention is equipped with multiple status lights, each light having a unique color, and each color corresponding to a state of cleaning. For example, no illuminated lights corresponds to an empty compartment; a red light corresponds to a dirty radiology garment being in the compartment, a yellow light corresponds to a compartment that is currently cleaning a garment, and a green light corresponds to a compartment being occupied with a cleaned garment. This is just one example of how the at least one status light 203 could be used to visually indicate to a user the state of a compartment's contents. Monitoring system 400 will then log the radiology garment as being used an additional time, and will timestamp that log. Monitoring system 400 is capable of determining the time between a radiology garment being cleaned and checked out. This will help a user determine the volume of a particular garment, and will help users manage their inventory of radiology garments. FIG. 6 shows another example flow chart of an embodiment of the method of dispensing a radiology garment. When the user desires a radiology garment, from the radiation dispensing and cleaning system of the present invention, they will first swipe their ID card to request a garment in step 1100. In step 1200, after monitoring system 400 receives the information located on the ID card, it will determine the amount of use on the garments stored in the system. This can be calculated by, for example, the amount of times the radiology garment has been placed into, cleaned by, and dispensed by the system. After determining the garment with the least amount of use contained in the system, the method proceeds to step 1300 where the compartment containing that garment is opened. The user removes the garment from the hanger and then closes that door of that compartment. The garment is associated with the user 1400, so that when that user again swipes his or her ID card to open one of the empty compartments, the garment will be presumed to then be the garment within said compartment. It is understood that when an element is referred hereinabove as being “on” another element, it can be directly on the other element or intervening elements may be present therebetween. In contrast, when an element is referred to as being “directly on” another element, there are no intervening elements present. Moreover, any components or materials can be formed from a same, structurally continuous piece or separately fabricated and connected. It is further understood that, although ordinal terms, such as, “first,” “second,” “third,” are used herein to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer or section from another element, component, region, layer or section. Thus, “a first element,” “component,” “region,” “layer” or “section” discussed below could be termed a second element, component, region, layer or section without departing from the teachings herein. Spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, are used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It is understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the example term “below” can encompass both an orientation of above and below. The device can be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly. Example embodiments are described herein with reference to cross section illustrations that are schematic illustrations of idealized embodiments. As such, variations from the shapes of the illustrations as a result, for example, of manufacturing techniques and/or tolerances, are to be expected. Thus, example embodiments described herein should not be construed as limited to the particular shapes of regions as illustrated herein, but are to include deviations in shapes that result, for example, from manufacturing. For example, a region illustrated or described as flat may, typically, have rough and/or nonlinear features. Moreover, sharp angles that are illustrated may be rounded. Thus, the regions illustrated in the figures are schematic in nature and their shapes are not intended to illustrate the precise shape of a region and are not intended to limit the scope of the present claims. In conclusion, herein is presented a radiology garment dispensing and cleaning system. The disclosure is illustrated by example in the drawing figures, and throughout the written description. It should be understood that numerous variations are possible, while adhering to the inventive concept. Such variations are contemplated as being a part of the present disclosure. |
|
abstract | Laser light emitted from a high output laser light source is condensed by a condenser lens to form a condensed point. Xenon (Xe) gas or the like as a target is injected from a nozzle to the condensed point to generate Extreme Ultra Violate (EUV) light, and then the generated EUV light is condensed by a condenser mirror. A transmission filter having a predetermined transmittance with respect to the EUV light is disposed between the condenser mirror and a reflecting mirror, and scattering particles mixed in the EUV light are adsorbed by the transmission filter. The EUV light passing through the transmission filter is deviated by the reflecting mirror, a illuminance distribution of the EUV light is uniformalized by fly eye mirrors, thereafter the EUV light is condensed by another condenser mirror, and then exposure is effected using the condensed EUV light as an exposure beam. |
|
048636738 | summary | BACKGROUND OF THE INVENTION This invention relates to control rods and control rod drives in nuclear reactor, and more particular to the testing of check valves that prevent control rod ejection responsive to ambient reactor pressure. STATEMENT OF THE PROBLEM Control rods in nuclear reactors are inserted to the core of the reactor for control of the reactor reaction. Absent any other force, the ambient pressure interior of the reactor would cause control rod ejection. Insertion of control rods usually occurs responsive to a fine movement system, either hydraulic or ball screw driven and a rapid movement system, which system is always hydraulic. In the case of a ball screw driven drive, slow and controlled movement of the control rod is responsive to rotation of a screw and change of a following screw ball in elevation for lifting the control rod to and from a control position of penetration within the reactor core. In this ball screw control rod drive, the second system for control rod insertion is hydraulic. This system causes the piston on top of the ball screw drive to leave the position of normal support on the ball screw drive. The control rod rapidly moves upwardly responsive to hydraulic force from a position of withdrawal to a position of complete penetration of the reactor core for control of the reaction. Some drives include hydraulics for both fine motion control and rapid insertion. Fine motion is achieved by a combination of controlled hydraulic power applied to the drive piston and a hydraulically controlled latch. SCRAM or rapid insertion occurs upon substantial hydraulic power being applied to the drive piston just as with the ball screw drive. It is sufficient to say that as long as the system is hydraulic, the disclosure herein is applicable. Such hydraulic systems must be fail safe. These systems must be designed with safe guards against conceivable casualty scenarios. In order to understand the test apparatus and test process of this invention, it is required to understand the hypothesized casualties. Hydraulic systems have been known to fail. In in a nuclear reactor, the high pressure hydraulic system for the immediate insertion of a control rod were to fail, other causalities could conceivably follow. Control rod drives upon insertion are equipped with ratchet mechanisms. These mechanisms maintain the rods in their inserted position unless deliberate control rod withdrawal is undertaken. However, as a fail safe procedure, it is assumed for purposes of analysis that such systems do not work. In other words, the hydraulic system itself must be designed to prevent inadvertent control rod withdrawal. Assuming failure of the hydraulic system, the control rod will be under an ejection force from the full pressure interior of the boiling water nuclear reactor. In an attempt to guard against this scenario, a check valve has been placed in the drive at the inlet of the hydraulic system. Upon inadvertent rupture of the system, the check valve is designed to close, stopping further control rod ejection. Unfortunately, the checking of the functionality of this ejection prevention check valve has heretofore not been practically possible because of its remote location in a hostile environment under the vessel without system disassembly and inspection. One possibility for checking the ejection prevention valve has been to relieve the hydraulic pressure on the control rod insertion system to see if the check valve will seat without seepage to prevent control rod ejection. Unfortunately, in such a test, should the check valve be defective at least a partial ejection of the control rod would result. In such an ejection the very casualty that the system was designed to prevent could conceivably be precipitated. Consequently, there remains a need to design a system for the inspection of the integrity of the control valve ejection prevention check valve. Such a test must not otherwise interfere with the safe operating state of the reactor. SUMMARY OF THE INVENTION In a hydraulic system of a control rod drive for insertion of control rods, a test apparatus and test process is disclosed for determining the integrity of the hydraulic system check valve for preventing inadvertent control rod ejection responsive to the reactor pressure. The check valve is located at the drive between a hydraulic valve for causing rod insertion and the drive hydraulic cylinder. In this interval downstream of the check valve, alteration is made to the hydraulic path by the installation of a conduit with a quick disconnect connected through an isolation valve. Test apparatus for temporary connection at the disconnect is disclosed consisting of a small positive displacement piston and cylinder. The small positive displacement piston and cylinder connects to the quick disconnect through a complementary quick disconnect fitting and a rapidly opening toggle valve. Provision is made for timing the excursion of piston in the piston and cylinder preferably by end of stroke microswitches. A process for the testing of the integrity of the check valve is disclosed in which quick opening valve is opened with resultant backflow from the hydraulic system through the check valve into the volume defined by the small displacement. Presuming proper check valve operation and closure, the time of excursion of the piston between the two microswitches is long, indicating that the check valve seats and remains sealed to prevent control rod ejection. Alternately, if the time of excursion of the piston between the two microswitches is short, there is an indication that the check valve has not properly seated and that control rod ejection is possible. It is important to note that control rod ejection is not permitted due to the small volume of the interrogating piston and cylinder. Provision is made for the discharging of the contents of the piston back to the hydraulic circuit before removal of the test apparatus for sequential tests at adjacent control rod drives. OTHER OBJECTS, FEATURES AND ADVANTAGES An object to this invention is to establish a test protocol for an ejection prevention check valve on the hydraulics of a control rod drive. Accordingly, a positive displacement piston and cylinder is utilized, the piston having a stroke of limited volume. Piston movement is timed from beginning of stroke to end of stroke over the small volume therebetween. The length of the piston stroke, preferably being beyond a predetermined test standard time, establishes check valve integrity. Simply stated, the volume of the piston and cylinder is sufficient to permit immediate check valve closure and testing of the seal of the check valve. An advantage of this test apparatus and process is that it in no way interferes with the integrity of the hydraulic systems. For example, SCRAM of a drive can be undertaken even at a drive under test without interfering with the operation of the control rod drive in any fashion. Yet another advantage of this procedure is that for the first time checking of the integrity of the check valve is permitted without perceptible ejection of the control rod. Yet another advantage is that the disclosed test protocol is applicable to all types of control rod drives, including those drives which are completely hydraulic as well as those drives which are partially ball screw actuated and partially hydraulic actuated. A further object to this invention is to set forth a test protocol that requires minimal modification of extant or future control rod drives for tests of their respective backflow check valves. According to this aspect of the invention, the drives are modified by the attachment of a conduit between the valve closing pressure source and the reverse flow check valve from the control rod drive hydraulics. This conduit is preferably provided with a quick disconnect fitting communicated through the isolation valve. An advantage of this aspect of the invention is that with the above simple modification, all hydraulic drives are easily and expeditiously altered for the test apparatus and protocol of this invention. A further object to this invention is to disclose a simplified apparatus for tests. According to this aspect, a positive displacement cylinder of small volume is provided. The cylinder is designed for communication to the hydraulic circuit being tested through a mating quick disconnect and a quick opening valve. Microswitches monitor the beginning of stroke and the end of stroke. By the expedient of timing the interval between microswitch actuation, a definitive test of the function of the ejection prevent check valve can be made. An advantage of this aspect of the invention is the simplicity of the test apparatus. |
052232115 | claims | 1. A zirconium based alloy plate of low irradiation growth, containing at least one of 0.1-5 wt % Sn and 0.1-5 wt % Nb, and the balance Zr of not less than 90 wt %, said alloy plate having a texture that <0001> orientation (Fr value) with respect to direction perpendicular to the surface of the plate ranges from 0.25 to 0.36. 2. A square tubular member made of a zirconium based alloy plate of low irradiation growth, containing at least one of 0.1-5 wt % Sn and 0.1-5 wt % Nb, and the balance Zr of not less than 90 wt %, said alloy having a texture that <0001> orientation (Fr value) with respect to direction perpendicular to the surface of the tubular member ranges from 0.25 to 0.36, which another orientation (Ft value) with respect to longitudinal direction of the tubular member ranges from 0.25 to 0.36, which another orientation (Fl value) with respect to circumferential direction of the tubular member ranges from 0.25 to 0.36. 3. A zirconium based alloy plate of low irradiation growth, containing at least one 0.1-5 wt % Sn and 0.1-5 wt % Nb, and the balance Zr of not less than 90 wt %, said alloy having .varies. phase, and the grain size of the alloy being in the range of 50 to 500 .mu.m. 4. A zirconium based alloy plate of low irradiation growth, containing at least one of 0.1-5 wt % Sn and 0.1-5 wt % Nb, and the balance of Zr of not less than 90 wt %, said alloy having .varies. phase, said alloy plate having <0001> crystal grain orientation of hexagonal Zr which <0001> orientation is oriented substantially random, and strain occurring due to fast neutron irradiation growth being not more than 3.times.10.sup.-4. 5. A zirconium based alloy plate of low irradiation growth, containing at least one of 0.1-5 wt % Sn and 0.1-5 wt % Nb, and the balance Zr of not less than 90 wt %, said alloy having .varies. phase, said alloy having a texture that <0001> orientation (Fr value) with respect to direction perpendicular to the surface of the plate ranges from 0.25 to 0.36. 6. In a method of producing a zirconium based alloy plate of low irradiation growth which contains at least one of 0.1-5 wt % Sn and 0.1-5 wt % Nb and the balance Zr of not less than 90 wt %, comprising the steps of: heating the alloy into a .beta. single phase temperature range; and cooling the alloy, the improvement comprising the steps of retaining the alloy in the .beta. single phase temperature range in a short period of time so that <0001> orientation (Fr value) with respect to direction perpendicular to the surface of the plate ranges from 0.25 to 0.36, and quenching the alloy. 7. In a method of producing a zirconium based alloy plate of low irradiation growth which contains at least one of 0.1-5 wt % Sn and 0.1-5 wt % Nb and the balance Zr of not less than 90 wt %, comprising the steps of: heating and keeping the alloy plate in a .beta. single phase temperature range; and cooling the alloy plate, the improvement comprising the steps of retaining in a short period of time the alloy plate in the .beta. single phase temperature range so that value of parameter P defined by P=(3.55+log t).times.log(T-980), where t (h) is a retaining period of time and T is a maximum temperature (.degree. C.), is not less than 0,8; and quenching the alloy. 8. In a method of producing a square tubular member made of a low irradiation growth zirconium based alloy containing at least one of 0.1-5 wt % Sn and 0.1-5 wt % Nb and the balance Zr of not less than 90 wt %, comprising the steps of: locally induction-heating and keeping in a short period of time the tubular member in a .beta. single phase temperature range; and forcibly cooling the heated portion of the tubular member by cooling medium, the improvement comprising the steps of retaining the tubular member portion in a short period of time in the .beta. single phase temperature range so that <0001> orientation (Fr value) with respect to direction perpendicular to the surface of the tubular member ranges from 0.25 to 0.36, and quenching the heated portion. 9. In a method of producing a square tubular member made of a zirconium based alloy according to claim 6, comprising the steps of continuously induction-heating a portion of the tubular member locally while moving it relatively, and forcibly cooling the heated portion by a cooling medium, the improvement comprising the steps of inserting in the tubular member a mandrel made of a metal material having a thermal expansion coefficient large than that of the alloy, and heating the tubular member from the outer surface of the tubular member while fixing at least both ends of the tubular member by the mandrel. 10. A fuel channel box formed of a square tubular member formed by welding two channel-shaped members made of zirconium based alloy, said alloy having a texture that <0001> orientation (Fr value) with respect to direction perpendicular to the surface of the tubular member ranges from 0.25 to 0.36, the whole surface of said channel box being provided with oxide layer formed by autoclave treatment. 11. A fuel assembly comprising a fuel rod provided within a fuel cladding tube with fuel pellets, a channel box receiving a plurality of the fuel rods, a spacer for partitioning the fuel rods received in the channel box, and upper and lower tie plates disposed respectively at the upper and lower portions of the channel box, said channel box being made of a zirconium based alloy containing at least one of 0.1-5 wt % Sn and 0.1-5 wt % Nb and the balance Zr of not less than 90 wt %, said alloy having a texture that <0001> orientation (Fr value) with respect to direction perpendicular to the surface of a plate ranges from 0.25 to 0.36. 12. A method of using a nuclear fuel channel box made of a zirconium based alloy in which channel box a plurality of nuclear fuel rods are disposed, said alloy having a texture that <0001> orientation (Fr value) with respect to direction perpendicular to the surface of a tubular member ranges from 0.25 to 0.36, said nuclear fuel being exchanged during the use of the channel box at least once. 13. A method of using a nuclear fuel channel box in a reactor core of a nuclear reactor, the nuclear fuel channel box being formed of a tubular member formed by welding two channel-shaped members made of a zirconium based alloy, said alloy having a texture that <0001> orientation (Fr value) with respect to direction perpendicular to the surface of the tubular member ranges from 0.25 to 0.36, the whole surface of said channel box being provided with oxide film formed by autoclave treatment, said channel box being used so that the degree of burn-up of a nuclear fuel while in the reactor core is not less than 32 Gwd/t, and so that nuclear fuel is exchanged at least once during the use of the channel box. 14. A method of using a fuel assembly in a reactor core of a nuclear reactor, the fuel assembly having a fuel rod provided within a fuel cladding tube with fuel pellets, a channel box receiving a plurality of the fuel rods, a spacer for partitioning the fuel rods received in the channel box, and upper and lower lattice plates disposed respectively at the upper and lower portions of the channel box, said channel box being made of a zirconium based alloy containing at least one of 0.1-5 wt % Sn and 0.1-5 wt % Nb and the balance Zr of not less than 90 wt %, said alloy having a texture that <0001> orientation (Fr value) with respect to direction perpendicular to the surface of a plate ranges from 0.25 to 0.36, the channel box being used so that the degree of burn-up of nuclear fuel while in the reactor core is not less than 32 Gwd/t, and so that nuclear fuel is exchanged at least once during the use of the channel box. 15. A method of using a nuclear fuel channel box in a reactor core of a nuclear reactor, the nuclear fuel channel box being made of a zirconium based alloy in which channel box a plurality of nuclear fuel rods are disposed, said alloy comprising hexagonal crystals having a texture that <0001> orientation (Fr value) with respect to direction perpendicular to the surface of the tubular member ranges from 0.25 to 0.36, said channel box being used so that the degree of burn-up of nuclear fuel while in the reactor core is not less than 32 Gwd/t, and so that it is exposed to neutron irradiation of not less than 10.sup.22 n/cm during the use of the channel box. 16. A method of operating a nuclear reactor having within a reactor core a plurality of nuclear fuel channel boxes each formed of a tubular box made of a zirconium based alloy, comprising the steps of exchanging fuel after a predetermined period of operation time, and subsequently operating the reactor in a predetermined period of time, said alloy containing hexagonal crystals having a texture that <0001> orientation (Fr value) with respect to direction perpendicular to the surface of the tubular box ranges from 0.25 to 0.36, said channel boxes being subjected to such operation as the degree of burn-up of fuel while in the reactor core is about 32 Gwd/t or more, and then fuel is exchanged at least once during the use of the channel boxes, respective channel boxes being disposed in a same operation position before and after the exchange of the fuel. 17. A zirconium based alloy plate according to claim 3, wherein the alloy plate has a texture that <0001> orientation (Fr value) with respect to direction perpendicular to the surface of the plate ranges from 0.25 to 0.36. 18. A zirconium based alloy plate according to claim 4, wherein the alloy plate has a texture that <0001> orientation (Fr value) with respect to direction perpendicular to the surface of the plate ranges from 0.25 to 0.36. 19. A method of producing a zirconium based alloy plate according to claim 7, wherein the short period of time that the alloy plate is retained in the .beta. single phase temperature range is a period such that <0001> orientation (Fr value) with respect to direction perpendicular to the surface of the plate ranges from 0.25 to 0.36. 20. A method of producing a square tubular member according to claim 9, wherein the tubular member is heated such that the member has a texture that <0001> orientation (Fr value) with respect to direction perpendicular to the surface of the member ranges from 0.25 to 0.36. 21. A zirconium based alloy plate according to claim 1, wherein Fr ranges from 0.31 to 0.35. 22. A zirconium based alloy plate according to claim 1, wherein the alloy plate has another orientation (Ft value), with respect to a longitudinal direction of the plate, which ranges from 0.25 to 0.36; and has another orientation (Fl value), with respect to a widthwise direction of the plate, which ranges from 0.25 to 0.36. 23. A zirconium based alloy plate according to claim 22, wherein each of Fr, Ft and Fl range from 0.31 to 0.35. 24. A method of producing a zirconium based alloy plate according to claim 7, wherein P is 2.5 to 5. 25. A method of producing a zirconium based alloy plate according to claim 6, wherein the alloy is quenched at a cooling speed not lower than 50.degree. C./sec. 26. A method of producing a square tubular member according to claim 9, wherein said mandrel is made of an austenitic stainless steel. 27. A fuel channel box comprising a square tubular member formed by welding two channel-shaped parts made of zirconium based alloy, said alloy having a texture that <0001> orientation (Fr value) with respect to direction perpendicular to the surface of the tubular member ranges from 0.20 to 0.50, so as to avoid irradiation growth of the fuel channel box. 28. In a method of producing a zirconium based alloy plate, a low irradiation growth according to claim 6, of a zirconium based alloy, comprising the steps of heating the alloy into a .beta. single phase temperature range and cooling the alloy, the improvement comprising wherein .beta. zirconium crystal grains are grown during the heating to a grain size of at least 50 .mu.m, and cooling is performed by quenching. 29. A method of producing a zirconium based alloy plate according to claim 28, wherein the .beta. zirconium crystal grains are grown to a grain size of at least 100 .mu.m. 30. A method of producing a zirconium based alloy plate according to claim 29, wherein the .beta. zirconium crystal grains are grown to a grain size of at least 150 .mu.m. |
061817635 | summary | TECHNICAL FIELD This invention relates to boiling water nuclear reactors in general and to fuel bundle assemblies for such reactors in particular. BACKGROUND Boiling Water Reactor (BWR) fuel assemblies include fuel rods and water rods within a flow channel. Water flowing through the many fuel bundles within respective channels provide both coolant and moderator to sustain the nuclear reaction. The moderator function is provided primarily by the higher density liquid. Energy addition along the fuel rods, however, converts some of the water to lower density steam so that its effectiveness as a moderator decreases as the fraction of liquid decreases along the length of the fuel rods. The resultant steam-water flows, referred to as two-phase flows, have higher velocities and cause significant pressure drop along the length of the fuel during typical operation. To reduce this variation in liquid moderator, modem BWR fuel designs include separate flow paths within the fuel bundles which remain filled with liquid (water) over the length of the fuel bundles. These water paths can be configured as one or more round or square tubes (or as cruciform shaped flow passages) generally referred to as water rods. For normal operating conditions, these water rods provide 15% to 20% of the available moderator liquid in BWR fuel bundles. Current water rod designs provide parallel flow paths through the fuel bundle. They typically include one or more inlet holes near the bottom of the water rods (and the fuel bundle) and one or more outlet holes near the top of the water rods (and the fuel bundle), as illustrated in FIGS. 1 and 2. The placement of these inlet and outlet holes imposes the full bundle pressure drop to drive flow through the water rods. When reactor flow reduces, the pressure difference driving liquid through water rods is also reduced. Current water rods are designed with appropriate inlet flow resistances to maintain very little vapor formation even for such low flow conditions. A characteristic of such two-phase flow systems is that they can experience flow oscillations under certain conditions of low flow and high power operation. A BWR has a natural tendency to avoid such flow oscillations because the increased steam formed at low flow conditions causes the reactor power to reduce. However, since current water rods are typically designed to remain full of liquid even at low reactor flow conditions, those water rods limit the natural power reduction at low flow conditions. On the other hand, if the flow restrictions in the water rods are increased to produce significant steam in the water rods at low flow conditions, the current designs result in unfavorable steam in the water rods at normal operation conditions as well. Two recent water rod designs have been proposed to improve the prior designs mentioned above. One recent design provides varying amounts of steam in the water rod at different reactor flow conditions. This design is intended primarily to enhance spectral shift capability. It utilizes a very small (in cross section) downflow extension tube from the top of the water rod. Thus, water flows upward through a large path and then downward through a small connecting tube for nearly the full length of the fuel before reaching the exit hole. This configuration (illustrated in FIG. 3) has only a short vertical distance between the inlet and outlet holes, with resultant small imposed pressure differential across these holes. For low flow conditions, the downward flow tube is predominantly filled with steam, and the fluid in the upward path is supported like a standpipe with a low pressure differential. The resultant liquid content in the tube is thus quite low, being proportional to the imposed pressure differential. For normal operation, however, the small downflow tube and significant outlet flow restriction combine to severely limit water rod flow. Thus, this design results in significant steam formation in the water rod, with associated unfavorable fuel efficiency, under normal operating conditions. Another recent water rod design introduces a central standpipe for upflow within a normal water rod design (illustrated in FIG. 4). Using flow restrictions typical of current designs allows for sufficient water rod flow at normal operating conditions to avoid steam formation. For low flow conditions, it was contemplated that the annular region outside of the standpipe would fill with steam when the imposed pressure differential dropped below that necessary to spill liquid over from the top of the standpipe. Unfortunately, analyses have indicated that under such conditions, liquid will flow backward through the upper outlet hole and refill that annular region outside the standpipe (since that region has no bottom drain, it can potentially collect even more liquid than current water rod designs under similar conditions). The only known way to avoid such liquid backflow at the upper outlet hole is to introduce sufficient restriction such that backflow is avoided by the counter flow effects of the escaping vapor. Unfortunately, that amount of flow restriction will again cause unfavorable steam formation in the water rod at normal operating conditions. DISCLOSURE OF THE INVENTION The present invention provides a water rod design that is filled with liquid at normal operating conditions but becomes partially filled with steam at low flow conditions. To this end, the water rod(s) are each configured as a siphon tube or siphon water rod (SWR). The newly designed water rods incorporate both an upflow path substantially to the top of the fuel in the fuel rods, followed by a downflow path with outlet holes positioned near the mid-plane of the fuel bundle. This change creates a water rod that operates with a siphon effect, flowing full of liquid at normal operating conditions. This is referred to as the "siphon mode" of SWR operation. However, at low reactor flow rates, a small amount of vapor formation in the water rod breaks the siphon effect and the water rod transitions to a significant (.about.60%) vapor content. This results in the downflow path being virtually filled with vapor, while the upflow path operates as a standpipe with a two-phase mixture. This is referred to as the "standpipe mode" of SWR operation. For some applications, the return or downflow path may be contained within the cross-sectional area of a single water rod. For example, the downflow path could be configured as an internal tube or as an outer annulus as discussed further herein. The siphon water rod may also be configured by interconnecting the tops of two adjacent flow paths. For such an interconnected configuration, it is also possible to incorporate part-length-rods (PLR's) in the region below the downflow path, as discussed further below. In all cases, there are two key elements to the disclosed design. First, all of the water rod flow is caused to travel upward from the bottom of the fuel bundle and then downward to the outlet near the fuel bundle mid-plane (i.e., there are no intermediate outlets along the flow path). Second, flow resistances are comparable to current water rod designs such that water rod flows are sufficient to avoid steam content during normal reactor operation(this implies the upflow and downflow portions have similar flow characteristics). For current water rod designs, water flows are determined by the imposed pressure differential across the inlet and outlet openings minus the fluid density head within the water rod. Thus, current design water rod flow will be zero when the imposed pressure differential just equals the fluid density head in the water rod. For the designs in accordance with this invention, the water rod flow is also driven by the fuel bundle pressure differential imposed between the water rod inlet and outlet openings. However, that imposed pressure differential is significantly lower than current designs (where the outlet is at the top of the water rod) due to the outlet being placed at a much lower elevation in the fuel bundle. However, the net fluid density head working against the flow is also reduced for the siphon water rod, being the net difference between the fluid density heads in the upflow and downflow paths. Thus, when both paths of a siphon water rod are filled with liquid, it is possible to achieve relatively high flows through the water rod even with relatively low imposed pressure differentials. This is the same basic feature utilized for other siphon flow applications (although typical siphon applications make the downflow portion longer than the upflow portion in order to produce net flow even with zero pressure differential between the inlet and outlet openings). It will thus be appreciated that SWR's can be designed such that for normal reactor operation, the flow and subcooling entering the water rod are sufficient to maintain liquid water throughout the water rod. Under such circumstances, the siphon water rod provides the same neutron moderation capability as standard water rod designs. However, significant performance difference occurs at reduced reactor flow rates. As the imposed pressure differential decreases, a small amount of steam formation occurs in both current and siphon water rod designs. However, in a siphon water rod such steam formation starts in the downflow path, reducing the fluid density head. That produces a positive feedback by further reducing the water rod flow and causing more steam formation. When sufficient steam formation occurs, the siphon effect is broken and the downflow path quickly fills mostly with steam. Under this condition, the upflow path performs like a standpipe with a density head determined by the imposed pressure differential. Thus, breaking the siphon effect provides the large reduction in water rod liquid content that is needed to improve reactor stability margins at low flow rates. Accordingly, in its broader aspects, the present invention relates to a fuel bundle assembly for a boiling water nuclear reactor comprising a plurality of fuel rods having respective fuel columns therein, and arranged in an ordered array, extending between upper and lower tie plates, the plurality of fuel rods enclosed within a hollow, open-ended channel member at; at least one water rod supported on the lower tie plate and extending upwardly toward the upper tie plate, the at least one water rod having an upward flow path including at least one inlet at a lower end of the upward flow path, and a downward flow path including at least one outlet at a lower end of the downward flow path, the at least one outlet located about midway along the fuel columns within the fuel rods. Other objects and advantages will become apparent from the detailed description which follows. |
055740774 | description | DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT The invention of a new microwave-absorbing material containing polar icosahedral units originates from the discovery that polycrystalline ortho- and meta-carborane have high dielectric loss at microwave frequencies and potentially high transparencies in the visible and infrared (IR) spectral regions. The icosahedral cage-like molecular structures of ortho-carborance (oCB) and meta-carborane (mCB) are shown in FIGS. 1-3, along with that of the para-carborane (pCB) isomer. Although not shown in FIGS. 1-3, each carbon and boron atom has one hydrogen atom bonded to it. The microwave dielectric properties measured at room temperature for polycrystalline samples of oCB, mCB, and pCB are compared with those of liquid water in Table I. TABLE I __________________________________________________________________________ Measured microwave dielectric properties for ortho-, meta-, and para-carborane and water. Material Material T(.degree.C.).sup.b v(GHz).sup.c .epsilon..sub.r .epsilon..sub.i .alpha.(dB/cm).sup.d Structure __________________________________________________________________________ ortho-carborane 25 8.5 6.6 11.3 28 Polycryst. Solid meta-carborane 25 8.5 4.8 3.5 12 Polycryst. Solid para-carborane 25 8.5 2.5 0.02 0.1 Polycryst. Solid water 25 10 55 40 46 Liquid __________________________________________________________________________ a. Measurement for water taken from "Electronics Designers's Handbook," Second Edition, edited by L. J. Giacolleto, McGrawHill, New York, 1977, p 2-47. b. Measurement temperature. c. Measurement frequency. d. Absorptivity of the material. The microwave dielectric properties for oCB and mCB are seen to be very large for polycrystalline polar dielectrics and compare well with those of liquid water. In contrast, the low microwave polarization response measured for pCB is typical of a solid composed of non-polar molecules. The structures of the carboranes shown in FIGS. 1-3 indicate that oCB and mCB should have large molecular dipole moments, while pCB should have no dipole moment. This distinction between pCB and the two polar carboranes is discussed in greater detail below with regard to the origin of their polarization responses at microwave frequencies. The important conclusion that can be drawn from the data presented in Table I is that polycrystalline oCB and mCB are excellent microwave absorbers. Of particular interest is the result that the imaginary part of the dielectric constant (.epsilon..sub.i) for oCB is about two times larger than the real part (.epsilon..sub.r). This finding is significant because it makes the task of matching the impedance of air to that of the polycrystalline oCB and mCB surface less difficult. The microwave dielectric properties of polycrystalline oCB and mCB make them strong candidates as microwave-absorbing materials. As to their visible and IR properties, the major electronic absorption band for the carboranes is in the ultra-violet spectrum (.lambda..sub.max <250 82 m) like that for water (.lambda..sub.max =165.5 nm; G. Herzberg, "Molecular Spectra and Molecular Structure. III. Electronic Spectra and Electronic Structure of Polyatomic Molecules," Van Nostrand, N.Y., 1966, pg. 585). Thus, like water, the carboranes have very little intrinsic absorptivity in the visible spectral region. An IR absorption spectrum measured for mCB dissolved in carbon tetrachloride solvent is shown in FIG. 4. In the important IR spectral region of 3-5 .mu.m (3333-2600 cm.sup.-1), there is one absorption band of medium strength at .about.3070 cm.sup.-1 (.about.3.26 .mu.m) that is due to the stretching vibration of the C--H bond, and one very strong absorption band at .about.2600 cm.sup.-1 (.about.3.84 .mu.m) that arises from the stretching vibration of the B--H bond. In the important IR spectral region of 8-11 .mu.m (1250-910 cm.sup.-1), there are several absorption bands of medium strength that are primarily due to the stretching, bending, and twisting of the entire carborane cage structure. (T. A. Green, A. C. Switendick, and D. Erwin, J.Chem, Phys. 89, 6815 (1988)). The IR absorptivity of mCB in the 5-8 .mu.m region (1000-1250 cm.sup.-1) is very close to zero, which is opposite to the high IR absorptivity of water in this region. The oCB and pCB isomers have IR absorption spectra similar to that measured for mCB, with some slight shifts in the center frequencies of the absorption bands. (L. A. Leites and S. S. Buklalov, Bull. Acad Sci. USSR Chem. Sci.38, 2079 (1989); and references therein.) The modest IR absorptivity measured for mCB in the 8-11 .mu.m region indicates that an mCB-containing microwave absorber could be considered to be somewhat transparent in this spectral region. The high IR absorptivity measured for mCB in the 3-5 .mu.m wavelength range could be addressed by substituting the hydrogen atoms in the molecule with the heavier deuterium atoms. This substitution would result in lower resonance frequencies for the stretching vibrations of the C--D and B--D bonds than those for the C--H and B--H bonds. In fact, the highly absorptive B--D stretching vibration would be shifted into the 5-11 .mu.m region and the C--D vibration would be located near 4.4 .mu.m. (L. A. Leites et al., id., and references therein.) Deuteration of the carboranes would have little or no effect on the absorption bands in the 8-11 .mu.m wavelength range. Fortunately, these bands have medium IR absorptivities. Thus, it appears possible to synthesize carboranes with modest absorptivities in the important IR spectral regions of 3-5 .mu.m and 8-11 .mu.m. The extent to which these absorptivities should be minimized will depend on the thickness required for microwave absorption. Because the polycrystalline oCB and mCB solids are so absorptive in the microwave frequency range (see Table I), it could be possible to work with layer thicknesses small enough so that IR absorption is not a major concern. The intrinsic, molecular absorptivities of the carboranes in the visible and IR spectral regions are either very low or have the potential of being adjusted to low values through slight modifications of the molecular structure. However, the IR and visible transparencies of carborane-containing microwave absorbers are not completely defined by their intrinsic, molecular absorptivities, but also by the scattering of IR and visible light. Polycrystalline oCB and mCB are white opaque solids because of the scattering of visible light at the interfaces between the crystalline domains. This scattering can be minimized by using single crystalline materials or by dispersing the carborane molecules in a host matrix that does not promote the aggregation of carborane molecules. The host matrices to be considered for the carboranes are described in detail below. 1. Origin of the Microwave Response. Polycrystalline meta- and ortho-carborane are considered polar dielectrics. Polar dielectrics are materials consisting of molecular units with permanent dipole moments. The dipole moments of these units will attempt to align themselves with respect to an applied electromagnetic field oscillating at angular frequency .omega.. This alignment is opposed by the effects of thermal agitation and intermolecular interactions. The amount of alignment for a given applied electric field strength is related to the real part of the complex permittivity .epsilon..sub.r, and the damping of this alignment is related to the imaginary part .epsilon.e.sub.i. The damping of the electric-field-induced orientational motion of the molecular units in a polar dielectric can result in a significant dielectric loss in the microwave frequency range, which makes these materials of interest as microwave absorbers. Liquid water is a well-known example of a polar dielectric that is an excellent microwave absorber. In the case of polycrystalline oCB and mCB solids, earlier measurements of (1) the dipole moments of carboranes (A. W. Laubengayer and W. R. Rysz, Inorg. Chem. 4, 1513 (1965); V. I. Stanko, et al., Zhurnal Strukturnoi Khimii 8, 928 (1967); A. I. Echeistova et al., Zhurnal Strukturnoi Khimii 8, 928 (1967), 933 (1967); R. Maruca, et al., Inorg. Chem 6, 572 (1967)), and carboranes halogenated at the carbon atoms (V. I. Stanko et al., Zhurnal Strukturnoi Khimii 8, id.; A. I. Echeistova et al., Zhurnal Strukturnoi Khimii 8, id.; R. Maruca et al., Inorg. Chem 6, id.; and (2) the nuclear spin-lattice relaxation times of carboranes (P. Beckmann et al., J. Chem, Phys. 72, 4600 (1980); R. K. Harris et al., Spectrochimica Acta 44A, 273 (1988;)), combined with applicants' microwave dielectric measurements, have led to applicants' conclusion that the microwave dielectric response of oCB and mMB is principally due to the orientational motion of the molecule and its dipole moment. This conclusion is strongly supported by applicants' finding that the microwave dielectric response for the non-polar pCB is very low, while the responses for oCB and mCB are very high. The magnitudes of the dipole moments measured for oCB and mCB in a very dry cyclohexane solvent at 25.degree. C. are 4.31.+-.0.08 D and 2.78.+-.0.6 D, respectively (R. Maruca et al., Inorg. Chem 6, id.) As expected, the magnitude of the dipole moment measured for pCB in a benzene solvent at 25.degree. C. is 0.0 D (A. W. Laubengayer et al., Inorg. Chem. 4, id.). Measurements of the refractive indices of oCB and mCB in a benzene solvent at 25.degree. C. shows that the electronic polarization of oCB and mCB contributes little to their total polarization (R. Maruca et al, Inorg. Chem 6, id.). Consequently, the orientational polarization of the molecular dipole dominates the total polarization at radiation frequencies up to the reorientation frequency for the carborane molecule. This frequency is in the GHz range for solid samples of oCB and mCB. P. Beckmann and A. J. Leffler, J. Chem, Phys. 72, 4600 (1980); R. K. Harris, J. Bowles, I. R. Stephenson, and E. H. Wong, Spectrochimica Acta 44A, 273 (1988). The reorientation frequency for a polycrystalline sample of oCB was estimated through the measurement of the .sup.1 H nuclear spin-lattice relaxation time T.sub.1 for the sample as a function of temperature (P. Beckmann et al., J. Chem, Phys. 72, id.). The reorientation frequency v.sub.or is usually assumed to have a simple Arrhenius dependence on temperature EQU v.sub.or =v.sub..infin. exp (-.DELTA.E.sub.a /kT) (1) where v.sub..infin. is a temperature-independent frequency, .DELTA.E.sub.a is the activation energy required for reorientation, k is Boltzmann's constant, and T is temperature. The plot of 1nT.sub.1 vs. T.sup.-1 generates values for .DELTA.E.sub.a and v.sub..infin., which are determined to be 124.8.times.10.sup.-3 eV/molecule and 3.57.times.10.sup.12 Hz for oCB (P. Beckmann et al., J. Chem, Phys. 72, id.). The insertion of these values into Eq. (1) yields v.sub.or =27.7 GHz at room temperature. The .sup.11 B and .sup.13 C T.sub.1 's measured (R. K. Harris et al, Spectrochimica Acta 44A, id.) for polycrystalline samples of oCB and mCB are very similar, and so the v.sub.or estimate for oCB should be approximately the same for mCB. The value v.sub.or implies that electromagnetic radiation applied to a solid sample of oCB or mCB at frequencies near that of v.sub.or will approach a resonance condition resulting in large values of .epsilon..sub.r and .epsilon..sub.i. This hypothesis is consistent with the dielectric properties measured for oCB and mCB in the 8-12 GHz frequency range (see Table I). Additional support for this interpretation of the microwave dielectric properties of oCB and MCB in terms of the orientational motion of the molecular dipole is provided by the measurement of the microwave dielectric properties for the high-temperature adhesive, poly (carboranesiloxane) or PCS. As shown in FIG. 5, the "mCB" cages in PCS are connected to each other via siloxane chains, and therefore are very constrained in their orientational motion. As a result, the .epsilon..sub.r and .epsilon..sub.i for PCS are much less than those for mCB: at 8.5 GHz .epsilon..sub.r =2.36 and .epsilon..sub.i =0.1 for PCS, while .epsilon..sub.r =4.80 and .epsilon..sub.i =3.50 at 8.5 GHz for polycrystalline mCB. It is likely that the bonding of the silicon atoms to the carbon atoms in mCB alters the dipole moment of the cage, but this effect on the dielectric properties is felt to be much less than the constraint on the motion of the cage. The challenge in synthesizing microwave-absorbing materials based on mCB or similar polar cages is to find a host matrix/lattice that will give the cages large orientational mobilities. The first example of a polymeric host matrix for oCB is a mixture of oCB and poly(methyl methacrylate) or PMMA in a weight percent ratio of 55/45. The .epsilon..sub.r =3.0 and .epsilon..sub.i =1.3 measured at 8 GHz for the mixture is considerably lower than that measured for polycrystalline oCB (.epsilon..sub.r =7.02 and .epsilon..sub.i =11.9), but is an improvement over the .epsilon..sub.r =2.6 and .epsilon..sub.i =0.2 measured at 8 GHz for pure PMMA. 2. Synthesis of Microwave-Absorbing Materials. Novel microwave-absorbing materials are described that contain polar icosahedral molecular units. These materials not only display high dielectric loss at microwave frequencies, but can be transparent in the visible and IR spectral regions. The major synthetic challenge is the incorporation of the polar icosahedral units into an IR- and visi- ble-transparent host matrix material without severely limiting the orientational mobility of the "microwave-active" units. Two approaches to this incorporation are described. First, blends of polymers, or other host matrices with polar icosahedral molecular units are possible. The units are not covalently bonded to the host matrix in this case. Second, the units can be covalently bonded in a pendant manner to the polymer chain. Single point attachment of the molecules is expected to have the least effect on their orientational mobility. The microwave dielectric properties of materials containing polar icosahedral molecular units can be engineered not only by modifying the orientational mobility of the microwave-active units, but also by changing their polarities, i.e., dipole moments. For example, it has been shown that the magnitude of the dipole moment for o-carborane could be increased by halogen substitution of the two boron atoms opposite to the carbon atoms (R. Maruca et al., Inorg. Chem 6, id.); H. D. Smith et al., Inorg. Chem. 4,107 (1965)). This increase in the molecular dipole moment of the carborane molecule is expected to result in an improvement of the microwave dielectric response of a material containing this molecule. 3. Improved Polar Icosahedral Molecular Units. Three examples of improved polar icosahedral molecular units are described below. a. The placement of electronegative substituents (e.g., bromine as shown in FIG. 6) on the corborane cage borons opposite to the carbons has been shown to increase the dipole moment of the cage (R. Maruca et al., Inorg. Chem 6, id.; H. D. Smith et al., Inorg. Chem. 4, id.). PA1 b. The placement of electropositive groups on carborane ring carbons will also increase the dipole moment. Very few good examples of this are seen in the literature. However, possibilities that exist include the C-silicon (and other like atom, for example, titanium, zirconium, tin or aluminum). In the case of ortho-carborane, these derivatives can be made by the reaction shown in FIG. 7. In FIG. 7, "R" is selected from the group consisting of a C1 to C6 alkyl group, an unsubstituted aryl group and a substituted aryl group. "X" is a suitable leaving group to nucleophilic attack. For example, X is a halogen (F, Cl, Br); in practice, X is typically Cl. PA1 c. The functionalized C.sub.60 or C.sub.70 icosahedral "Buckminsterfullerenes" represent another class of molecules that may provide microwave dielectric responses similar to the carboranes. An example of a functionalized "Buckminsterfullerene" that has a dipole moment is the molecule (t-B.sub.u C.sub.5 H.sub.4 N).sub.2 O.sub.s O.sub.4 C.sub.60, shown in FIG. 8. The synthesis of this molecule is described in "Crystal Structure of Osmylated C.sub.60 : Confirmation of the Soccer Ball Framework," J. M. Hawkins et al., Science 252, 312-313 (1991). PA1 a. Organic Polymers PA1 b. Inorganic Oxide Glasses PA1 (1) Dissolve o-carborane in a suitable dry, oxygen free solvent, e.g. diethylether, 10% by weight. PA1 (2) Into the solution is added, e.g., n-butyllithium in hexane (1 equivalent). PA1 (3) After one hour the solution of lithiated o-carborane is added to a solution of tetraethoxyl silane (TEOS) to produce the C-silicon-trialkoxy-o-carborane derivative. After the reaction is complete, the solution is filtered to remove the lithium ethoxide byproduct and the solution is concentrated and the product isolated as described in the above example. PA1 c. Gel and Foams PA1 d. Carborane Polymers Other moieties may also be selected, including a phosphate ester, ion, or sulfate. The bromination of carborane at the cage borons has been accomplished by electrophilic attack (H. D. Smith et al., Inorg. Chem. 4, id.). Other electrophilic reagents might be used to create other electronegative substituents on the carborane cage borons. Interestingly, these substituents will also decrease the overall flammability and smoke generating characteristics of the carborane. Therefore, derivatization of the cage borons via electrophilic substitution is a preferred approach to improve both the microwave dielectric and thermo-oxidative properties of carborane-like molecules. FIG. 7 describes the synthesis of air and hydrolytically (environmentally stable) stable C-metalated o-carborane molecules. For the case of the mono-C-metalated derivatives, they can be made by dissolving o-carborane in a suitable dry, oxygen free solvent (for example diethylether, 10% by weight). Into the solution is added, for example, n-butyllithium in hexane (1 equivalent). After a period of time to complete the reaction, for example, one hour, the solution of lithiated o-carborane is added to a solution of the substituted metal X compound where R is the substituted part, defined above, X is a suitable leaving group, defined above and M is selected as silicon, titanium, zirconium, tin or aluminum. After the reaction is complete, for example, one hour, the solution is filtered to remove the MX salt, the solvent is removed, for example, by simple distillation or rotary evaporation, and the product is isolated via distillation in the case of liquids, or crystallization for the case of solids. For the case of the di-C-metalated derivatives, they can be made by dissolving o-carborane in a suitable dry, oxygen free solvent, for example diethylether, 10% by weight. Into the solution is added, for example, n-butyllithium in hexane (2 equivalents). The resulting mixture is filtered via inert atmosphere techniques, for example, in a dry box, to isolate the solid di-lithio salt. The solid dilithio salt is added to an excess (greater than 2 equivalents) of a solution of the substituted metal X compound where R is the substituted part, defined above, X is a suitable leaving group, defined above, and M is selected as silicon, titanium, zirconium, tin or aluminum. The solution is filtered to remove the MX salt, the solvent is removed, for example, by simple distillation or rotary evaporation, and the product is isolated via distillation in the case of liquids, or crystallization for the case of solids. The use of derivatized o-carborane molecules give the synthetic chemist another method of increasing the relative dielectric response and improving other properties of carborane-like molecules. Synthetic methodology therefore exists to create dipoles on this cage ring structure. The dipole is expected to have outstanding mobility in the matrix due to the large cage ring spaces, and because dipole-dipole forces are the principal intermolecular interactions between the cages. 4. Host Matrices. The blending of o-carborane and similar polar icosahedral molecular units into a host matrix is a particularly simple method to create high loss materials. Three approaches are described: (1) blending into organic polymers, (2) inorganic oxide glasses derived from sol-gel chemistry, and (3) gel/foam-type derivatives. These approaches will give a variety of different materials with minimal effort. Both high modulus organic polymer glasses and low modulus materials (rubbers, liquids) can be used as host matrices. Candidate host matrices should be as compatible as possible with the polar icosahedral molecules to keep phase separation to a minimum. The carborane-siloxane rubber is a good candidate for rubber phases. Also included are normal rubber (poly cis-isoprene) and silicone rubbers and fluids. High modulus organic polymers can be blended with the polar icosahedral molecules, e.g., poly-styrene, poly-carbonate (e.g., the material sold under the trademark LEXAN), and poly-acrylates. The blending procedure involves simple mixing of the host matrix material with the polar icosahedral molecules. Polar icosahedral molecules can also be loaded into inorganic oxide glass matrices via a sol-gel approach. This loading can be accomplished through (1) dissolving the molecules into the sol containing the inorganic oxide precursors, (2) vapor deposition of the molecules into the sol-gel-derived inorganic oxide matrix, or (3) covalent attachment Of the molecules to the matrix. An example of the vapor deposition of polar icosahedral molecules into a sol-gel-derived inorganic oxide glass matrix is provided in the related application, Ser. No. 870,532, filed Apr. 17, 1992 entitled "Broadband Absorbers of Electromagnetic Radiation Based on Aerogel Materials, and Method of Making the Same," by Brian M. Pierce, T. K. Dougherty, N. H. Harris, J. R. Chow, and assigned to a common assignee with the present application. The entire contents of this application are incorporated herein by this reference. In this application, the vapor deposition of ortho- and metacarborane into a sol-gel-derived silica aerogel is described. This procedure can also be used to load a sol-gel-derived silica aerogel with other polar molecules such as water, propylene carbonate, methanol and nitromethane. An example of the covalent attachment of polar icosahedral molecules to a sol-gel-derived inorganic oxide glass matrix is described below for o-carborane and silica. First, a derivative of o-carborane is produced that is capable of being covalently attached to the silica sol-gel: The above sequence of reactions is summarized in FIG. 9. After producing the C-silicon-trialkoxy-o-carborane derivative, the derivative is covalently attached to a sol-gel-derived silica matrix following the procedure described in the related application, "Broadband Absorbers of Electromagnetic Radiation Based on Aerogel Materials," attorney document number PD 92027. The gel approach involves the production of ion-stabilized gels of crosslinked polymers. The gel-forming material is propylene carbonate. In a typical gel-forming reaction, the diacrylate monomer, propylene carbonate and photoinitiator are stabilized by solvation with a salt. The mixture is polymerized via irradiation of UV light to give the crosslinked gel. These materials are visibly transparent gels and represent an interesting mobile phase for polar, icosahedral molecules. These molecules can be loaded into the gels to create new materials with an interesting microwave dielectric response. Making visible-and IR-transparent polar dielectrics is possible with this technique. Second, foams can also be employed in making loaded polymer materials. Millar et al. has described the synthesis of macroreticular resins (J. R. Millar et al., J. Chem. Soc., Pt. 1, 218 (1963)). In these experiments, a mixture of styrene and divinyl benzene are polymerized in a poor solvent. Out of these reactions come low density materials which are porous and have high surface area. These materials are used in standard commercial ion exchange resins. These materials can be loaded with polar, icosahedral molecules to increase the dielectric response. The molecules can be added either during or after the polymerization. These materials are intriguing because the low dielectric pores can be mixed with the high dielectric polar molecules in a controlled fashion. In this way, materials with refractive index gradients can be prepared to decrease the front-surface reflection of electromagnetic radiation. These materials might also be made by loading carborane-type materials into other foam matrices. Loaded foams can provide for tailorable dielectric properties with little new chemistry involved. The preceding sections describe the techniques in loading polar, icosahedral molecules into a variety of organic and inorganic matrices. These techniques incorporate simple approaches to create new dielectric materials. However, there are possible problems associated with phase separation and diffusion of the polar isosahedral molecules out of the matrix. Another approach is the covalent attachment of polar, icosahedral molecules to polymer matrices. This approach is presently considered to be the best solution to make optically clear and environmentally stable materials of this type. This approach is discussed below. In order to create different materials with polar icosahedral molecules covalently attached to the host matrix, standard chemical synthetic methods can be used on the polar icosahedral molecule carborane. Two different techniques can be used to make the materials: 1) carborane cages are grafted onto a polymer matrix, or 2) monomers with carborane covalently attached can be synthesized and then polymerized or copolymerized in a standard manner to produce the materials. These approaches are discussed in the next sections. 1. Grafting The grafting of a functionalized o-carborane to a reactive polymer chain is described. Although many alternative chemistries can be used, a lithiated carborane anion for a nucleophilic replacement of a reactive polymer chain is described here. One such alternative is shown in FIG. 10. As shown in FIG. 10, o-carborane is dissolved in a suitable dry, oxygen free solvent, for example, diethylether, 10% by weight. Into the solution is added, for example, n-butyllithium in hexane (1 equivalent). After one hour, the solution of lithiated o-carborane is added to a solution of poly(vinylbenzylchloride). The amount of poly(vinylbenzylchloride) can be adjusted to alter the amount of o-carborane grafted and to alter the dielectric properties. The solution is filtered to remove the LiCl salt, and the grafted o-carborane onto the poly(vinylbenzylchloride) can be isolated by evaporation of the solvent. By varying the stoichiometry of the displacement reaction, different concentrations of the o-carborane on the polymer chain can be produced. These variants will have different physical and dielectric properties and be useful for making high microwave dielectric loss materials. 2. Polymerization and Copolymerization Two approaches to polymerization are described. First, it is possible to synthesize polymers of vinyl carborane, as described in U.S. Pat. No. 3,109,031, "Organoboron Polymers and Process of Making Same," H. L. Goldstein et al. The second approach is the synthesis of three acrylate monomers of o-carborane: C-carbon, C-silicone, and C-carbonyl moieties. These alternatives provide the possibility of changing and improving the dipole moment of the carborane and therefore the dielectric response of the materials. These molecules could be made by standard synthetic techniques as shown in FIGS. 11A and 11B. They are all formed from the lithiated o-carborane. The synthetic process of FIGS. 11A and 11B includes the following steps. The o-carborane is dissolved in a suitable dry, oxygen free solvent, for example diethylether, 10% by weight. Into the solution is added, for example. n-butyllithium in hexane (1 equivalent). The lithiated o-carborane is reacted with: Arrow 1 reaction (FIG. 11A): methacryloyl chloride. The C-methacrylo-o-carborane (MOLECULE 1) thus formed is isolated by filtration and concentration; Arrows 2 reaction (FIG. 11A): SiMe.sub.2 Cl.sub.2. The SiMe.sub.2 Cl.sub.2 derivative (MOLECULE 2) is isolated via filtration and concentration as described before. This is hydrolyzed, for example in a mixture of THF (tetrahydrofuran) and water, to give the SiMe.sub.2 OH derivative (MOLECULE 3) which can be reacted in base, for example, pyridine, with methacryloyl chloride to give the C-dimethylsilyl-methacryloxy-o-carborane (MOLECULE 4) isolated by filtration and concentration; Arrows 3 reaction (FIG. 11B). React with dichloromethane to give the molecule shown (MOLECULE 5) which can be hydrolyzed then reacted with methacryloyl chloride to give C-methyl-methacryloxy-o-carborane (MOLECULE 6). This is just one exemplary way to make the molecules shown. MOLECULES 1, 4, 6 can then be polymerized or copolymerized (with other vinyl monomers) to make polymers containing polar icosahedral molecules attached to the polymer chain. They can be polymerized or co-polymerized by heating in a suitable solvent, for example, toluene at 80 degrees C., in the presence of a free radical initiator, for example, azibisisobutyronitrile (AIBN), for a period of time, for example, eight hours. In the case of co-polymers they will be polymerized in the presence of another vinyl monomer, for example, styrene. Removal of solvent gives the materials. Applications of microwave-absorbing material containing polar icosahedral units There are several applications of microwave-absorbing materials containing polar icosahedral units. One application is coatings for decreasing the observability of objects in the microwave-millimeter wave part of the electromagnetic spectrum. The visible and IR transparencies of the polar carboranes make coatings containing these molecules useful in reducing the observability of visible and IR windows in the microwave-millimeter wave spectrum. An additional exemplary application is to use polar icosahedral molecular units as additives that absorb microwave energy and assist in the microwave processing of polymers, e.g., the vulcanization of rubber, the crosslinking of epoxy resins, the curing of polymides and elastomers, the phase control of thermoplastic toughened epoxies, and the heating of thermoplastics. It is understood that the above-described embodiments are merely illustrative of the possible specific embodiments which may represent principles of the present invention. Other arrangements may readily be devised in accordance with these principles by those skilled in the art without departing from the scope and spirit of the invention. |
042697280 | description | DETAILED DESCRIPTION OF THE INVENTION The repositories used in accordance with the invention may be of conventional design. For example, tanks or other suitable containers to be used for the storage of nuclear waste, conventionally have diameters of from 6 to 18 feet. In most cases, containers with diameters of 15 or 16 feet are used. The spent radioactive fuel elements from nuclear reactors are introduced into the above-described repositories. Subsequently, these fuel elements are in their entirety surrounded by sulfur. Sulfur has the advantage that it is non-corrosive and is not subject to radiation damage. As a result, sulfur is an extremely stable material under radioactive conditions. Since the sulfur is non-corrosive, it does not effect dissolution of the metallic parts of the fuel elements or the repositories. Thus, the sulfur itself does not represent a radiation hazard. Moreover, of course, in the event of a leak, the sulfur is not absorbed either into the ground or the atmosphere and since it does not contain radioactive salts in any event, the danger of a radioactive contamination is minimized. In a preferred embodiment according to the present invention, the sulfur in which the fuel elements are stored is continuously kept at a temperature of more than about 112.degree. C. to maintain the sulfur in the liquid state. Accordingly, should a leak occur, the sulfur will immediately solidify at the leakage point where it is exposed to ambient temperatures below 112.degree. C. As a result, it is ensured that the liquid sulfur will not escape from the container and the radioactive fuel elements are not exposed to the atmosphere. In accordance with another feature of the present invention, the decay heat given off by the spent fuel is utilized to maintain the temperature of the sulfur at a level above the melting point of the sulfur. For this purpose, the fuel elements are spaced within the repository in which they are stored sufficiently close so that the temperature in the sulfur which surrounds the fuel elements is kept at a level of at least 112.degree. C. throughout the repository and the sulfur is constantly maintained in the molten state. The method of the present invention may be implemented in a variety of ways. Generally, the spent fuel elements can be introduced into a cylinder which is usually made of metal. The sulfur is then added to the cylinder in either the molten or solid particulate form so as to completely surround the fuel elements. If the sulfur is in the form of a solid particulate, the cylinder may be heated to make the sulfur molten. Thereafter, it may be necessary to add additional particulate sulfur in order to make certain that the elements are totally immersed. Also, it may be desirable to continue the heating of the molten sulfur for a period of time sufficient to make certain that there are no air bubbles entrapped in the molten sulfur. Thereafter, the molten sulfur can be allowed to solidify about the elements. As noted hereinabove, depending on the placement of the elements, when the individual cylinders containing the elements are placed into the final repository, they may be spaced at an appropriate distance so that any decay heat given off by the elements may be sufficient to keep the sulfur in the molten state. |
abstract | A solid state sub-nanometer-scale electron beam emitter comprising a multi-layered structure having a nano-tip electron emitter and tunnel emission junction formed on substrate, an initial electron beam extraction electrode, a “nano-sandwich Einzel” electrode, and a topmost protective layer. |
|
description | 1. Field of the Invention The present invention relates to a medical system (referred to as a “particle beam therapy system”, hereinafter) that performs therapy by irradiating a charged particle beam (referred to as a “particle beam”, hereinafter), exemplified by a heavy particle beam such as a carbon beam or a proton beam, onto the diseased site of a cancer or the like. 2. Description of the Related Art Among medical systems that have been developed earlier than particle beam therapy systems and perform therapy by utilizing a radiation such as an X-ray, there has been proposed a medical system that performs therapy of a diseased site evenly with a high dose by irradiating radiations, whose intensity are adjusted, from many directions so that exposure of peripheral tissues is reduced. Here, irradiation onto a diseased site from many directions is referred to as multi-port irradiation. A number of methods have been proposed for multi-port irradiation; they are exemplified, for example, by IMRT (Intensity-Modulated Radiotherapy: referred Documents 1 and 2 in non-patent document 1), which is proposed mainly by Siemens and in which “step and shoot” is performed, and IMAT (Intensity-Modulated Ark Therapy: referred Document 3 in non-patent document 1), which is proposed mainly by ELEKTA. In Patent Document 1, there is proposed a radiation irradiation apparatus that is provided with a plurality of compensators for changing the spatial pattern of the X-ray intensity distribution for each irradiation direction so as to apply a high absorption dose only to a diseased site and that performs multi-port irradiation while automatically changing compensators in accordance with irradiation directions. [Patent Document] [Patent Document 1] Japanese Patent Application Laid-Open No. 2005-37214 (FIGS. 17 through 21)[Non-Patent Document] [Non-Patent Document 1] Sake Taira. IMRT with Combined Rotating and Fixed Multi-port Irradiation (Cutting Field IMRT). MEDICAL REVIEW NO. 87 (2002); PP. 44-48. [Non-Patent Document 2] Emergency statement for Intensity-Modulated Radiotherapy. JASTRO NEWSLETTER 2002; 63(3): PP. 4-7. With regard to a radiation therapy system utilizing an X-ray or the like, IMRT has been widely applied to clinical practices for a head and neck area, a prostate, and the like and has achieved a superior performance; on the other hand, the problem of excess irradiation has been pointed out. According to Non-Patent Document 2, it is warned that, depending on the contents of a treatment plan, IMRT eventually brings about a phenomenon that is caused by excess irradiation and is harmful to normal tissues, regardless of consciously increasing one-time dose or total dose, or on the contrary, there is caused a risk that underdose irradiation due to being conservative provides an insufficient treatment effect. It is conceivable that one of the causes of the excess irradiation is insufficient irradiation flexibility. The final irradiation field of IMRT in the radiation therapy system utilizing an X-ray or the like described in any one of referred Documents 1 through 3 in non-patent document 1 and non-patent document 2 is realized by superimposing two or more irradiations on one another, utilizing as parameters (1) irradiation energy, (2) an irradiation angle, (3) a transverse-direction irradiation-field limitation through a multileaf collimator referred to as a “MLC”, hereinafter) or the like, and (4) an irradiation dose (weight). In this case, no depth-direction irradiation-field limiter is utilized. The depth-direction irradiation-field limiter is exemplified by a bolus utilized in a particle beam therapy system. The changing form of a diseased site in the depth direction is referred to as a distal form. A bolus is an energy modulator obtained by machining in accordance with this distal form; the energy modulator is formed by machining polyethylene or wax for each patient. An irradiation apparatus provided with a bolus is disclosed, for example, in FIG. 21 of Patent Document 1; this irradiation apparatus can make the shape of an irradiation field coincide with the distal form of a diseased site. However, in a particle beam therapy system, a single bolus cannot be applied as it is to multi-port irradiation. At first, in the case of IMRT, it is required to prepare respective boluses for two or more irradiation directions. In the radiation irradiation apparatus disclosed in Patent Document 1, the compensator, which corresponds to a bolus, can automatically be moved; however, there has been a problem that machining of the bolus requires many labor hours and costs. In the case of IMAT, there has been another further difficult problem; it is required to automatically change the bolus shape in accordance with the irradiation angle that changes on a moment-to-moment basis. At present, this kind of dynamic shape change cannot be realized by a bolus. Accordingly, when the IMRT technology for a radiation therapy system utilizing an X-ray or the like is applied as it is to a particle beam therapy system having a conventional wobbler system, there still exists the problem that it is required to utilize two or more boluses. It is not possible to limit the irradiation field in the depth direction without utilizing a bolus, i.e., it is not possible to raise the irradiation flexibility; therefore, it is impossible to solve the problem of excess irradiation without utilizing a bolus. The objective of the present invention is to solve the foregoing problems. In other words, the objective of the present invention is to solve the problem of excess irradiation in IMRT by a particle beam therapy system. More specifically, the problem of excess irradiation in IMRT by a particle beam therapy system is solved by raising the irradiation flexibility in the depth direction, without utilizing a bolus. There is provided a particle beam irradiation apparatus having a scanning irradiation system that performs scanning with a charged particle beam accelerated by an accelerator and being mounted in a rotating gantry for rotating the irradiation direction of the charged particle beam. The particle beam irradiation apparatus includes a columnar-irradiation-field generation apparatus that generates a columnar irradiation field by enlarging the Bragg peak of the charged particle beam. The particle beam irradiation apparatus according to the present invention performs irradiation in such a way as to generate a columnar irradiation field, which is obtained by enlarging the Bragg peak of a charged particle beam, at the depth corresponding to the distal form of an irradiation subject; therefore, the problem of excess irradiation in IMRT by a particle beam therapy system can be solved by raising the irradiation flexibility in the depth direction, without utilizing a bolus. The foregoing and other object, features, aspects, and advantages of the present invention will become more apparent from the following detailed description of the present invention when taken in conjunction with the accompanying drawings. There will be considered IMRT through columnar scanning irradiation, which is the feature of the present invention. In normal spot scanning, a beam spot is irradiated onto a diseased site in a three-dimensional manner, as if painting is performed in a pointillist manner. As described above, the spot scanning is a high-flexibility irradiation method; on the other hand, it takes a long time to perform irradiation onto the whole diseased site. IMRT takes a further long time because it is multi-port irradiation. Accordingly, by enlarging the BP (Bragg peak) in the depth direction comparison with the spot scanning, a columnar irradiation field is generated. FIG. 1 is a configuration diagram illustrating a particle beam irradiation apparatus according to Embodiment 1 of the present invention. The particle beam irradiation apparatus 58 is provided with a columnar-irradiation-field generation apparatus 4 that generates a columnar irradiation field by enlarging the BP in the depth direction; X-direction and Y-direction scanning electromagnets 10 and 11 that scan a charged particle beam 1 in the X direction and the Y direction, respectively, which are directions perpendicular to the charged particle beam 1; position monitors 12a and 12b; a dose monitor 13; a scanning electromagnet power source 32; and an irradiation control apparatus 33 that controls the irradiation system of the particle beam irradiation apparatus 58. The X-direction scanning electromagnet 10, the Y-direction scanning electromagnet 11, and the scanning electromagnet power source 32 configure a scanning irradiation system 34 that performs scanning with the charged particle beam 1. The traveling direction of the charged particle beam 1 is the Z direction. The columnar-irradiation-field generation apparatus 4 is provided with an energy changing apparatus 2 that reduces the energy of a charged particle beam at a position before a diseased site 40, which is the irradiation subject, in the traveling direction of the charged particle beam so as to adjust the depth-direction (Z-direction) position (range) of the Bragg peak BP at the diseased site 40; and a depth-direction irradiation field enlargement apparatus 3 that changes the width of the charged particle beam 1 so as to enlarge the Bragg peak BP in the depth direction. The Bragg peak BP whose width in the depth direction of the diseased site 40, i.e., whose irradiation-direction width has been enlarged is referred to as a Spread-Out Bragg Peak SOBP. In this specification, the irradiation-direction width of the Spread-Out Bragg Peak SOBP is referred to as the depth of SOBP. The X-direction scanning electromagnet 10 is a scanning electromagnet that performs X-direction scanning with the charged particle beam 1; the Y-direction scanning electromagnet 11 is a scanning electromagnet that performs Y-direction scanning with the charged particle beam 1. The position monitors 12a and 12b detect the passing position through which the charged particle beam 1 that has been deflected by the X-direction scanning electromagnet 10 and the Y-direction scanning electromagnet 11 passes. The dose monitor 13 detects the dose of the charged particle beam 1. The irradiation control apparatus 33 controls the columnar irradiation field and the irradiation position on the irradiation subject 40, based on treatment plan data generated by an unillustrated treatment planning apparatus; when the dose measured by the dose monitor 13 reaches the target dose, the charged particle beam is stopped. The scanning electromagnet power source 32 changes setting currents for the X-direction scanning electromagnet 10 and the Y-direction scanning electromagnet 11, based on control inputs (commands), which are outputted from the irradiation control apparatus 33, to the X-direction scanning electromagnet 10 and the Y-direction scanning electromagnet 11. FIG. 2 is a configuration diagram illustrating an energy changing apparatus. FIG. 3 is a configuration diagram illustrating a depth-direction irradiation field enlargement apparatus. The energy changing apparatus 2 is provided with a range shifter 9 whose thickness changes in a stepped form in the width direction (X direction); deflection electromagnets 5 and 6 included in a pair of upstream deflection electromagnets that moves the position, of the charged particle beam 1, in the range shifter 9 through which the charged particle beam 1 passes; a first deflection-electromagnet power source 20 that energizes the pair of upstream deflection electromagnets; deflection electromagnets 7 and 8 included in a pair of downstream deflection electromagnets that returns the charged particle beam 1 that has passed through the range shifter 9 onto the original orbit; a second deflection-electromagnet power source 21 that energizes the pair of downstream deflection electromagnets; and a change control apparatus 22 that calculates the amount of movement, of the orbit of the charged particle beam, that is caused by the pair of upstream deflection electromagnets, based on an energy command value inputted from the irradiation control apparatus 33, and transmits an energization current value to the first deflection-electromagnet power source 20. The change control apparatus 22 also controls the second deflection-electromagnet power source 21. On a beam axis (Z axis) 14, the charged particle beam 1 enters the pair of upstream deflection electromagnets 5 and 6. The orbit of the charged particle beam 1 is moved in the horizontal direction (X direction) on the paper plane of FIG. 2. The deflection electromagnet 5 is to deflect the orbit; the deflection electromagnet 6 is to parallelize the orbit. The deflection electromagnet 5 for changing the orbit deflects the orbit of the incident charged particle beam 1 in such a way that the orbit thereof slants by a predetermined angle θ from the Z axis. The deflection electromagnet 6 for parallelizing the orbit deflects the orbit, which has been slanted from the Z axis by the deflection electromagnet 5 for changing the orbit, to an orbit that is parallel to the Z axis. At the downstream side of the range shifter 9, the deflection electromagnet 7 for deflecting the orbit and the deflection electromagnet 8 for parallelizing the orbit return the charged particle beam 1 onto the beam axis (Z axis) 14. The deflection electromagnet 7 for changing the orbit deflects the orbit of the charged particle beam 1 in such a way that the orbit thereof slants by (360°—the predetermined angle θ) from the Z axis. The deflection electromagnet 8 for parallelizing the orbit deflects the orbit, which has been slanted from the Z axis by the deflection electromagnet 7 for changing the orbit, to an orbit along the Z axis. The operation of the energy changing apparatus 2 will be explained. Because of the pair of upstream deflection electromagnets 5 and 6, the charged particle beam 1 introduced to the energy changing apparatus 2 travels on an orbit that is parallel to the Z axis and is apart from the Z axis by a predetermined distance toward the X direction. Then, as the charged particle beam 1 passes through a portion, of the range shifter 9, having a predetermined thickness, the energy thereof is reduced by an amount that is proportional to the thickness, and hence becomes desired energy. In such a manner as described above, the charged particle beam 1 whose energy has been changed to a desired level is returned onto the extended line of the original orbit, which was the orbit at a time when the charged particle beam 1 has been launched into the energy changing apparatus 2 by the pair of downstream deflection electromagnets 7 and 8. The energy changing apparatus 2 has an advantage in that, when the energy of a charged particle beam is changed so that the range is changed, no driving sound is produced when the range shifter is driven. In addition, the orbit of the charged particle beam 1 deflected by the pair of downstream deflection electromagnets 7 and 8 is not limited to the one that returns onto the beam axis 14; the orbit may be the one that is parallel to the beam axis 14 and returns toward the beam axis 14, or the orbit may be the one that is not parallel to the beam axis 14 and returns toward the beam axis 14. FIG. 3 is a configuration diagram illustrating a depth-direction irradiation field enlargement apparatus. The depth-direction irradiation field enlargement apparatus 3 is provided with a ridge filter 19 formed of approximately triangular prisms that are arranged in the width direction (X direction) and whose heights are different from one another, i.e., configured in such a way as to have a plurality of mountains that have different thickness distributions; deflection electromagnets 15 and 16 included in a pair of upstream deflection electromagnets that moves the position, of the charged particle beam 1, in the ridge filter 19 through which the charged particle beam 1 passes; a first deflection-electromagnet power source 23 that energizes the pair of upstream deflection electromagnets; deflection electromagnets 17 and 18 included in a pair of downstream deflection electromagnets that returns the charged particle beam 1 that has passed through the ridge filter 19 onto the original orbit; a second deflection-electromagnet power source 24 that energizes the pair of downstream deflection electromagnets; and a change control apparatus 25 that calculates the amount of movement, of the orbit of the charged particle beam, that is caused by the pair of upstream deflection electromagnets, based on an SOBP command value inputted from the irradiation control apparatus 33, and transmits an energization current value to the first deflection-electromagnet power source 23. The change control apparatus 25 also controls the second deflection-electromagnet power source 24. On a beam axis (Z axis) 14, the charged particle beam 1 enters the pair of upstream deflection electromagnets 15 and 16. The orbit of the charged particle beam 1 is moved in the horizontal direction (X direction) on the paper plane of FIG. 2. The deflection electromagnet 15 is to deflect the orbit; the deflection electromagnet 16 is to parallelize the orbit. The deflection electromagnet 15 for changing the orbit deflects the orbit of the incident charged particle beam 1 in such a way that the orbit thereof slants by a predetermined angle θ from the Z axis. The deflection electromagnet 16 for parallelizing the orbit deflects the orbit, which has been slanted from the Z axis by the deflection electromagnet 15 for changing the orbit, to an orbit that is parallel to the Z axis. At the downstream side of the ridge filter 19, the deflection electromagnet 17 for deflecting the orbit and the deflection electromagnet 18 for parallelizing the orbit return the charged particle beam 1 onto the beam axis (Z axis) 14. The deflection electromagnet 17 for changing the orbit deflects the orbit of the charged particle beam 1 in such a way that the orbit thereof slants by (360°—the predetermined angle θ) from the Z axis. The deflection electromagnet 18 for parallelizing the orbit deflects the orbit, which has been slanted from the Z axis by the deflection electromagnet 17 for changing the orbit, to an orbit along the Z axis. The operation of the depth-direction irradiation field enlargement apparatus 3 will be explained. Because of the pair of upstream deflection electromagnets 15 and 16, the charged particle beam 1 introduced to the depth-direction irradiation field enlargement apparatus 3 travels on an orbit that is parallel to the Z axis and is apart from the Z axis by a predetermined distance toward the X direction. Then, as the charged particle beam 1 passes through a portion, of the ridge filter 19, having a predetermined thickness distribution, the energy thereof is reduced by an amount that is proportional to the thickness; as a result, there is produced a particle beam in which many kinds of energies whose intensities are different from one another are mixed. The depth of SOBP can be changed in accordance with the height of the ridge filter 19 through which the charged particle beam 1 passes. In such a manner as described above, the charged particle beam 1 whose width has been changed to a desired SOBP depth is returned onto the extended line of the original orbit, which was the orbit at a time when the charged particle beam 1 has been launched into the depth-direction irradiation field enlargement apparatus 3 by the pair of downstream deflection electromagnets 17 and 18. The depth-direction irradiation field enlargement apparatus 3 has an advantage in that, when the depth of SOBP is changed, no driving sound is produced when the ridge filter is driven. In addition, the orbit of the charged particle beam 1 deflected by the pair of downstream deflection electromagnets 17 and 18 is not limited to the one that returns onto the beam axis 14; the orbit may be the one that is parallel to the beam axis 14 and returns toward the beam axis 14, or the orbit may be the one that is not parallel to the beam axis 14 and returns toward the beam axis 14. By mounting the particle beam irradiation apparatus 58 on a rotating gantry, the irradiation system of the particle beam irradiation apparatus 58 can freely be rotated around a patient platform, whereby there can be performed irradiation onto the diseased site 40 from many directions. The rotating gantry rotates the irradiation system of the particle beam irradiation apparatus 58 so as to rotate the irradiation direction. That is to say, multi-port irradiation can be performed in this manner. By use of the ridge filter 19 in the particle beam irradiation apparatus 58, the irradiation field is more enlarged in the Z direction than in the X direction and the Y direction; thus, a beam with a columnar dose distribution (refer to FIGS. 5A through 5D) can be irradiated onto the diseased site 40. Next, a method of performing IMRT through columnar scanning irradiation will be explained. FIG. 4 is a flowchart representing a method of generating a treatment plan utilized in a particle beam irradiation apparatus according to the present invention; each of FIGS. 5A through 5D is a view for explaining the step ST1 in FIG. 4; each of FIGS. 6A through 6C is a schematic diagram for obtaining the initial state in an optimum calculation for a treatment plan. FIGS. 5A through 5D and FIGS. 6A through 6C are examples in which irradiation is performed with a four-port (every 90°) irradiation apparatus. The treatment planning apparatus for generating a treatment plan is provided with an irradiation field arranging unit that arranges columnar irradiation fields in accordance with the distal form of the diseased site (irradiation subject) 40 onto which the charged particle beam 1 is irradiated, and arranges columnar irradiation fields in such a way that the columnar irradiation fields cover the inside of the diseased site (irradiation subject) 40; and an optimization calculation unit that adjusts the arrangement of the columnar irradiation fields in such a way that the irradiation dose onto the diseased site (irradiation subject) 40 falls within a predetermined range, regarding, as the initial state, the state in which the columnar irradiation fields are arranged by the irradiation field arranging unit. A treatment plan includes the operation conditions for the particle beam irradiation apparatus 58 and the rotating gantry; the particle beam irradiation apparatus 58 and the rotating gantry integrally operate based on the treatment plan. At first, as illustrated in FIGS. 5A through 5D, columnar irradiation fields 44a, 44b, 44c, and 44d are arranged in accordance with the distal form of the diseased site 40 (the step ST1). This action is implemented for each port (for each radiation direction). In this situation, the columnar irradiation fields may overlap with one another. Portions where the columnar irradiation fields overlap with one another will be explained later. FIG. 5A is an example of the case where the columnar irradiation fields 44a are arranged in accordance with the distal form of the diseased site 40 at a time when irradiation is performed from an irradiation direction 43a; FIG. 5B illustrates the columnar irradiation fields 44b at a time when irradiation is performed from an irradiation direction 43b; FIG. 5C illustrates the columnar irradiation fields 44c at a time when irradiation is performed from an irradiation direction 43c; FIG. 5D illustrates the columnar irradiation fields 44d at a time when irradiation is performed from an irradiation direction 43d. FIG. 6A illustrates an example of irradiation field arrangement at a time when all irradiations with the respective ports (radiation directions) have been completed. When all irradiations with respective ports (radiation directions) have been completed, it is determined whether or not there exists any remaining irradiation-subject region (the step ST2). In the case where there exists no remaining irradiation-subject region, the step ST2 is followed by the step ST5. In the case where there exists a remaining irradiation-subject region, the second-round arrangement work is performed in the remaining irradiation-subject region in such a way that the arrangement matches the distal form of the remaining irradiation subject (the step ST3). As illustrated in FIG. 6B, in the case where irradiation is performed from the irradiation direction 43c, the columnar irradiation fields 45c are arranged. In this situation, the depth of SOBP in the second-round columnar irradiation field may be different from that in the first-round columnar irradiation field. FIG. 6C illustrates an example of irradiation field arrangement at a time when all irradiations with the respective ports (radiation directions) have been completed. In FIG. 6C, in the case where irradiation is performed from the irradiation direction 43a, the columnar irradiation fields 45a are arranged; in the case where irradiation is performed from the irradiation direction 43b, the columnar irradiation fields 45b are arranged; in the case where irradiation is performed from the irradiation direction 43d, the columnar irradiation fields 45d are arranged. When all irradiations with respective ports (radiation directions) have been completed in the second round, it is determined whether or not there exists any remaining irradiation-subject region (the step ST4). In the case where there exists a remaining irradiation-subject region, the step ST4 is followed by the step ST3; this flow is repeated so that the columnar irradiation fields cover the whole diseased site. In the case where there exists no remaining irradiation-subject region, the step ST4 is followed by the step ST5. In the step ST5, optimization calculation is performed, regarding, as the initial value, the irradiation plan where the columnar irradiation fields have been arranged. After the optimization calculation has been completed, evaluation is performed by use of an evaluation function (the step ST6). It is determined whether or not the value of the evaluation function is allowable in terms of the clinical practice; in the case where it is determined that the value of the evaluation function is not allowable, the step ST6 is followed by the step ST5, and then the optimization calculation is implemented. In the case where the value of the evaluation function is within an allowable range in terms of the clinical practice, the flow is ended. In the treatment-plan optimization work represented in the steps ST5 and ST6, in order to prevent overdosing (excess dose), the arrangement of the columnar irradiation fields is adjusted so that the irradiation dose onto the diseased site 40 falls within a predetermined range. In the foregoing portion where the columnar irradiation fields overlap with one another, overdosing (excess dose) is caused; therefore, in the optimization work, the arrangement of the columnar irradiation fields is changed in such a way that the portions where the columnar irradiation fields overlap with one another are eliminated or reduced. The work in the steps ST1 through ST4 is performed first by the irradiation field arranging unit of the treatment planning apparatus. Next, the treatment planning apparatus will be explained. The detail of a treatment planning apparatus is described in “the Radiation Therapy System Operating Manual for Medical Safety” (by Kozo Kumagai, Publishing Company of JART). A treatment planning apparatus has a comprehensive role; in brief, it can be referred to as a treatment simulator. One of the roles of a treatment planning apparatus is optimization calculation. The optimization work for a treatment plan represented in the steps ST5 and ST6 is performed in the optimization calculation unit of the treatment planning apparatus. The optimization calculation is utilized in searching the optimum beam intensity in an IMRT inverse treatment plan (inverse planning). According to the foregoing operation manual, as the optimization calculation method, there have been tried following methods to date. The methods are the filtered back projection method which was utilized in earlier years in performing IMRT optimization calculation; pseudo annealing, genetic algorithm, and random searching technology that are classified into a probabilistic method; and the gradient method which is classified into the deterministic method that has recently been installed in many treatment planning apparatuses. Although the calculation in the gradient method is high-speed, it has a nature that, once the calculation is trapped in a local minimum (the smallest possible quantity), it cannot get out of the trap. However, at present, the gradient method has been adopted in many treatment planning apparatuses that implement clinic practice IMRT treatment plans. In the gradient method, in order to prevent the situation where the calculation is trapped in another minimum value which is different from the optimum value to be obtained, it is effective to use the gradient method combined with the genetic algorithm or the random searching technology. In addition, it is empirically known that it is desirable that the initial value (a value initially given as a candidate of the solution) in the optimization calculation is close to the optimum solution to be obtained. Thus, in the present invention, an irradiation plan generated in the steps ST1 through ST4 is utilized as the initial value in the optimization calculation. Because, compared with conventional IMRT, its irradiation flexibility in the depth direction is made high for the purpose of matching the distal form of a diseased site, the irradiation plan generated in the steps ST1 through ST4 is sufficiently close to the optimum irradiation. In the optimization calculation, there is calculated a solution that certainly minimizes a given evaluation function. In the case of a treatment planning apparatus, as represented in the foregoing operation manual, the evaluation function, which is the reference for physical optimization, is given as follows. F T ( b → ) = ∑ i = 1 N ( u [ D min - d i ( b → ) ] + 2 + w [ d i ( b → ) - D max ] + 2 ) ( 1 ) where Dmin and Dmax are specified dose limits. The character “u” is a weight coefficient for Dmin; “w” is a weight coefficient for Dmax. The character “b” (although indicated with an arrow in the equation (1), indicated without the arrow in the description. Hereinafter, the same applies in the explanation for the equation (1).) is a function of the intensity of a beamlet; di(b) is the dose in a voxel “i” represented by the function “b” of the intensity of a beamlet. [x]+ is x, in the case where x>0, and [x]+ is “0” in other cases. N is the maximum number of voxels. As described above, optimization calculation is implemented in the treatment planning apparatus; therefore, for example, even though, with an initial value, columnar irradiation fields overlap with one another and hence overdosing (excess dose) is caused, the dose is adjusted in an obtained treatment plan. Because being configured as described above, the treatment planning apparatus according to Embodiment 1 can raise the irradiation flexibility in the depth direction, without utilizing a bolus; therefore, there can be solved the problem of excess irradiation in IMRT by a particle beam therapy system. The advantage of irradiating a beam having a columnar dose distribution will be explained. Originally, in a conventional particle beam therapy system in which it is assumed that irradiation is performed from one direction, the dose distribution in the irradiation system is formed in the following manner. As an example, the Wobbler method will be explained; a Wobbler electromagnet and a scatterer evenly enlarge the irradiation field in the X and Y directions, and based on the XY-plane sectional shape (or the shape projected onto the XY plane, for example,) of a diseased site, the irradiation field is limited by an MLC. The irradiation field is enlarged by a ridge filter in the Z direction and is limited by a bolus in such a way as to coincide with the distal form (the deepest-layer form) of the diseased site. As described above, in the multi-port irradiation by a particle beam therapy system, it is required to utilize a plurality of boluses; therefore, machining of the bolus requires many labor hours and costs. Moreover, the bolus cannot be dynamically deformed; thus, the multi-port irradiation cannot be applied to IMAT. If, in the multi-port irradiation by a particle beam therapy system, the irradiation field can be controlled, as by a bolus, in such a way as to coincide with the distal form (the deepest-layer form) of a diseased site without utilizing a bolus, there can be solved the problem that machining of the bolus requires many labor hours and costs; therefore, the multi-port irradiation can be applied to IMAT, whereby the problem of excess irradiation in IMRT can be solved, i.e., the unnecessary irradiation onto normal tissues can considerably be reduced. That led to the present invention in which a beam having a columnar dose distribution is irradiated. In the present invention, one of the greatest effects of irradiating a beam having a columnar dose distribution is that the irradiation field can be limited in such a way as to coincide with the distal form (the deepest-layer form) of the diseased site 40 without utilizing a bolus and hence the unnecessary irradiation onto normal tissues can considerably be reduced. Another one of the greatest effect, in the present invention, of irradiating a beam having a columnar dose distribution is that an irradiation field can be formed without implementing the intensity modulation which is adopted in a radiation therapy system utilizing an X-ray or the like. Here, for the simplicity, the principle of the intensity modulation may be explained as follows. Irradiation fields having a weak dose distribution are irradiated from many directions so that the irradiation fields overlap with one another; the portion where the doses eventually overlap most with one another obtains the dose distribution, as the irradiation field that provides an treatment effect. In the present invention, as illustrated in FIGS. 6A through 6C, an irradiation field can be formed by combining columnar doses. Additionally, there may be performed irradiation with irradiation fields overlapping with one another in the present invention, as well. It is not allowed that the irradiation dose becomes an underdose (insufficient dose) or an overdose (excess dose) in any portion of a diseased site; however, the dose that is allowable in terms of a clinical practice has a width. An irradiation plan is made by use of a treatment planning apparatus in such a way that the final dose distribution is allowable in each portion of the diseased site. Unlike a conventional radiation therapy system utilizing an X-ray or the like, it is not required to perform intensity modulation of the irradiation field in such a way that it coincides with the distal form of the diseased site; therefore, the treatment planning apparatus is not required to perform calculation for optimizing the intensity modulation. That is to say, there can be solved the conventional problem that it takes a long time to make a treatment plan. Moreover, compared with irradiation of a beam having a spot-like distribution, irradiation of a beam having a columnar dose distribution has an advantage in that the irradiation time is shortened. In the case where multi-port irradiation can be performed in a particle beam therapy system utilizing the treatment planning apparatus according to Embodiment 1, there exist a number of advantages; the following two are the major advantages. The first one is that, in the case where irradiation is performed onto the same diseased site, multi-port irradiation makes wider the body surface area through which a particle beam passes; thus, the damage to the body surface area, which includes normal tissues, can be reduced. The second one is that irradiation can be prevented from being preformed onto a risk site (such as a spinal cord, an eyeball or the like), onto which a particle beam should not be irradiated. As described above, the particle beam irradiation apparatus 58 according to Embodiment 1 is provided with the scanning irradiation system 34 that performs scanning with the charged particle beam 1, and is mounted in a rotating gantry that rotates the irradiation direction of the charged particle beam 1; because the particle beam irradiation apparatus 58 includes the columnar-irradiation-field generation apparatus 4 that enlarges the Bragg peak of the charged particle beam 1 so as to generate a columnar irradiation field, there can be irradiated a columnar irradiation field obtained by enlarging the Bragg peak of a charged particle beam, at the depth in accordance with the distal form of an irradiation subject, in such a way that the columnar field is generated; therefore, there can be raised the irradiation flexibility in the depth direction, without utilizing a bolus. As a result, there can be solved the problem of excess irradiation in IMRT by a particle beam therapy system. FIG. 7 is a configuration diagram illustrating an energy changing apparatus according to Embodiment 2 of the present invention. An energy changing apparatus according to Embodiment 2 is different from the energy changing apparatus 2a according to Embodiment 1 in that the energy of a charged particle beam 1 is reduced to a desired energy by use of a plurality of absorbers 26a, 26b, 26c, and 26d so that there is adjusted the depth-direction (Z-direction) position (range) of the Bragg peak BP at a diseased site 40, which is an irradiation subject. An energy changing apparatus 2b includes a plurality of absorbers 26a, 26b, 26c, and 26d that are driven by driving devices 27a, 27b, 27c, and 27d. The absorbers 26a, 26b, 26c, and 26d are different in thickness from one another. The thickness of the overall absorber can be changed by combining the respective thicknesses of the absorbers 26a, 26b, 26c, and 26d. A change control apparatus 22 controls the driving devices 27a, 27b, 27c, and 27d so that the charged particle beam 1 passes or does not pass through the absorbers 26a, 26b, 26c, and 26d that correspond to the driving devices 27a, 27b, 27c, and 27d, respectively. The energy of the charged particle beam 1 is reduced by an amount that is proportional to the thickness of the absorber through which the charged particle beam 1 passes, and hence becomes desired energy. As is the case with Embodiment 1, the particle beam irradiation apparatus (refer to FIG. 1) having the energy changing apparatus 2b according to Embodiment 2 can enlarge the Bragg peak BP in the depth direction so as to generate a columnar irradiation field. In the energy changing apparatus 2b according to Embodiment 2, it is not required to deflect the charged particle beam 1; therefore, compared with the energy changing apparatus 2a according to Embodiment 1, the deflection electromagnets 5 through 8 can be removed, whereby the length L1 of the apparatus in the irradiation direction (Z direction) of the charged particle beam 1 can be shortened. Because the length L1 of the apparatus can be shortened, the energy changing apparatus can be made compact. The length L1 of the apparatus in FIG. 2 is the length from the upstream end of the deflection electromagnet 5 to the downstream end of the deflection electromagnet 8. In the particle beam irradiation apparatus (refer to FIG. 1) having the energy changing apparatus 2b according to Embodiment 2, multi-port irradiation can be implemented based on a treatment plan corresponding to the treatment plan generated by the treatment planning apparatus described in Embodiment 1; therefore, as is the case with Embodiment 1, the irradiation flexibility in the depth direction can be raised, without utilizing a bolus. As a result, there can be solved the problem of excess irradiation in IMRT by a particle beam therapy system. FIG. 8 is a configuration diagram illustrating a depth-direction irradiation field enlargement apparatus according to Embodiment 3 of the present invention. A depth-direction irradiation field enlargement apparatus 3b according to Embodiment 3 is different from the depth-direction irradiation field enlargement apparatus 3a according to Embodiment 1 in that the energy of a charged particle beam is formed of many kinds of energy levels that are mixed by use of a plurality of ridge filters 28a, 28b, 28c, and 28d, i.e., the energy width of the charged particle beam 1 is changed so that the Bragg peak BP is enlarged in the depth direction. The depth-direction irradiation field enlargement apparatus 3b includes a plurality of ridge filters 28a, 28b, 28c, and 28d that are driven by driving devices 29a, 29b, 29c, and 29d. The ridge filters 28a, 28b, 28c, and 28d are different in thickness from one another. The thickness of the overall ridge filter can be changed by combining the respective thicknesses of the ridge filters 28a, 28b, 28c, and 28d. A change control apparatus 25 controls the driving devices 29a, 29b, 29c, and 29d so that the charged particle beam 1 passes or does not pass through the ridge filters 28a, 28b, 28c, and 28d that correspond to the driving devices 29a, 29b, 29c, and 29d, respectively. The energy range of the charged particle beam 1 is widened by an amount that is proportional to the thickness of the ridge filter through which the charged particle beam 1 passes, and hence becomes a desired depth of SOBP. As is the case with Embodiment 1, the particle beam irradiation apparatus (refer to FIG. 1) having the depth-direction irradiation field enlargement apparatus 3b according to Embodiment 3 can enlarge the Bragg peak BP in the depth direction so as to generate a columnar irradiation field. In the depth-direction irradiation field enlargement apparatus 3b according to Embodiment 3, it is not required to deflect the charged particle beam 1; therefore, compared with the energy changing apparatus 2a according to Embodiment 1, the deflection electromagnets 15 through 18 can be removed, whereby the length L2 of the apparatus in the irradiation direction (Z direction) of the charged particle beam 1 can be shortened. Because the length L2 of the apparatus can be shortened, the energy changing apparatus can be made compact. The length L2 of the apparatus in FIG. 3 is the length from the upstream end of the deflection electromagnet 15 to the downstream end of the deflection electromagnet 18. In the particle beam irradiation apparatus (refer to FIG. 1) having the depth-direction irradiation field enlargement apparatus 3b according to Embodiment 3, multi-port irradiation can be implemented based on a treatment plan corresponding to the treatment plan generated by the treatment planning apparatus described in Embodiment 1; therefore, as is the case with Embodiment 1, the irradiation flexibility in the depth direction can be raised, without utilizing a bolus. As a result, there can be solved the problem of excess irradiation in IMRT by a particle beam therapy system. FIG. 9 is a configuration diagram illustrating a columnar-irradiation-field generation apparatus according to Embodiment 4 of the present invention. A columnar-irradiation-field generation apparatus according to Embodiment 4 is different from the columnar-irradiation-field generation apparatus 4a according to Embodiment 1 in that the energy changing apparatus 2a and the depth-direction irradiation field enlargement apparatus 3a are integrated. The columnar-irradiation-field generation apparatus 4b is provided with range shifters 9a and 9b; ridge filters 19a and 19b; deflection electromagnets 5 and 6 included in a pair of upstream deflection electromagnets that moves the position, of the charged particle beam 1, in the range shifters 9a and 9b and the ridge filters 19a and 19b through which the charged particle beam 1 passes; a first deflection-electromagnet power source 20 that energizes the pair of upstream deflection electromagnets; deflection electromagnets 7 and 8 included in a pair of downstream deflection electromagnets that returns the charged particle beam 1 that has passed through the range shifters 9a and 9b and the ridge filters 19a and 19b onto the original orbit; a second deflection-electromagnet power source 21 that energizes the pair of downstream deflection electromagnets; and a change control apparatus 22 that calculates the amount of movement, of the orbit of the charged particle beam, that is caused by the pair of upstream deflection electromagnets, based on an energy command value inputted from the irradiation control apparatus 33, and transmits an energization current value to the first deflection-electromagnet power source 20. The change control apparatus 22 also controls the second deflection-electromagnet power source 21. The operations of the apparatuses are the same as those in Embodiment 1; thus, explanations therefor will not be repeated. The range shifters 9a and 9b are formed in the same shape and formed of the same material; the ridge filter 19a is formed of the first group of approximately triangular prisms and the ridge filter 19b is formed of the second group of approximately triangular prisms; the height of the first group of approximately triangular prisms is different from the height of the second group of approximately triangular prisms. The height of the second group of approximately triangular prisms of the ridge filter 19b is higher than the height of the first group of approximately triangular prisms of the ridge filter 19a; therefore, the depth of SOBP of the charged particle beam 1 in the case where the charged particle beam 1 passes through the ridge filter 19b can be wider than the depth of SOBP of the charged particle beam 1 in the case where the charged particle beam 1 passes through the ridge filter 19a. The columnar-irradiation-field generation apparatus 4b according to Embodiment 4 changes the energy of the charged particle beam 1 to desired energy, through two kinds of SOBP depths; thus, two kinds of columnar irradiation fields can have desired ranges. There are not provided the pair of upstream electromagnets and the pair of downstream deflection electromagnets for each of the set of the range filter 9a and the ridge filter 19a and the set of the range filter 9b and the ridge filter 19b, but there is provided only one set of the pair of upstream electromagnets and the pair of downstream deflection electromagnets; therefore, compared with the columnar-irradiation-field generation apparatus 4a according to Embodiment 1, the length of the apparatus in the irradiation direction (Z direction) of the charged particle beam 1 can be shortened. By use of the pair of upstream deflection electromagnets and the pair of downstream deflection electromagnets, the energy of the charged particle beam 1 is changed to desired energy through two kinds of SOBP depths; thus, there exists an advantage that, when the width and the range of SOBP are changed, there is produced no driving sound caused due to driving of the range filter or the ridge filter. In order to line up many kinds (more than two) of SOBP depths, it is only necessary to arrange the range filters 9 and the ridge filters 19, the number of each of which corresponds to the number of the kinds of SOBPs. In the particle beam irradiation apparatus (refer to FIG. 1) having the columnar-irradiation-field generation apparatus 4b according to Embodiment 4, multi-port irradiation can be implemented based on a treatment plan corresponding to the treatment plan generated by the treatment planning apparatus described in Embodiment 1; therefore, as is the case with Embodiment 1, the irradiation flexibility in the depth direction can be raised, without utilizing a bolus. As a result, there can be solved the problem of excess irradiation in IMRT by a particle beam therapy system. In each of Embodiments 1 through 4, it has been explained that the Z-direction enlargement of an irradiation field, i.e., an SOBP is realized by means of the ridge filter 19 (28). As Embodiment 5, there will be explained an embodiment in which in order to enlarge an irradiation field more to the Z direction than either to the X direction or the Y direction, a range modulation wheel RMW (Range Modulation Wheel) is utilized. An RWM, which is an apparatus utilized in an apparatus included in an irradiation system, i.e., utilized in a particle beam irradiation apparatus, is to create an SOBP by enlarging an irradiation field in the traveling direction of a beam. In some cases, an RMW is utilized in a broad beam irradiation method, such as the double scatterer method or the Wobbler method, in which the irradiation field of a beam is temporarily enlarged and then is limited through a collimator or a bolus. Japanese Patent Application Laid-Open No. 2007-222433 discloses an example where an RMW is utilized in the double scatterer method. An RMW according to Embodiment 5 of the present invention will be explained with reference to FIGS. 10 and 11. FIG. 10 is an external view illustrating an RMW according to Embodiment 5 of the present invention; FIG. 11 is a configuration diagram illustrating a depth-direction irradiation field enlargement apparatus according to Embodiment 5 of the present invention. An RMW 35 is configured in such a way that there are arranged a plurality of wedge-shaped energy absorbers (blades) which are each configured with a plurality of pedestals, the respective axis-direction thicknesses of which stepwise increase or decrease. In the example illustrated in FIG. 10, the RMW 35 has three blades 37a, 37b, and 37c. The blades 37a, 37b, and 37c each have six pedestals 36a, 36b, 36c, 36d, 36e, and 36f and a shape in which the respective axis-direction thicknesses of the pedestals stepwise decrease in the clockwise circumferential direction, i.e., in the direction from the pedestal 36a to the pedestal 36f. By utilizing the pedestal 36, RMW 35 is represented in the following manner. The RMW 35 has energy absorbers 37 in each of which a plurality of pedestals 36a through 36f, the respective axis-direction thicknesses of which are stepwise different from one another, are arranged in the circumferential direction; when a charged particle beam 1 passes through the plurality of pedestals 36a through 36f, the energy thereof varies. The blades 37a, 37b, and 37c are arranged in angle ranges 0° to 120°, 120° to 240°, and 240° to 360°) (0°, respectively. The six pedestals 36a, 36b, 36c, 36d, 36e, and 36f are arranged in such a way as to be spaced 20° apart from one another. The RMW 35 is disposed in the beam path in a particle beam irradiation apparatus and rotates on a plane perpendicular to the beam path. For example, the RMW 35 is disposed at the upstream side of the scanning irradiation system 34 illustrated in FIG. 1. There will be explained a principle in which an SOBP is formed by the RMW 35. For example, in the case where while the RMW 35 rotates, the charged particle beam 1 passes through a thin portion of the blade (e.g., the pedestal 36f), the attenuation of the beam energy is small and hence a Bragg peak BP is produced in a deep part of a body. In the case where the charged particle beam 1 passes through a thick portion of the blade (e.g., the pedestal 36a), the attenuation of the beam energy is large and hence a Bragg peak BP is produced in a shallow part of a body. Because due to the rotation (circulation) of the RMW 35, the position of the Bragg peak BP fluctuates periodically, there can be obtained, in view of time integration, a flat dose distribution (SOBP) that spreads from a shallow part, which is near to the body surface, to a deep part of a body. By selecting two or more neighboring pedestals and making the charged particle beam 1 pass through only the selected pedestals, two or more depths of SOBP can be formed. For example, the depth of SOBP at a time when the pedestals 36e and 36f are selected is referred to as “SOBP depth 1”. As is the case with SOBP depth 1, the depths of SOBP at times when the pedestals 36d through 36f, 36c through 36f, 36b through 36f, and 36a through 36f are selected are referred to as “SOBP depth 2”, “SOBP depth 3”, “SOBP depth 4”, and “SOBP depth 5”, respectively. In the example utilizing the RMW 35 illustrated in FIG. 10, when the selection is performed in such a way that the pedestal 36f is always included, five depths of SOBP can be formed and based on these depths of SOBP, the depth of SOBP can freely be selected and changed. The RMW 35 according to the present invention is utilized to enlarge a Bragg peak BP more in the depth direction than a conventional spot so that the columnar irradiation fields 44 and (refer to FIG. 6) are created. A particle beam irradiation apparatus according to Embodiment 5 has a configuration illustrated in FIG. 1. In other words, naming from the upstream side of the charged particle beam 1, the particle beam irradiation apparatus according to Embodiment 5 is provided with a columnar-irradiation-field generation apparatus 4, a pair of scanning electromagnets 10 and 11, position monitors 12a and 12b, and a dose monitor 13; the particle beam irradiation apparatus is controlled by an irradiation control apparatus 33. In this regard, however, the columnar-irradiation-field generation apparatus 4 is a depth-direction irradiation field enlargement apparatus 3 (3c) provided with the RMW 35. The columnar-irradiation-field generation apparatus 4 according to Embodiment 5 has an energy changing apparatus 2 and the depth-direction irradiation field enlargement apparatus 3 (3c). The depth-direction irradiation field enlargement apparatus 3c will be explained with reference to FIG. 11. The depth-direction irradiation field enlargement apparatus 3c has the RMW 35, a rotation axle 64 for rotating the RMW 35, a motor (rotation drive device) 62 that drives the rotation axle 64 for rotating the RMW 35, an angle sensor 61 for detecting the rotation angle of the rotation axle 64, and an irradiation-field enlargement control apparatus 65 that transmits to the irradiation control apparatus 33 a control signal Sig1 for controlling the emission start and the emission stop of the charged particle beam 1. The motor 62 and the rotation axle 64 that are arranged at positions that do not interfere with the charged particle beam 1 are coupled with each other, for example, by means of bevel gears (coupling devices) 63a and 63b. The irradiation-field enlargement control apparatus 65 controls the rotation of the motor 62. In this embodiment, the irradiation-field enlargement control apparatus 65 controls the rotation of the motor 62 in such a way that the RMW 35 keeps rotating at a predetermined constant speed. The RMW 35, the rotation axle 64, the motor 62, the bevel gears (coupling devices) 63a and 63b, and the angle sensor 61 configure an RMW apparatus 66. The RMW apparatus 66 changes the position of the RMW 35, through which the charged particle beam 1 passes, so as to vary the energy of the charged particle beam 1. The irradiation-field enlargement control apparatus 65 performs control in such a way that the charged particle beam 1 passes through two or more pedestals 36a through 36f. The operation of the depth-direction irradiation field enlargement apparatus 3c will be explained. There will be explained a case where the depth of SOBP, in a certain columnar irradiation field 44, that is specified in a treatment plan is SOBP depth 4, for example. SOBP depth 4 is formed when the charged particle beam 1 passes through the angles corresponding to the pedestals 36b through 36f. The charged particle beam 1 is irradiated in the columnar irradiation field 44 until the dose specified in a treatment plan is satisfied (the dose reaches a target dose). The charged particle beam 1 passes at least once through the blade 37 in which the pedestals 36a through 36f are provided, by the time the dose of the columnar irradiation field 44 is satisfied. The RMW 35 is controlled by the motor 62 in such a way as to rotate in a direction indicated as a rotation direction 68. The emission of the charged particle beam 1 for the columnar irradiation field 44 is started at a time when the angle sensor 61 detects an angle-area starting angle 20° (140°, 260°, in the angle 20° to 40° corresponding to the pedestal 36b, which is an emission starting angle. When the angle sensor 61 detects the emission starting angle, the irradiation-field enlargement control apparatus 65 outputs the control signal Sig1 (e.g., a first voltage level). In response to the control signal Sig1, the irradiation control apparatus 33 issues an emission start instruction that the emission apparatus of the accelerator emits the charged particle beam 1 to the particle beam irradiation apparatus 58. In response to the emission start instruction, the emission apparatus of the accelerator emits the charged particle beam 1 to the particle beam irradiation apparatus 58 (beam emission procedure). Next, when the angle sensor 61 detects an emission stop angle (120°, 240°, 360°(0°)), the irradiation-field enlargement control apparatus 65 stops the control signal Sig1 (e.g., the level of the control signal Sig1 is changed to a second voltage level). In response to the stop of the control signal Sig1, the irradiation control apparatus 33 issues an emission stop instruction that the emission apparatus of the accelerator stops the emission of the charged particle beam 1 to the particle beam irradiation apparatus 58. In response to the emission stop instruction, the emission apparatus of the accelerator stops the emission of the charged particle beam 1 to the particle beam irradiation apparatus 58 (beam stop procedure). Next, the beam emission procedure and the beam stop procedure are repeated also in the following blade 37 until the dose monitor detects the fact that the dose has been satisfied. When the dose monitor detects the fact that the dose has been satisfied, in response to the satisfaction of the dose, the irradiation control apparatus 33 issues an emission stop instruction that the emission apparatus of the accelerator stops the emission of the charged particle beam 1 to the particle beam irradiation apparatus 58. In response to the emission stop instruction, the emission apparatus of the accelerator stops the emission of the charged particle beam 1 to the particle beam irradiation apparatus 58 (columnar irradiation field stop procedure). After that, the process moves to a procedure in which the next columnar irradiation field is formed. The procedure for forming a columnar irradiation field includes the beam emission procedure, the beam stop procedure, and the columnar irradiation field stop procedure. The particle beam irradiation apparatus 58 having the depth-direction irradiation field enlargement apparatus 3c according to Embodiment 5 can perform irradiation in such a way as to generate a columnar irradiation field, which is obtained by enlarging the Bragg peak of a charged particle beam, at the depth corresponding to the distal form of an irradiation subject, and there can be raised the irradiation flexibility in the depth direction, without utilizing a bolus; therefore, there can be solved the problem of excess irradiation in IMRT by a particle beam therapy system. The RMW 35 demonstrates an advantageous effect that is not found in a ridge filter. AS illustrated in FIG. 6, in some cases, it is required that in the second-round columnar irradiation field 45, the depth of SOBP is different from the depth of SOBP in the first-round columnar irradiation field 44, depending on the shape of the diseased site 40. In the case where the depth of SOBP is changed by means of a ridge filter, it is required to prepare a plurality of ridge filters, as illustrated in FIGS. 8 and 9. In contrast, in the case of an RMW, the emission start and the emission stop of the charged particle beam 1 are controlled based on the rotation angle of the RMW 35, so that the depth of SOBP can freely be changed. That is to say, as described above, by synchronizing the rotation of the RMW 35 with the timing of beam emission, the depth of SOBP can freely be controlled by means of a single RMW 35. As a result, in the case where a plurality of depths of SOBP is formed, the configuration of the columnar-irradiation-field generation apparatus 4 can be simplified. FIG. 12 is a configuration diagram illustrating a depth-direction irradiation field enlargement apparatus according to Embodiment 6 of the present invention. A depth-direction irradiation field enlargement apparatus according to Embodiment is different from the depth-direction irradiation field enlargement apparatus 3c in that the former has a plurality of RMW apparatuses whose respective numbers of selectable depths of SOPB are different from one another. A depth-direction irradiation field enlargement apparatus 3d illustrated in FIG. 12 is an example of depth-direction irradiation field enlargement apparatus having two RMW apparatuses 66a and 66b. In the foregoing example, an RMW 35a of the RMW apparatus 66a has more selectable depths of SOPB than an RMW 35b of the RMW apparatus 66b has. An irradiation-field enlargement control apparatus 65 selects the RMW apparatus 66a or the RMW apparatus 66b, which is to be utilized, and also controls a driving device 67a that drives the RMW apparatus 66a and a driving device 67b that drives the RMW apparatus 66b. In response to a signal from an angle sensor 61 of the RMW apparatus 66a or a signal from an angle sensor 61 of the RMW apparatus 66b, the irradiation-field enlargement control apparatus 65 outputs or stops a control signal Sig1. By increasing the number of pedestals 36 of a blade 37, the number of selectable depths of SOBP can be increased. For example, the RMW 35a has two blades 37a and 37b; each of the blades 37a and 37b has nine pedestals 36a through 36i. In this case, the angle range of each of the blades 37a and 37b is 180°; the angle range of each pedestal is 20°, as is the case with Embodiment 5. It may be allowed that there exists only a single blade 37 and the respective thicknesses of the pedestals 36 of the RMW 35 are different from one another. It may be allowed that also in an embodiment in which the RMW 35 is utilized, the respective thicknesses of the pedestals 36 of the RMW 35 are different from one another. Because having a plurality of RMW apparatuses 66a and 66b whose respective numbers of selectable depths of SOPB are different from each other, the depth-direction irradiation field enlargement apparatus 3d according to Embodiment 6 can form a wider range of depth of SOPB than the depth-direction irradiation field enlargement apparatus 3c according to Embodiment 5. Accordingly, the particle beam irradiation apparatus 58 having the depth-direction irradiation field enlargement apparatus 3d can form and irradiate more columnar irradiation fields than the particle beam irradiation apparatus 58 according to Embodiment 5 and hence can efficiently perform multi-port irradiation onto the diseased site 40. FIG. 13 is a configuration diagram illustrating a columnar-irradiation-field generation apparatus according to Embodiment 7 of the present invention. A columnar-irradiation-field generation apparatus according to Embodiment 7 is different from the columnar-irradiation-field generation apparatus 4a having the depth-direction irradiation field enlargement apparatus 3c according to Embodiment 5 in that the energy changing apparatus 2 (2a) and the depth-direction irradiation field enlargement apparatus 3c are integrated therein. The columnar-irradiation-field generation apparatus 4c is provided with range shifters 9a and 9b; RMW apparatuses 66a and 66b; deflection electromagnets 5 and 6 included in a pair of upstream deflection electromagnets that moves the position, of the charged particle beam 1, in the range shifters 9a and 9b and the RMW apparatuses 66a and 66b through which the charged particle beam 1 passes; a first deflection-electromagnet power source 20 that energizes the pair of upstream deflection electromagnets; deflection electromagnets 7 and 8 included in a pair of downstream deflection electromagnets that returns the charged particle beam 1 that has passed through the range shifters 9a and 9b and the RMW apparatuses 66a and 66b onto the original orbit; a second deflection-electromagnet power source that energizes the pair of downstream deflection electromagnets; and a change control apparatus 30 that calculates the amount of movement, of the orbit of the charged particle beam, that is caused by the pair of upstream deflection electromagnets, based on an energy command value inputted from the irradiation control apparatus 33, and transmits an energization current value to the first deflection-electromagnet power source 20. The change control apparatus 30 also controls the second deflection-electromagnet power source 21. In addition, the change control apparatus 30 is provided also with the function of the irradiation-field enlargement control apparatus 65 according to Embodiment 5. The range shifter 9a is disposed at the upstream side of the RMW apparatus 66a in such a way as to be situated between the rotation axle 64a of an RMW 35a and the outer circumference of the RMW 35a. The range shifter 9b is disposed at the upstream side of the RMW apparatus 66b in such a way as to be situated between the rotation axle 64b of an RMW 35b and the outer circumference of the RMW 35b. The operations of the apparatuses are the same as those in Embodiments 1 and 5; thus, explanations therefor will not be repeated. The range shifters 9a and 9b have the same shape and are formed of the same material; in the foregoing example, the RMW 35a of the RMW apparatus 66a and the RMW 35b of the RMW apparatus 66b are different from each other in terms of the number of selectable depths of SOPB. As explained in Embodiment 6, the RMW 35a of the RMW apparatus 66a can have more selectable depths of SOPB than the RMW 35b of the RMW apparatus 66b. Because having a plurality of RMW apparatuses 66a and 66b whose respective numbers of selectable depths of SOPB are different from each other, the columnar-irradiation-field generation apparatus 4c according to Embodiment 7 can form a wider range of depth of SOPB than the depth-direction irradiation field enlargement apparatus 3c according to Embodiment 5. Accordingly, the particle beam irradiation apparatus 58 having the depth-direction irradiation field enlargement apparatus 3d can form and irradiate more columnar irradiation fields than the particle beam irradiation apparatus 58 according to Embodiment 5 and hence can efficiently perform multi-port irradiation onto the diseased site 40. The columnar-irradiation-field generation apparatus 4c according to Embodiment 7 can perform control also in such a way that when the columnar irradiation fields 44 and 45 are formed, the emission and the stop of the charged particle beam 1 are not repeated. For convenience, this example of columnar-irradiation-field generation apparatus will be referred to as a columnar-irradiation-field generation apparatus 4d, in order to distinguish it from the columnar-irradiation-field generation apparatus 4c, explained above. The emission and the stop of the charged particle beam 1 are not repeated when the columnar irradiation fields 44 and 45 are formed, so that there can be performed irradiation of the charged particle beam 1, which is suitable for respiration-synchronized irradiation. For example, the number of pedestals 36 of the RMW 35a is made to be the same as that explained in Embodiment 6, and the number of pedestals 36 of the RMW 35b is made to be the same as that explained in Embodiment 5. When the columnar irradiation fields 44 and 45 are formed, the charged particle beam 1 is made to pass through the pedestal 37 of the RMW 35a or the RMW 35b until the dose is satisfied. As a result, there exists only a single depth of SOBP (SOBP depth a) when the charged particle beam 1 passes through the RMW 35a, and there exists only a single depth of SOBP (SOBP depth b) when the charged particle beam 1 passes through the RMW 35b. On top of that, it is made possible to make SOBP depth b wider than SOBP depth a. Additionally, in the case where the columnar irradiation fields 44 and 45 are formed always without repeating the emission and the stop of the charged particle beam 1, the change control apparatus 30 is not required to generate the control signal Sig1; therefore, the configuration of the change control apparatus 30 can be simplified. The columnar-irradiation-field generation apparatus 4d according to Embodiment 7 changes the energy of the charged particle beam 1 to desired energy, through two kinds of depths of SOBP; thus, two kinds of columnar irradiation fields can have desired ranges. The emission and the stop of the charged particle beam 1 are not repeated when the columnar irradiation fields 44 and 45 are formed, so that there can be performed irradiation of the charged particle beam 1, which is suitable for respiration-synchronized irradiation. In order to line up many kinds (more than two) of depths of SOBP, it is only necessary to arrange the range filters 9 and the RMW apparatuses 66, the number of each of which corresponds to the number of the kinds of depths of SOBPs. In each of the columnar-irradiation-field generation apparatus 4c and 4d according to Embodiment 7, there are not provided the pair of upstream electromagnets and the pair of downstream deflection electromagnets for each of the set of the range filter 9a and the RMW apparatus 66a and the set of the range filter 9b and the RMW apparatus 66b, but there is provided only one set of the pair of upstream electromagnets and the pair of downstream deflection electromagnets; therefore, compared with the columnar-irradiation-field generation apparatus 4a according to Embodiment 1, the length of the apparatus in the irradiation direction (Z direction) of the charged particle beam 1 can be shortened. In the particle beam irradiation apparatus (refer to FIG. 1) having the columnar-irradiation-field generation apparatus 4c or 4d according to Embodiment 7, multi-port irradiation can be implemented based on a treatment plan corresponding to the treatment plan created by the treatment planning apparatus described in Embodiment 1; therefore, as is the case with Embodiment 1, the irradiation flexibility in the depth direction can be raised, without utilizing a bolus. As a result, there can be solved the problem of excess irradiation in IMRT by a particle beam therapy system. Heretofore, the particle beam irradiation apparatuses according to Embodiment 1 through 7 have been explained with a case where the energy of the charged particle beam 1 is changed in the columnar-irradiation-field generation apparatus 4. However, the energy of the charged particle beam 1 can also be changed by changing the parameters for the synchrotron 54. In this embodiment, there will be explained an example where the columnar irradiation fields 44 and 45 are generated by combining the parameters for the synchrotron 54 with the depth-direction irradiation field enlargement apparatus 3. FIG. 14 is a configuration diagram illustrating a particle beam irradiation apparatus according to Embodiment 8 of the present invention. A particle beam irradiation apparatus 60 according to Embodiment 8 is different from the particle beam irradiation apparatuses described in Embodiments 1 through 7 in that the columnar irradiation fields 44 and 45 are generated by making the synchrotron 54 change the energy of the charged particle beam 1, without providing the energy changing apparatus 2 in the columnar-irradiation-field generation apparatus 4. A columnar-irradiation-field generation apparatus 4 (4e) of the particle beam irradiation apparatus 60 has the depth-direction irradiation field enlargement apparatus 3. The depth-direction irradiation field enlargement apparatus 3 is one of the depth-direction irradiation field enlargement apparatuses 3a, 3b, 3c, and 3d, described above. The irradiation control apparatus 33 outputs an energy command value to the synchrotron 54, which is an accelerator, so that the columnar irradiation fields 44 and 45 are formed at the depth-direction positions thereof planned in a treatment plan. In response to the energy command value, the synchrotron 54 changes the energy of the charged particle beam 1 in accordance with the energy command value. After acquiring predetermined energy, the charged particle beam 1 enters the particle beam irradiation apparatus 60 by way of an ion beam transport system 59. The columnar-irradiation-field generation apparatus 4 (4e) changes the energy of the charged particle beam 1 so that a predetermined depth of SOBP planned in a treatment plan is achieved; predetermined columnar irradiation fields 44 and 45 are formed at a predetermined position in a diseased site 40. In the particle beam irradiation apparatus 60 according to Embodiment 8, multi-port irradiation can be implemented based on a treatment plan corresponding to the treatment plan created by the treatment planning apparatus described in Embodiment 1; therefore, as is the case with Embodiment 1, the irradiation flexibility in the depth direction can be raised, without utilizing a bolus. As a result, there can be solved the problem of excess irradiation in IMRT by a particle beam therapy system. The particle beam irradiation apparatus 60 demonstrates the effect of the depth-direction irradiation field enlargement apparatuses 3a, 3b, 3c, and 3d, utilized in the columnar-irradiation-field generation apparatus 4 (4e). Embodiment 9 of the present invention is a particle beam therapy system provided with the particle beam irradiation apparatus described in each of Embodiments 1 through 8. FIG. 15 is a schematic configuration diagram illustrating a particle beam therapy system according to Embodiment 9 of the present invention. A particle beam therapy system 51 includes an ion beam generation apparatus 52, an ion beam transport system 59, and particle beam irradiation apparatuses 58a and 58b (60a and 60b). The ion beam generation apparatus 52 includes an ion source (unillustrated), a prestage accelerator 53, and a synchrotron 54. The particle beam irradiation apparatus 58b is provided in a rotating gantry (unillustrated). The particle beam irradiation apparatus 58a is provided in a treatment room where no rotating gantry is installed. The function of the ion beam transport system 59 is to achieve communication between the synchrotron 54 and the particle beam irradiation apparatuses 58a and 58b. A portion of the ion beam transport system 59 is provided in the rotating gantry (unillustrated), and in that portion, there are included a plurality of deflection electromagnets 55a, 55b, and 55c. A charged particle beam, which is a particle beam such as a proton beam generated in ion source, is accelerated by the prestage accelerator 53 and enters the synchrotron 54. The particle beam is accelerated to have predetermined energy. The charged particle beam launched from the synchrotron 54 is transported to the particle beam irradiation apparatuses 58a and 58b (60a and 60b) by way of the ion beam transport system 59. The particle beam irradiation apparatuses 58a and 58b (60a and 60b) each irradiate a charged particle beam onto a diseased site (unillustrated) of a patient. In the particle beam therapy system 51 according to Embodiment 9, the particle beam irradiation apparatus 58 (60) is operated based on a treatment plan generated by the treatment planning apparatus described in Embodiment 1, and a charged particle beam is irradiated onto a diseased site of a patient; therefore, the problem of excess irradiation in IMRT by a particle beam therapy system can be solved by raising the irradiation flexibility in the depth direction, without utilizing a bolus. The particle beam therapy system 51 according to Embodiment 9 irradiates a beam having a columnar dose distribution; therefore, compared with irradiation of a beam having a spot-like distribution, the particle beam therapy system 51 has an advantage in that the irradiation time is shortened. Moreover, multi-port irradiation can be performed; therefore, in the case where irradiation is implemented onto the same diseased site, the damage to the body surface, which is a normal tissue, can be reduced, whereby irradiation can be prevented from being preformed onto a risk site (such as a spinal cord, an eyeball or the like), onto which a particle beam should not be irradiated. Furthermore, the particle beam therapy system 51 according to Embodiment 9 has an advantage that multi-port irradiation can remotely be performed. Remote multi-port irradiation, which does not require that an engineer or the like enters a treatment room so as to operate the rotating gantry, means that the direction of irradiation onto a diseased site is changed among many directions remotely from the outside of the treatment room and then a particle beam is irradiated. As described above, the particle beam therapy system according to the present invention has a simple irradiation system that requires neither an MLC nor a bolus; therefore, neither bolus replacement work nor MLC-shape confirmation work is required. As a result, there is demonstrated an effect that remote multi-port irradiation can be performed and the treatment time is considerably shortened. Additionally, as the columnar-irradiation-field generation apparatus 4 having the energy changing apparatus 2 and the depth-direction irradiation field enlargement apparatus 3, there can be utilized the energy changing apparatus 2b described in Embodiment 2 or the depth-direction irradiation field enlargement apparatus 3b described in Embodiment 3. Heretofore, in Embodiments 5 through 7, there has been explained an example where in order to form a plurality of depths of SOBP, the depth-direction irradiation field enlargement apparatus makes the RMW 35 rotate at a predetermined constant speed and repeats the emission and the emission stop of the charged particle beam 1 in such a way that the charged particle beam 1 passes through only selected pedestals. There exists another way to form a plurality of depths of SOBP by use of the RMW 35. For example, there will be explained a case where there is formed SOBP depth 1, which is a depth of SOBP when the pedestals 36e and 36f are selected. As the motor 62, a servo motor or a stepping motor is utilized. The position of the RMW 35 is set in such a way that the charged particle beam 1 passes through the pedestal 36f, and then irradiation of the charged particle beam 1 is started. After a certain time elapses, the motor 62 sets the position of the RMW 35 in such a way that the charged particle beam 1 passes through the pedestal 36e. After a certain time elapses, the motor 62 sets the position of the RMW 35 in such a way that the charged particle beam 1 passes through the pedestal 36f. By changing the positions of the RMW 35 in such a way that the charged particle beam 1 shuttles between the positions of the pedestal 36e and 36f in a constant cycle, SOBP depth 1 can be formed. In the case where there is formed SOBP depth 5, which is a depth of SOBP when the pedestals 36a through 36f are selected, it is only necessary to change the position of the RMW 35 in such a way that the charged particle beam 1 shuttles between the positions of the pedestals 36a and 36f in a constant cycle. In addition, there may be repeated the procedure in which the charged particle beam 1 is stopped every constant time and then the position, of the pedestal 36, through which the charged particle beam 1 passes through is changed, and after that, the charged particle beam 1 is emitted. The procedure, in which the charged particle beam 1 is not stopped and the position of the RMW 35 is changed in such a way that the charged particle beam 1 shuttles between the positions of the pedestal 36a and 36f, can be applied to respiration-synchronized irradiation. Various modifications and alterations of this invention will be apparent to those skilled in the art without departing from the scope and spirit of this invention, and it should be understood that this is not limited to the illustrative embodiments set forth herein. |
|
description | 1. Field of the Invention The present invention is a variation of a set-up to generate phase contrast X-ray images using special arrangements of gratings. The set-up can be used to record absorption contrast images, phase contrast images, and dark field contrast images of an object. The arrangement thereby improves the visibility of low absorbing specimens and can therefore significantly reduce the required radiation dose without compromising the image quality or provide complimentary image information. In comparison to existing arrangements in x-ray grating-based imaging systems, the present configuration uses gratings made in a novel planar geometry. This approach has two essential advantages: (i) it allows for the fabrication of gratings with extreme aspect ratios, making the method particularly useful for high x-ray energies; and (ii) it can be used to realize grating geometries matched to divergent beam geometries. This arrangement of gratings is particularly suited for a scanning type of x-ray phase contrast imaging, comprising one or several line detectors and a translation of the sample during image acquisition. Envisaged applications are for medical scanners (in particular mammography), inspection at industrial production lines, non-destructive testing, and homeland security. 2. Physical Background It is well known that, differently from conventional visible light optics, the refractive index in X-ray optics is very close to and smaller than unity. In first approximation, for small and negligible anisotropy in the medium, the index of refraction characterizing the optical properties of a tissue can be expressed—including X-ray absorption—with its complex form: n=1−δ−iβ where δ is the decrement of the real part of the refractive index, characterizing the phase shifting property, while the imaginary part β describes the absorption property of the sample. In conventional absorption-based radiography, the X-ray phase shift information is usually not directly utilized for image reconstruction. However, at photon energies greater than 10 keV and for light materials (made up of low-Z elements), the phase shift term plays a more prominent role than the attenuation term because β is typically three orders of magnitude larger than β. As a consequence, phase-contrast modalities can generate significantly greater image contrast compared to conventional, absorption-based imaging. Furthermore, far from absorption edges, δ is inversely proportional to the square of the X-ray energy whilst β decreases as the fourth power of energy. A significant consequence of this mechanism is that phase signals can be obtained with much lower dose deposition than absorption, a very important issue when radiation damage has to be taken into account such as in biological samples or in living systems. Several approaches have been developed in order to record the phase signal. They can be classified as interferometric methods (with crystals), phase propagation methods, techniques based on an analyzer crystal, or on x-ray gratings. The described invention is in context with the latter technique. Grating based x-ray imaging setups essentially detect the deflections of x-rays in the object. Such deflections can be either caused by refraction on phase shift gradients in the object resulting in differential phase contrast (DPC) or by scattering on inhomogeneities in the sample resulting in the so-called dark-field image (DFI) contrast. The DPC image signal can be used to obtain phase contrast (PC) images by image processing routines. Set-ups with two gratings (G1 and G2) or three gratings (G0, G1, and G2) can be applied to record the deflection of the x-rays. In the case of a two-grating set-up, the source needs to fulfill certain requirements regarding its spatial coherence, while in a three grating setup no spatial coherence is required. Therefore, the three grating set-up is suited for use with incoherent x-ray sources, in particular with x-ray tubes. To separate the conventional attenuation contrast (AC) from the DPC and DFI contrast, a phase-stepping approach is applied. One of the gratings is displaced transversely to the incident beam whilst acquiring multiple images. The intensity signal at each pixel in the detector plane oscillates as a function of the displacement. The average value of the oscillation represents the (AC). The phase of the oscillation can be directly linked to the wave-front phase profile and thus to the DPC signal. The amplitude of the oscillation depends on the scattering of x-rays in the object and thus yields the DFI signal. For the (two or three) gratings, several approaches have been proposed and applied. The grating G0 (if required) is the one closest to the source. It usually consists of a transmission grating of absorbing lines with the period p0. It can be replaced by a source that emits radiation only from lines with the same period. The grating G1 is placed further downstream of the source. It consists of lines with a period p1. The grating G2 is the one most downstream of the setup. It usually consists of a transmission grating of absorbing lines with the period p2. It can be replaced by a detector system that has a grating-like sensitivity with the same period. Two regimes of setups can be distinguished: in the so called “near field regime” and the “Talbot regime”. In the “near field regime”, the grating periods p, grating distances d and the x-ray wavelength λ are chosen such, that diffraction effects are negligible. In this case, all gratings need to consist of absorbing lines. In the “Talbot regime”, diffraction on the grating structures is significant. Here G1 should consist of grating lines that are either absorbing or, preferentially, phase shifting. Several amounts of phase shift are possible, preferentially π/2 or multiples thereof. The grating periods must be matched to the relative distances between the gratings. In case of setups in the “Talbot regime” the Talbot effect needs to be taken into account to obtain good contrast. The sample is mostly placed between G0 of G1 (or upstream of G1 in case of a two-grating set-up), however it can be advantageous to place it between G1 and G2. The presented inventions are relevant in all of the abovementioned cases, i.e. in the two- and three-grating case, in the case of the “nearfield regime” and the “Talbot regime”, and for the sample placed upstream or downstream of G1. Some commercial x-ray imaging systems use a scanning scheme for imaging. The sample is irradiated with a fan beam, and a line detector and a sample translation are used to acquire a 2-dimensional image of the object. The main advantages of the scheme are, that line detectors are much less expensive than 2D detectors, and that they can be made with higher efficiency, which reduces radiation dose to the sample. A combination of grating-based x-ray imaging with a scanning setup has been proposed, and experimentally verified (see FIG. 2). This scanning set-up is of particular interest in context of the invention described further below. When a single set of gratings and line detector is used, either the single step approach can be applied, or a phase stepping needs to be done by moving one of the three gratings perpendicular to the grating lines. This phase stepping scan needs to be nested with the object scan, and can thus be very complicated or time consuming. A nested phase stepping and object scan can be avoided by using n fan beams, n sets of grating and n line-detectors. By aligning each of the n sets with a different phase-stepping position, the object will be scanned in n phase-step positions without moving any mechanical parts (besides the object). The key components of grating-based x-ray imaging are obviously the gratings. Two main technical difficulties are encountered in the fabrication and application of these gratings: 1) The sensitivity of grating based imaging becomes better with decreasing grating periods, which are therefore in the micrometer range (typ. 1-20 microns). On the other hand, the required thickness of the grating lines (i.e. their dimension along the beam path) has to be sufficient to induce enough attenuation (in case of absorbing lines) or sufficient phase shift (in case of phase-shifting gratings). Especially for high x-ray energies, for example above 50 keV, the required grating line thicknesses are usually much higher than the period of the grating lines, resulting in very high aspect ratios. For high x-ray energies, gratings with such high aspect ratios are very difficult, or even impossible, to fabricate. 2) For the use with x-ray tube sources, the image detector size is comparable to the source distance, meaning that the beam has a significant divergence, resulting in a cone-beam geometry, where a 2-dimensional detector is used, and in a fan-beam geometry, where a 1-dimensional (line) detector is used. When the gratings are made on flat substrates with the surface normal along the optical axis (as indicated in FIG. 1), the beams towards the edge of the image field will hit the grating angle in an inclined angle as indicated in FIG. 4. This leads to loss of phase or dark-field contrast, and poses a fundamental problem especially at high x-ray energies where extreme aspect ratios of the grating lines are required. The grating lines would need to be tilted towards the source point, which is difficult to realize for substrates oriented normal to the optical axes. Attempts to bend the substrate or to compose the gratings of smaller pieces, each facing towards the source, have been proposed. However these approaches are technically difficult and expensive. The present invention now has the objective to: 1. Allow to fabricate extremely high aspect ratios gratings for high x-ray energies 2. Allow to shape such gratings to arbitrary geometries, in particular to match fan-beam geometries. 3. Allow to place the gratings in such a manner that phase-stepping can be performed without moving any component other than the sample These objectives are achieved according to the present inventions by an arrangement for x-rays, in particular hard x-rays, for obtaining quantitative x-ray images from a sample including: a) an X-ray source (x-ray); b) a set of at least two gratings (G0, G1 and G2 and G1, G2 resp.); c) a position-sensitive detector (PSD) with spatially modulated detection sensitivity having a number of individual pixels; d) means for recording the images of the detector (PSD); e) means for evaluating the intensities for each pixel in a series of images in order to identify the characteristic of the object for each individual pixel as an absorption dominated pixel and/or a differential phase contrast dominated pixel and/or an x-ray scattering dominated pixel; f) wherein the series of images is collected by continuously or stepwise rotating from 0 to π or 2π either the sample or the arrangement and the source relative to the sample g) wherein the gratings (G0 (if required), G1 and G2) or part of such a grating set are manufactured according to a novel planar geometry where the X-rays pass through the gratings parallel to the substrate, h) whereby the grating structures extend along the x-ray path which determines the phase shift and attenuation that these grating structures cause to the x-rays, being no longer given by the thickness of the structures, but by the length of the grating structures. Further preferred examples of the present invention are listed in the dependent claims. 1. High aspect ratio gratings. A novel planar geometry for gratings-based imaging where the X-rays pass through them parallel to the substrate and not perpendicular to it as it has been done so far. The extension of the grating structures along the x-ray path, which determines the phase shift and attenuation that these structures cause to the x-rays is no longer given by the thickness of the structures, but by the length of the structures. Thanks to this new geometry, planar technology can be used and arbitrary aspect ratios can be obtained. These planar fabrication technologies include (list not exhaustive) (a) Micro-machining, e.g. cutting of grooves by a dicing saw. (b) Lithographic techniques, in particular photo lithography, x-ray lithography, or electron beam lithography, used to pattern a resist layer. (c) Lithographic techniques, in particular photo lithography, x-ray lithography, or electron beam lithography, used to pattern a resist layer, which is then transferred by etching techniques. Here, deep reactive ion etching of silicon is a very suitable technique. (d) Lithographic techniques, in particular photo lithography, x-ray lithography, or electron beam lithography, used to pattern a resist layer, which is then transferred by a deposition technique. Here, electroplating (e.g. of gold or nickel) into a mold is a very suitable technique. (e) Replication techniques to produce copies from masters made by the above-mentioned techniques. The abovementioned planar fabrication techniques can be used in a variety of ways including: (i) Patterning a substrate or a substrate layer made of an absorbing material (e.g. a heavy metal) to produce absorption grating structures. (ii) Patterning a substrate or a substrate layer made of a low absorbing material (e.g. silicon or polymer to produce phase grating structures. (iii) Patterning a substrate or a substrate layer made of a low absorbing material (e.g. silicon or polymer), and to fill the grooves with a high absorbing material (e.g. a heavy metal) to produce absorption grating structures. (iv) Patterning a substrate or a substrate layer made of a low absorbing material (e.g. silicon or polymer), and to cover the side walls of the grooves with a high absorbing material (e.g. a heavy metal) to produce absorption grating structures. Structures along the beam direction can be several mm up to cm long. The planar approach can be applied to fabricate G0 (if required), G1, and G2, or part of such a grating set. Both absorption and phase shifting gratings can be produced by the planar approach. Combinations of G0 and G1, or G1 and G2 can be fabricated on a single substrate with excellent relative placement accuracy and mechanical stability. It could also be envisioned to fabricate the line detector on the same substrate as G2 or a on the same substrate as G1 and G2. The height of the structures limits the height of the fan beam that can be used. Structure heights of tens of microns or even several hundred microns can be obtained by the planar fabrication techniques. Higher structures can be obtained by stacking two patterned substrates on top of each other, face to face. The mechanical alignment could be done by lithographically defined notches and grooves, designed to make the two substrates snap into each other with the right relative position. 2. Arbitrary shape of the grating geometry—Increased visibility and sensitivity. According to the aforementioned fabrication approach and illumination scheme it results that the grating structures can be designed and realized following any arbitrary geometry. In particular, this allows matching the divergence of the beam emerging from a conventional X-ray source, resulting in: i.) increased visibility up to very large field of views ii.) increased sensitivity over the whole field of view 3. Integrated phase stepping Given the planar geometry we suggest different grating designs that can be used to perform phase stepping without the need of physically moving the gratings. In particular: a. G1 and G2 can be positioned (either on the same wafer directly during the lithographical process or mechanically if G1 and G2 are on two different supports) in such a manner that the phase relation between G1 and G2 corresponds exactly to the value for which the intensity curve can be expanded by a first order Taylor series according to the “single step” approach. b. A set of n phase steps can be obtained by using n sets of planar grating and n line detectors, analogous to FIG. 3. By aligning each of the n sets with a different phase-stepping position, the object will be scanned in n phase-step positions without moving any mechanical parts (besides the object). The relative alignment can be achieved by stacking n gratings on top of each other, see FIG. 6. The mechanical alignment could be done by lithographically defined notches and grooves, designed to make the two substrates snap into each other with the right relative position. |
|
summary | ||
abstract | A method and device for accurately and reproducibly positioning a woman's breast to receive radiation while the woman is positioned in a prone, face-down position upon a radiation treatment table are disclosed. The treatment table is useable with radiation accelerator tables of existing linear accelerator machines. The treatment table includes a generally flat patient support surface having an opening that allows the patient's breast to fall dependently below the support surface through the opening yet remain above the radiation accelerator table such that the breast can be irradiated for therapy or treatment. The platform further includes a head positioning device connected to the support surface such that a woman's head can be placed in the positioning device while she lies in the prone position and such that one or both of her breasts can be accurately and reproducibly positioned for treatment through the opening. The platform may include memory foam for achieving reproducible positioning from patient to patient. |
|
claims | 1. A method for forming shallow junctions, the method comprising the steps of:generating an ion beam comprising molecular ions based on ZnCxFy, wherein Z represents one or more atomic species other than carbon or hydrogen; andcausing the ion beam to impact a semiconductor wafer. 2. The method according to claim 1, wherein the impact of the ion beam causes at least one portion of the semiconductor wafer to be amorphized prior to ion implantation of dopants into the semiconductor wafer. 3. The method according to claim 2, wherein the impact of the ion beam further causes one or more co-implant species selected from a group consisting of carbon and fluorine to be placed in one or more predetermined locations in the semiconductor wafer. 4. The method according to claim 2, further comprising:performing a low-temperature ion implantation on the semiconductor wafer to incorporate dopants into the amorphized portion of the semiconductor wafer. 5. The method according to claim 1, wherein the impact of the ion beam causes one or more co-implant species to be placed into the semiconductor wafer. 6. The method according to claim 5, wherein the one or more co-implant species are selected from a group consisting of carbon and fluorine. 7. The method according to claim 6, wherein the one or more co-implant species are placed in an end-of range area within the semiconductor wafer. 8. The method according to claim 1, wherein, during the impact of the ion beam, the semiconductor wafer is in a temperature range substantially lower than room temperature. 9. A method for forming shallow junctions, the method comprising the steps of:generating an ion beam comprising molecular ions based on one or more materials selected from a group consisting of: germanium nitride (Ge3N4) and germanium-fluorine compounds (GeFn, wherein n=1, 2, or 3); andcausing the ion beam to impact a semiconductor wafer. 10. The method according to claim 1, wherein the impact of the ion beam causes at least one portion of the semiconductor wafer to be amorphized prior to ion implantation of dopants into the semiconductor wafer. 11. The method according to claim 1, further comprising:performing a first ion implantation on the semiconductor wafer to incorporate dopants into the semiconductor wafer; andperforming a second ion implantation on the semiconductor wafer to place one or more co-implant species in the semiconductor wafer, the one or more co-implant species being implanted with molecular ions based on one or more materials selected from a group consisting of CF, CF2, ZnCxFy, and CxHyZn, wherein Z represents one or more atomic species other than carbon or hydrogen. 12. The method according to claim 1, further comprising:performing a first ion implantation on the semiconductor wafer to place one or more co-implant species in the semiconductor wafer, the one or more co-implant species being implanted with molecular ions based on one or more materials selected from a group consisting of CF, CF2, ZnCxFy, and CxHyZn, wherein Z represents one or more atomic species other than carbon or hydrogen; andperforming a second ion implantation on the semiconductor wafer to incorporate dopants into the semiconductor wafer. 13. The method according to claim 1, further comprising:performing ion implantation on the semiconductor wafer to incorporate dopants into the semiconductor wafer, wherein, at least at the beginning of the ion implantation, a temperature of the semiconductor wafer is substantially lower than room temperature. 14. The method according to claim 13, wherein the temperature of the semiconductor wafer is lower than zero degree Celsius. 15. The method according to claim 13, wherein the temperature of the semiconductor wafer is pre-chilled to a desired temperature prior to the ion implantation. 16. The method according to claim 13, wherein the semiconductor wafer is maintained in a desired temperature range during at least a portion of the ion implantation. 17. The method according to claim 1, wherein, during the impact of the ion beam, the semiconductor wafer is in a temperature range substantially lower than room temperature. 18. An apparatus for forming shallow junctions, the apparatus comprising:an ion source assembly to generate an ion beam comprising molecular ions based on one or more materials selected from a group consisting of: germanium nitride (Ge3N4) and germanium-fluorine compounds (GeFn, wherein n=1, 2, or 3); andone or more components to cause the ion beam to impact a semiconductor wafer. 19. The apparatus according to claim 18, wherein the impact of the ion beam causes at least one portion of the semiconductor wafer to be amorphized prior to ion implantation of dopants into the semiconductor wafer. 20. The apparatus according to claim 18, being further configured to maintain the semiconductor wafer in a temperature range substantially lower than room temperature during the impact of the ion beam. 21. The apparatus according to claim 18, being further configured to perform a low-temperature ion implantation on the semiconductor wafer to incorporate dopants into an amorphized portion of the semiconductor wafer. 22. An apparatus for forming shallow junctions, the apparatus comprising:an ion source assembly to generate an ion beam comprising molecular ions based on ZnCxFy, wherein Z represents one or more atomic species other than carbon or hydrogen; andone or more components to cause the ion beam to impact a semiconductor wafer. 23. The apparatus according to claim 22, wherein the impact of the ion beam further causes one or more co-implant species selected from a group consisting of carbon and fluorine to be placed in one or more predetermined locations in the semiconductor wafer. 24. The apparatus according to claim 22, wherein the impact of the ion beam causes one or more co-implant species to be placed into the semiconductor wafer. 25. The apparatus according to claim 24, wherein the one or more co-implant species are selected from a group consisting of carbon and fluorine. 26. The apparatus according to claim 25, wherein the one or more co-implant species are placed in an end-of-range area within the semiconductor wafer. 27. The apparatus according to claim 22, wherein the impact of the ion beam causes at least one portion of the semiconductor wafer to be amorphized prior to ion implantation of dopants into the semiconductor wafer. 28. The apparatus according to claim 22, being further configured to maintain the semiconductor wafer in a temperature range substantially lower than room temperature during the impact of the ion beam. 29. The apparatus according to claim 22, being further configured to perform a low-temperature ion implantation on the semiconductor wafer to incorporate dopants into an amorphized portion of the semiconductor wafer. |
|
description | The present invention relates to a device for supporting plate-shaped elements of nuclear fuel for a fast-flux nuclear reactor, more particularly a fourth generation fast-flux reactor with a high temperature heat transfer gas, called a GFR (Gas Fast Reactor) reactor, with a fissile bundle formed by a plurality of said supporting devices loaded with nuclear fuel plates and a monolithic assembly including such a fissile bundle. Generally, plants for producing power from nuclear fission reactions use fuel elements in which fissions occur releasing heat power, which is extracted therefrom by heat exchange with a heat transfer fluid ensuring their cooling. In the case of plates of fuels, the latter are combined in a group and positioned parallel to each other within each group. The heat transfer fluid, for example helium, flows between the plates ensuring extraction of the calories by heat exchanges. The core of the reactors is formed by structures which have several functions. From a thermo-hydraulic point of view, with the structures, it is possible to guarantee heat transfer between the fuel elements and the heat transfer fluid in all the operating situations, i.e. they guarantee the positioning of the fuel elements and the thicknesses of the heat transfer fluid layers required for their cooling, as well the supply of the hydraulic channels with heat transfer fluid. For this, the structure should sufficiently support the fuel elements so that the thicknesses of heat transfer fluid layers flowing between the plates vary very little, and further that the relative positioning of the plates is such that circulation of the fluid is allowed in a homogenous way on the faces of the plates. Moreover, it is desired that these structures introduce a minimum of pressure losses in the assembly, notably in order to allow evacuation of the residual power in a natural convection mode during certain accidental situations. By <<volume fraction of a component>> is meant the ratio between the volume of the component over the volume of the core. From a neutron point of view, it is sought to guarantee the stability of the geometry in the space of the fuel elements, to ensure a given density of the filling of fissile fuel so as to be able to reach a bulk power required for proper operation and for good yield of the reactor and such that the structures are the most <<transparent>> as possible to neutrons in order not to perturb the neutron operation of the core, and this regardless of the operating mode of the reactor, either in rated operation or when operating in an accidental situation. For this, it is sought to reduce as much as possible the volume fraction of the structures of the core as well as their neutron absorption and moderation coefficient. From a mechanical point of view, the structures are intended to ensure the mechanical architecture of the core. Moreover, it is required that these structures ensure the integrity of the fuel elements during the whole of their lifetime, in order to guarantee proper neutron operation of the core and not to contaminate the primary circuit. Moreover, generally, when operating the reactors, the fuel elements which are heating elements, are at a higher temperature than their supporting structures, which leads to positive differential heat expansion of the fuel elements relatively to their supporting structure. In the particular case of fast reactors in which the core is not moderated, for which reference is made to a fast spectrum (a heat spectrum in the case when the core is moderated), there exists a larger risk of degradation of the fuel elements than in a heat spectrum since the fast spectrum introduces differential swelling phenomena in addition to differential expansions. By swelling is meant a definite deformation of the materials, unlike heat expansion for which deformation is reversible. Therefore, locally, risks of interaction stresses between the fuel elements and their supporting structure are higher; and at the level of the entire core, bowing of the assemblies over a core height may be significant, while it was not significant in a heat spectrum. This may then have two consequences: a risk of mechanical loading of the fuel elements by the supporting structures, a risk of mechanical loading between the elements ensuring the mechanical architecture of the core, which may be transferred to the fuel elements. Additionally, the fast spectrum causes embrittlement of the materials under irradiation notably because of: the increase in the ductile/brittle transition temperature, the occurrence of brittle phases and excessive swelling of the materials. This embrittlement makes accommodation more difficult of the loads of the imposed deformation type, whether they are expressed as internal stresses within the materials or as interaction stresses between the parts. Moreover, it is desirable that these structures facilitate the operations of all the steps of the fuel cycle, notably handling operations in general, assembling, disassembling, transport and reprocessing operations. Finally, as all the constituents of the reactor core are dangerous for the environment, the latter should undergo reprocessing steps. It is therefore desirable to promote demountability of the structures of the core in order to optimize their lifetime and thereby minimize the amount of activated waste. Further, a high bulk fuel fraction should be attained, at least of the order of 20% in order to obtain a fast spectrum, isogeneration, or even overgeneration, an interesting yield, controllable reactivity in order to guarantee sufficient safety margins for controlling the reactor and finally an acceptable inventory of fissile material. Moreover, in order to meet the goals set for fourth generation reactors, it appears that with the cores of reactors of the GFR type, in addition to the fact that they should have a fast flux ensuring the possibility of obtaining a conversion rate at least equal to 1, in order to considerably reduce the amount of uranium required per energy unit produced and/or a capacity of transmutating the waste products (fission products and minor actinides), it should also be possible with a heat transfer gas, to reach at the outlet of the reactor a sufficiently high average temperature value compatible with contemplated applications for producing electricity with a high yield (for example in a direct cycle), for producing hydrogen, for desalting sea water or for synthesizing fuel; this value is set to about 900° C. for an inlet temperature of the order of 400° C., which gives rise to an axial heat gradient in the core of 500° C. These goals of using a heat transfer gas, at a temperature at the outlet of the core and with a very large heat gradient in the core for a GFR type reactor, among all the reactor systems, lead to very strict operating conditions as regards local differential thermal expansions, which lead to a risk of degradation of the fuel elements. Indeed, the heat transfer gas is not very efficient for ensuring local cooling of a hot point by conductive exchange, both because of the low heat conductivity of the gas, as compared with water of pressurized water reactors or with sodium of fast neutron reactors, and because of the very high rate which has to be applied to it accordingly in order to ensure the cooling of the fuel elements; about a hundred m/s are required for the gas, against only about 10 m/s in fast neutron reactors and about 5 m/s in pressurized water reactors for example. Further, the core globally has very large heat gradients: the average axial rise in temperature is actually predicted along the plates to be of the order of 500° C., against about 180° C. at most, for a fast neutron reactor, and only 37° C. for a pressurized water reactor. Moreover, swelling under irradiation is generally dependent on temperature. Therefore, the existence of strong local heat gradients risks a further increase in the significance of these differential swellings. Differential deformations are all the more bothersome in the case of GFR type reactors, since the latter apply refractory materials adapted to the high temperatures of these reactors, these materials intrinsically having lower toughness and reserve of ductility than the metal materials conventionally used in reactors, they are therefore particularly sensitive to these deformations. There exist several types of reactors using fuel elements of the plate type, for example experimental reactors of the MTR (Material Testing Reactor) type, such as for example the Jules Horowitz Reactor (JHR). In this reactor, the plates are supported over the whole of their height by slider connections, the plates occupying the whole of the height of the core. This type of design cannot be suitable for GFR type reactors because the occurrence of differential expansion and of differential swelling would cause application of unacceptable stresses on the plates. A supporting structure for fuel elements of the plate type for a reactor cooled by a heat transfer gas is also known from document GB 2,021,844 A. This supporting structure consists of a hexagonal box in which is attached a central separation element in the form of a spider, which extends over the whole height of the box. The plates are held transversely in grooves made in the spiders and in the internal faces of the hexagonal box. The plates do not occupy the whole height of the core, but are stacked on each other, the lower plate lying on an abutment made at the base of each groove. The supporting structure of the plates is particularly rigid, since it consists of a totally partitioned thick box and with great inertia, and providing rigidity over the whole of its height by the central spider. This type of structure cannot always be suitable in the case of a GFR type reactor, since it does not consider the swelling and expansion of the constituents of the structure. Indeed, a deformation of the fuel plates would be impeded by the rails, which would apply a stress which may be damageable to the plates. Further because of the stacking of the plates over the whole height of the core, immobilization of a plate in its rails because of swelling, would then block the axial deformations of the other plates: under these conditions a loading of the deformation type imposed to the fuel elements would be obtained, which would lead rapidly to their ruin. Moreover, handling of this structure is not easy, indeed, it does not allow separation of the plates per modules, only individual handling of the plates is possible. Document GB 1,162,641 also describes an assembly of fuel elements of the plate type. The assembly consists of a hexagonal casing provided with three central partitions forming a spider, wherein the plates are directly integrated. The plates occupy the whole height of the fissile bundle, they are welded at the bottom of the bundle and their spacing at the top of the bundle is ensured by a comb system allowing them to freely expand axially. The side edges of the plates are in contact with the inner walls of the casing over the whole of their length. The side contact with the casing and its internal partitions does not allow differential transverse deformation between the casing and the plates, and the welding of the plates at their lower end does not allow them to bow. These transverse contact conditions of the plates over the whole height of the casing would moreover lead to loading of the plates in the case of bowing of the casing. Document GB 907,393 describes a system for holding fuel plates for a fast-flux reactor. The plates are positioned in a box with a rectangular section and occupy the whole height of the box. The latter are maintained at an upper zone and at a lower zone by lateral racks with mechanical functional play which should allow them to freely bow under the effect of the swelling of the fuel. The fuel plates are interdependent on each other, a deformation of one of the plates therefore has consequences on the neighboring plates. Moreover, the supporting system is rigid, the plates may therefore also undergo stresses during their swelling and/or expansion. Accordingly an object of the present invention is to provide an assembly of nuclear fuel for a fast reactor, wherein the nuclear fuel plates may deform, as well as the other elements forming the assembly without being deteriorated by interaction between the plates and the different elements, while observing a very high bulk fraction of fuel material in the core, advantageously of at least 20%. The goals stated earlier are achieved by an assembly including a casing surrounding a structure forming a cage, in which plates are distributed storeywise, the plates are positioned parallel to each other per group and are only held by their lower and upper ends in the direction of their width. Thus, the plates parallel to each other are mechanically independent and have no influence on each other. Moreover, by means of the invention, there is no mechanical interaction between the plates of two distinct storeys. Moreover, the cage and the casing are dissociated, as well as the deformations of the casing/the cage respectively do not have any influence on the cage/casing respectively. Further, the cage is such that the plates also do not come into contact with the casing. Thus, deformations by expansion and/or swelling of each part of the assembly may occur freely, without any significant interaction stresses. In other words, the present invention ensures mechanical decoupling between the fuel elements and the supporting structures, but also decoupling between the axial fuel elements. Further, it ensures decoupling between the supporting structures and the structure of the core. The invention then applies connections of the isostatic type between each fuel element and its supporting structure in order to avoid the occurrence of interaction stresses which may lead to the ruin of the fuel elements. By a connection of the isotatic type, is meant the absence of overabundance of the connections between the structures and the fuel elements, and the presence of mechanical functional plays, and/or supporting elastic stiffnesses for the existing connections, in order to allow free deformation of the fuel elements in their supporting structure. According to the invention, it is therefore notably provided that the assembly includes several storeys of mechanically independent plates. Provision is notably be made for making a relatively flexible supporting structure capable of avoiding that the supporting structures apply significant stresses to the fuel plates in the case of larger differential deformations than predicted. Further, the structure achieves axial support of the plates, which is less cumbersome than a transverse support. This reduction of the required space allows limitation of the reduction of the fuel volume fraction in the core and too significant hydraulic dead volumes may be avoided. With the invention, it is therefore possible to avoid mechanical interaction between the faces of the fuel plates, to dissociate and mechanically decouple the structures ensuring support of the fuel elements from those ensuring the mechanical architecture of the core, and attain a high volume fraction. The subject-matter of the present invention is then mainly a device for supporting nuclear fuel plates with a longitudinal axis including a bottom forming a lower longitudinal end of the supporting device and a lid forming an upper longitudinal end of the supporting device, the bottom and the lid being connected to each other through a central connecting means and peripheral connecting means, a plurality of upper supporting means for the plates attached to the lid, a plurality of lower supporting means for the plates attached to the bottom, each upper supporting means ensuring elastic support of an upper longitudinal end of a plate in a direction of the width of the plate and allowing free deformation of the upper longitudinal end of the plate in a direction of the thickness of the plate, and each lower supporting means ensuring elastic support of a lower longitudinal end of a plate in a direction of the width of the plate and allowing a movement of the lower longitudinal end of the plate in the direction of the thickness of the plate. In a particularly advantageous embodiment, each upper supporting means includes an upper comb provided with a plurality of aligned teeth, protruding longitudinally toward the bottom, one of said teeth being capable of exerting an elastic force along the direction of the width of the plate and each lower supporting means includes a lower comb provided with a plurality of aligned teeth, protruding longitudinally towards the lid, one of said teeth being capable of exerting an elastic force along the direction of the width of the plate. These supporting means are of a very simple and not very bulky design, therefore the cost price is reduced, also the bulkiness. Moreover, these supporting means participate in reducing the volume fraction of the supporting device. The teeth providing the elastic support provide support and a positioning reference along the width of the tooth, and the other teeth ensure transverse support while ensuring freedom of transverse deformation, i.e. a capability of accommodating the transverse bowing of the plate as well as differential deformations with the plate. Advantageously, the teeth capable of exerting an elastic force along the direction of the width of the plate are positioned at an axial end of the lower and upper combs positioned towards a central portion of the bottom and of the lid respectively, which guarantees observance of the width of the gas layer flowing between an end of the first plate and the face of a second plate tilted relatively to the first plate. For example, the teeth capable of exerting an elastic force along the direction of the width of the plate include at least one elastically deformable curved tab in a single piece with the tooth. This design has the advantage of being simple and robust. The supporting device may have a cross-section having the shape of a regular hexagon, the upper and lower supporting means being distributed in three rhombus-shaped areas, a rhombus of the bottom facing a rhombus of the lid. With this shape, it is possible to ensure the mechanical architecture function of a fast-flux reactor having hexagonal casings. The lower combs of a same area may then be positioned parallel to both sides of the rhombus and are separated by said given distance, and all the upper combs of a same area are positioned parallel to two sides and are separated by said given distance. The supporting device may include three longitudinal tie rods attached on the periphery of the bottom and of the lid, connecting the bottom and the lid. These tie rods keep the bottom and the lid substantially parallel and avoid the occurrence of a too large misalignment between the bottom and the lid while participating in a structure of small volume and of reduced mass. The supporting device may also include a central beam interposed between the bottom and the lid, said beam including a longitudinal through-passage, this beam forming a support for the upper supporting devices. This beam advantageously has a hexagonal shape, ensuring constant distance between this central beam and the edges of plates in order to limit the aeraulic dead spaces and guarantees geometrical tolerance of the angular positioning of the lid relatively to the base. The supporting device according to the invention also includes advantageously means for orienting an upper supporting device, a bottom of which is intended to rest on the lid so as to align the plates positioned in the supporting device with those positioned in the upper supporting device, the relative orientation allowing alignment of the plates over the whole height of the stack and improved gas flow may be obtained between the plates by means of continuous inter-plate channels for circulation of the gas and with a substantially constant section. These orientation means may include small plates attached on a periphery of the lid and protruding longitudinally away from the bottom, so as to delimit a perimeter with a hexagonal shape. They are of very simple design and also form preferential contact means with the casing of the assembly. The small plates are for example attached on the longitudinal tie rods. The supporting devices form a preferential handling means for fuel plates for all the steps of the fuel cycle (mounting, handling, transport, storage, reprocessing) and allow minimization of the amount of activated waste. The subject-matter of the present invention is also a fissile bundle including at least one first and one second supporting device according to the present invention, and plates of nuclear fuels loaded in said first and second supporting devices, the second supporting device being stacked on the first supporting device, the bottom of the second supporting device resting on the lid of the first supporting device. The plates then advantageously include axial cavities at their longitudinal ends with a shape corresponding to that of the teeth. By segmentation of the fissile bundle, it is possible to accommodate the bowing of the casing by relative flexure of the supporting devices relatively to each other. The fissile bundle according to the invention advantageously includes a base on which rests the bottom of the first supporting device, and a central tie rod attached in a central area of the base and longitudinally passing through the central beams and an upper abutment attached to the central tie rod. Thus, the fissile bundle may be handled easily. Further, with the central tie rod, it is possible to avoid delocalization of the baskets by means of the upper abutment attached at the top of the tie rod. The fissile bundle advantageously includes means for orienting a lower supporting device, the bottom of which rests on the base, these orientation means including small plates attached on a periphery of the base and protruding longitudinally towards the bottom so as to delimit a perimeter of hexagonal shape. The dimensioning of these small plates also determines the capability of flexure of the lower supporting device relatively to the base. The plates advantageously include axial cavities at their longitudinal ends with a shape matching that of the teeth, the depth of the cavities being larger than the longitudinal dimension of the teeth and the cavity width being larger than that of the teeth. The plates may for example include a first panel, a grid of a plurality of walls interdependently attached to the first panel in order to form separate cells, and at least one nuclear fuel pellet extending along an axis between two opposite faces, in which the pellet is localized in a cell with radial play between its wall and the pellet, the axis of the pellet being substantially parallel to the walls of the cell. The plates may include a second planar panel interdependently attached to the grid, parallel and opposite to the first panel, in order to close the cells, or a second panel comprising a protruding grid which is complementary to the grid of the first panel and interdependently attached to the grid of the first plate in order to close the cells. The grid advantageously is a honeycomb structure with hexagonal cells. The subject-matter of the present invention is also a nuclear fuel core assembly for a high temperature fast nuclear reactor with a heat transfer gas notably including a fissile bundle according to the present invention and a casing with a longitudinal axis and a hexagonal section mounted around the fissile bundle. Play is provided between the casing and the fissile bundle, this play being smaller at the small plates attached at the periphery of the supporting devices and at small plates attached at the periphery of the base, with which mechanical interactions between the plates and the casing may be avoided in the case of deformation of the plates and/or of the casing. Further, for IVth generation fast reactors, provision is made for introducing minor actinides produced by the present pool of reactors into the fuel in order to optimize their recycling. By means of the invention, as the units of fuel elements may be handled easily, handling of fuel elements may then be carried out under shielded cell conditions for all the steps of the fuel cycle (mounting and dismantling of the assemblies, transport, reprocessing). In FIG. 1, a three-quarter perspective view may be seen of a device for supporting nuclear fuel plates according to the present invention intended to be part of a monolithic assembly forming the core of a fast-flux nuclear reactor, in particular a fast-flux nuclear reactor with a heat transfer gas at high temperature. The description which follows is made by considering a reactor with a heat transfer gas, therefore, the term of <<aeraulic>> is used for describing the flow properties of the invention and the elements of the invention exposed to gas flow, notably the channels delimited by the plates are designated as aeraulic channels. However, the present invention also applies to reactors cooled by a hydraulic fluid, for example cooled with sodium, which will not be described in detail. In these cases, the appropriate term would be <<hydraulic>> for notably describing the channels. As a reminder, a nuclear fuel assembly notably includes an external casing forming the external walls of the assembly and an internal structure supporting the nuclear fuel plates, also called a fissile bundle. According to the present invention, the fissile bundle is formed by a superposition of several supporting devices 2 loaded with nuclear fuel plates 4 illustrated in FIG. 3. The nuclear fuel plates will be designated subsequently in the description by plates 4. The supporting device 2 or basket has a general hexagonal shape with a longitudinal axis X, including a bottom 6 with a shape of a regular hexagon forming a lower end of the basket and a lid 8 with a shape of a regular hexagon forming an upper end of the basket. The bottom 6 and the lid 8 are connected by external tie rods 10 parallel to the axis X and extending between a top of the lid 8 and a top of the bottom 6. The tie rods are advantageously regularly distributed at the periphery of the bottom and of the lid in order to achieve a balanced connection between the bottom and the lid. In the illustrated example, the external tie rods 10 are three in number, the tie rods are distributed so as to ensure balanced distribution of the forces with limited congestion. The tie rods are formed with rods having strong slenderness ratio. The slenderness ratio is defined in the present application by the ratio of the length of the part over the thickness of the section. We shall define the directions used subsequently in the description by means of FIG. 2. The radial direction R of a plate is defined by the width of the plate; and the transverse direction T is defined by the thickness of the plate and orthogonal to the axial and radial directions. The basket also includes a central beam 12 connecting the center of the bottom 6 to the center of the bottom 8. This beam 12 is hollow and is crossed by a central tie rod 14 which will be described subsequently. For this, the bottom 6 and the lid 8 include a central aperture 15 for letting through this central tie rod 14. For example, the central beam 12 is fitted into hexagonal projections made in the central portions of the bottom 6 and of the lid 8. This beam 12 stiffens the basket in the axial direction, allowing it to support the upper baskets, and sets the distance between the lid and the bottom, avoiding application of stresses along the X axis to the plates 4. Further, with it, it is possible to guarantee the geometrical tolerances of the basket, notably the coaxiality of the bottom and of the lid, their parallelism, and their relative angular positioning. With this beam, it is also possible to suppress the central dead space which consumes heat transfer fluid which is not involved in cooling the plates. The bottom 6 and the lid 8 respectively include means 16, 18 for holding the plates 4. The plates are defined by their length extending along the axial direction, their width extending along the radial direction, and their thickness extending along the transverse direction. The plates are hexahedra, advantageously rectangular parallelepipeds. The faces of larger surfaces may have different shapes. The latter may be parallelograms, for example substantially rectangles, trapeziums either isosceles or not, rectangular trapeziums. The other faces of the plates may also have these shapes. Generally, the angles of the parallelepiped are advantageously close to 90° and only deviate from this value by at most a few degrees, for example the angles are comprised between [85°, 105°]. The distance separating the bottom 6 and the lid 8, more particularly between the means 16 and 18 supporting a same plate, is larger than the length of a plate, this distance being selected so as to ensure axial plays allowing free deformation of the plates without any risk of dislodgement. A numerical example will be given in the subsequent description. According to the invention, these means 16, 18 only support the plates along the radial direction and allow a small displacement along the transverse direction, the play is of the order of one tenth of a millimeter. The plates positioned in the basket are distributed into three groups G1, G2, G3, wherein the plate 4 are all parallel to each other, the plates of two groups forming between them an angle of 60° C. We shall describe in particular the group of plates G1, but the description also applies to the other groups G2 and G3. The means 16 for supporting the bottom are identical with those 18 for the lid, therefore we will describe in detail the means 16. Each plate 4 is supported by means 16 independent of the means for supporting the other plates, the latter being all identical, we will describe the means 16 for supporting a single plate in detail. The supporting means 16, visible in FIG. 5, include a comb provided with teeth 22 intended to penetrate into recesses 24 formed in an axial end 4.1 of a plate 4. The teeth 22 have a general triangular profile and have a given width ed. The recesses 24 are defined by a bottom 24.1, the profile of which is complementary to that of the teeth and of the sidewalls 24.2, the distance separating the internal faces of the walls 24.2 being larger than the thickness ed. The height of the teeth 22 is smaller than the depth of the recesses 24 as this may be seen in FIG. 6. In the illustrated example, the comb includes five teeth, but provision may be made for two or three teeth or more than four teeth, depending on the width of the plates. The comb also includes means intended to immobilize the plate 4 along the direction of the comb. These means are formed by two tabs 26 interdependently attached to a tooth 22′ and intended to bear upon one of the sides of the bottom 24.1 of the recess 24. Elastic deformation of these tabs causes flattening on the other side of the bottom of the tooth, immobilizing the plate 4 along the radial direction. The elastic stiffness of the projection formed by the tabs then maintains the plate 4 against the reference face of the tooth. Advantageously, the tooth 22′ is the innermost tooth of the comb, with which the thickness of the gas gap flowing along the face of the plate of the other group, located opposite the plate end 4 maintained by the tooth 22′ may be guaranteed. The other teeth as described earlier ensure transverse support of the plate, i.e. along the direction of the thickness. For this, transverse functional mechanical play is provided between the recesses 24 and the teeth 22 in order to guarantee free deformation of the plates along their thickness without affecting the fuel volume fraction in the core. The transverse degree of freedom brought by this play is neither capable of notably altering the cooling property of the plates, since this transverse play is of the order of a tenth of a millimetre for a distance between plates of 4 mm. It may noted that provision might be made in another embodiment for producing a larger transverse play for the two central teeth, by which a larger degree of freedom may advantageously be obtained for possible bowing of the plates in the direction of the width, while guaranteeing transverse support of the plates in the case of a degradation of one of the two main connections. Indeed, in the case of bowing of the plates around the axial direction, the displacement of the plate (in the transverse direction) will be maximum at both central teeth; by increasing the transverse play at both of these teeth relatively to the two external teeth, the bowing capability is increased while guaranteeing a transverse positioning reference. Further, if one of the two external teeth is degraded, the transverse support of the plate is ensured by means of the central teeth. Advantageously, by supporting the plate in the direction of the comb by a single tooth, it is possible to have only a single reference face, at the tooth provided with elastic tabs, this reference face being opposite to the one provided with tabs. This allows the positioning of the plate to be guaranteed with a tolerance as tight as possible. The combs therefore ensure the support and the positioning reference of the plates without applying to them interaction stresses. In the case when the comb is made in a metal material, the latter is produced, for example by folding or embossing a metal sheet strip and the tabs 26 are obtained by cutting out the strip at a tooth and by deforming the tabs in order to have a convex curvature. Provision may be made for only making a single tab with sufficient stiffness in order to exert a force capable of radially maintaining the plate. In the case when the combs are made in metal materials, the combs 16, 18 are then welded, for example with a laser or crimped on the bottom and the lid. Each plate 4 is therefore maintained between an upper comb attached to the lid 8 and a lower comb attached to the bottom 6. The external tie rods 10 guarantee proper support of the fuel plates in the teeth of the combs, since they avoid having too large misalignment between the bottom 6 and the lid 8 assembled in the basket. The bottom 6 and the lid 8 are in fact fine parts which may have flatness defects notably after manufacturing, and may be subject to significant deformations under the loading of the plates. Further, the tie rods allow the basket to be handled while ensuring the connection between the bottom and the lid. The basket according to the present invention therefore forms a flexible structure of the cage type allowing individual support of the plates 4 axially through the bottom 6 and the lid 8 connected by external tie rods 10. The plates 4 are then supported without interaction stresses being applied to them. Indeed, with this support, free axial deformation and free radial bowing are possible. By means of the invention, mechanical decoupling of the plates 4 with each other and with the basket is therefore obtained. Moreover, the basket according to the invention forms a means for easily loading the plates in order to form the fissile bundle. Moreover, the plates may be handled in their supporting basket for several steps of the fuel cycle: transport, mounting in the fissile bundle and dismantling of the fissile bundle, reprocessing. The baskets according to the invention loaded with plates are intended to be stacked. Each basket in the illustrated example includes three small plates 28 each attached to a summit of the lid, one of which is visible in FIG. 7. The three summitss are separated by an angle of 120°, they protrude axially upwards. These small plates are folded so as to be flattened against both sides of the hexagon defined by the lid. The protrusions of the small plates therefore extend the hexagon formed by the lid. In the illustrated example, the small plates 28 are advantageously attached to the external tie rods 10. The tie rods then form an abutment for the small plates under the condition of relative flexure of the supporting devices. Indeed, if the small plates were positioned at the three summitss without any tie rods, the fuel plates would be those which would form the abutment, which would be damageable for the mechanical integrity of the plates. Moreover, attachment at the tie rods is facilitated, the latter forming an attachment surface. These small plates ensure relative positioning of the baskets relatively to each other. This maintaining of relative positioning ensures continuity of the channels defined between the plates through which flows the heat transfer gas, while allowing them to flex the baskets relatively to each other so as to be able to accommodate the bowing of the casing. Further, these small plates 28 form spacers interposed between the basket and the inner face of the casing, the latter prevent direct contacts between the plates and the casing, and set an aeraulic layer thickness between the external plates and the casing. The baskets ensure distribution of the functional mechanical plays around the plates allowing mechanical decoupling of the fuel plates of the structures of the core. We shall now describe the making of a fissile bundle according to the invention. The latter is formed by a stack of baskets 2 according to the invention, the baskets being loaded with plates 4. The fissile bundle includes a base 30 with a matching hexagonal shape, this base includes a hexagonal frame 30.1 and cross-beams 30.2 outlining the three locations of the groups of plates. The base 30 also includes small plates 32 similar to the small plates 28 of the baskets 2, these small plates like those for the baskets, are able to ensure proper positioning of the first basket 2 on the base 30. The base 30 also includes a central tie rod 14 attached to the point of convergence of the three cross-beams 30.2 and extending axially, the latter is intended to pass through the baskets as this will be seen subsequently. The first basket of the stack forms the base of the stack, the latter is deposited on the base, the central tie rod 14 passing through the central beam 12. The next basket is then positioned between the small plates 28, the central beams 12 of both baskets are then aligned, allowing the passage of the central tie rod 14. The plates are also aligned axially per group, thereby forming continuous channels between the plates over two heights of baskets. The third basket loaded with plates is then stacked on the second basket as previously, and so forth until the required number of plates 4 is reached. The stack then includes a central passage defined by the alignment of the hollow beams and crossed by the central tie rod and continuous channels between the plates. The central tie rod 14 includes an upper abutment 31 which is particularly visible in FIG. 10. This abutment 31 is intended to avoid delocalization of the baskets during operation, but also during any fuel handling step. Moreover, it allows facilitated handling of the fissile bundle for mounting it in the hexagonal casing. In FIG. 8, the casing 34 is partly placed on the stack of the baskets. The base 30 also allows attachment of the fissile bundle in the hexagonal casing. We shall now describe an exemplary nuclear fuel plate 4 particularly adapted to the present invention, described in document FR 2 889 765. The plate 4 illustrated in FIGS. 4A-4C includes a structure comprising a composite element as a plate with a macrostructure defining individual cells for each pellet. The macrostructure composite plate element 4 is built on the basis of a sandwich panel with two cladding panels 102, 104, the core of which is a grid 106 of cells 108 ordered as a honeycomb, positioned substantially orthogonal to the faces of the plates 102, 104. Each cell 108, delimited by the wall 106 and closed at its end by the faces 102, 104 of the plate element 4, forms an elementary cell in order to ensure heat transfer between the fuel 110 which will be localized therein and the faces of the cladding panels 102, 104 cooled by the heat transfer gas, for arranging the expansion volume of the fission gases, and for promoting a fuel 110/cladding 102, 104 mechanical interaction with low stress in the structures of the cell. These plates provide a small slenderness ratio and significant flexural stiffness, which allows limitation of the bowings to a few tenths of millimeter. This small bowing therefore avoids significant modification of the thickness of the channels for circulation of heat transfer fluids delimited by the faces of the plates. Preferably, the whole of the structure of the element 4, i.e. the grid 106 and each of the cladding panels 102, 104 is made in the same refractory material, which may be a metal, or a ceramic, the ceramic may be monolithic or include fibers which are themselves ceramics. As an example, the plates may have the following dimensions in mm: 257.3×128.9×8.4. The plates described in document FR 2 889 765 were modified in order to be particularly adapted for support in the device according to the invention. In particular, the recesses 24 receiving the teeth 22 are formed by hexagonal half-cells, the cells receiving one pellet. These half-cells form a trapezium, the larger base of which coincides with the lower or upper axial edge of the plate. Further, the side edges of the plate are solid and form a substantially smooth surface relatively to those of the plates of document FR 2 889 765. With this smooth structure of the side edges, it is possible: to fill the dead space formed by the triangular sub-channels located on the edge of plates, to limit heterogeneity of the temperature profiles of the neighboring plates, to avoid singular pressure losses caused by the profile of incomplete cells at the edge of the plate. Further, provision is also made for suppressing the row of internal cells for the three central plates in order to clear the space required for letting through the central tie rod. It should be noted that this suppression only reduces the fuel volume fraction by about 0.3%. It is also well understood that the present invention is not limited to the assembly of plates such as those described above but any other type of plate may be suitable, for example a plate wherein the nuclear fuel is no longer found as a pellet, but as a dispersion of particles in a matrix, or a plate in which the fuel provides a lamellar arrangement. The fissile bundle is then inserted into a casing 34 formed by a tube with a hexagonal section, the insertion of the bundle into the casing being guided by the small plates 28 and 32. According to the invention, the fissile bundle is formed by a stack of baskets, more particularly a stack of plates, there is therefore axial segmentation of the fissile bundle into compact group units of plates. With this segmentation, it is possible to accommodate the casing bowings by flexure of the baskets 2 relatively to each other. Moreover, the casing 34 is in contact with the fissile bundle only at the small plates. It should be noted that the fissile bundle does not contribute to the mechanical stiffness of the casing, insofar that the relative flexure of the supporting devices allows accommodation of the bowing of the casing. Further, with this segmentation it is possible to distribute the bowings at the scale of each plate, therefore to have small local bowings instead of a large global bowing which would be unacceptable notably as regards criteria of thermics. Indeed, in the case of significant shrinkage of an aeraulic channel, it would not be possible to obtain proper cooling of a plate. And in the opposite case, in the case of significant widening of an aeraulic channel, a dead volume of heat transfer fluid may be created, for which part of the gas would not be used. Moreover, this axial segmentation makes assembling and disassembling of the fissile bundle easier, as well as the making of the fuel elements and of the supporting structures. The basket has a substantial intrinsic flexibility, i.e. without considering the strong global stiffening of the whole of the basket when the plates are loaded. This stiffening is due to the intrinsic stiffness of the plates, since they have a small slenderness ratio, and of their loading into three bundles tilted by 60° relatively to each other. With this intrinsic flexibility, it is possible to avoid application of significant interaction stresses to the fuel plates in the case of larger differential deformations than the expected ones. This flexibility is related to the cage type structure, which is very thin and well ventilated. The flexibility is more particularly obtained by flexure and torsion for the whole of the basket, when it is not loaded with plates, relatively to the axis of the bundle, insofar that the central beam forming the only partitioned axisymmetrical axial element, is close to the neutral fiber. As to the external tie rods, as they are located at the periphery, they do not provide great stiffness in flexure and especially in torsion because of their very large slenderness ratio. This flexibility is particularly obtained in flexure for the bottom and the lid because of their small thickness. By means of the present invention, it is possible to reduce the aeraulic dead spaces, notably those which may appear between the internal face of the casing and the plates. Indeed, the present invention provides axial support, which allows the plates 4 to be positioned as close as possible to the outer limit of the supporting structure; in this case, the edges and the faces of the plates are located in the same plane as the faces of the external tie rods 10, the latter delimiting the external envelope of the baskets. Therefore, there is only a very small peripheral aeraulic dead space and the loss of fuel volume fraction is also reduced significantly as compared with a transverse support of the lateral rail type of the state of the art. Indeed, it is seen that the volume formed by a connection at the external periphery of the bundle and its functional mechanical plays is much larger than that for a connection positioned at the axial ends of the plates. Moreover the present invention applies a limited number of connections, by which isostatic positioning of the plates may be guaranteed. With the axial plays, plates may be freely deformed without any risk of dislodgement, a play of 1.7 mm for example being provided for a supporting depth of 4.3 mm. The supporting depth of 4.7 mm corresponds to the penetration distance of the teeth into the recesses 24 and the play of 1.7 mm is the play between the top of a tooth and the bottom of the recess 24. Further, the positioning along the radial direction, i.e. along the direction of the width of the plates, is only ensured according to the present invention by a single tooth, this positioning further being elastic. Moreover, the present invention gives the possibility of not having to resort to spacers between the adjacent plates in order to avoid a too large variation of the aperture of the aeraulic channels because of the bowing of the fuel plates. Indeed, segmentation of the bundle by superposing several storeys of plates over the height of the fissile bundle allows strong limitation of the slenderness ratio of the plates and therefore small local bowings may be obtained of the order of a few tenths of a millimeter at the scale of each plate, which does not have notably any consequence from a thermo-aeraulic point of view. On the contrary, in the case of plates extending over the whole height of the bundle, the global bowing would be unacceptable, since it would prevent proper cooling of a plate in the case of significant closure of an aeraulic channel, and would cause the occurrence of dead spaces in the case of a significant opening of an aeraulic channel. The plates described in connection with the present invention are particularly adapted to segmentation of the bundle because of their low slenderness ratio. Moreover, according to the invention, there is a dissociation between the structures ensuring the support of the fuel elements, i.e. the baskets and the structures ensuring the mechanical architecture of the core. Indeed, the only contacts between the casing and the fissile bundle occur at the base of the fissile bundle ensuring the support of the baskets and at the small plates 28 positioned at the junction between the baskets and forming spaces. These small plates form preferential contact points of the fissile bundle with the hexagonal casing, instead of having direct contact between the plates and the casing, since the edge of the plates is located in the same peripheral plane than the external tie rods of the baskets, i.e. set back from the outer faces of the small plates. A transverse play is defined between the casing and the small plates located at the outer periphery of each basket. This transverse play allows differential deformations to be accommodated during the whole irradiation as well as during the mounting of the fissile bundle in the casing. This transverse play is further limited because of the axial segmentation of the fissile bundle. This segmentation, as we have explained earlier, notably allows a reduction in the required transverse play for mechanically decoupling the fissile bundle of the casing. Generally, it was noticed that in the case of a fast neutron reactor, the hexagonal tubes of a fast reactor may have maximum bowing off the grid of the order of 1% in the area of maximum differential swelling located between the maximum flux plane and the height of the bundle, which corresponds to a deflection of about 10 mm per meter. Having maximum bowing off the grid of GFR assembly casings of the order of 12 mm over a half-height of the fissile bundle may be expected by assuming a height of the fissile bundle of 2,349 mm. The maximum flux plane corresponds to the axial section of the core where the neutron flux is maximum, i.e. it corresponds approximately to the middle core plane; the bowing off the grid is the bowing which the assembly has when it is removed from the core, therefore when it is no longer subject to grid stresses from the neighboring assemblies. In the fissile bundle of the present invention, there occurs relative flexure of the baskets relatively to each other which allow accommodation of the bowing of the casing as illustrated in FIG. 9. Moreover, a functional mechanical play between each basket and the casing is provided so as to be able to accommodate the bowing of the casing at the scale of a basket: this diametrical play is then of the order of 2.5 mm insofar that a basket measures 250 mm in height. As a comparison, if the fissile bundle only consisted of a single basket of plates, in other words if the basket of plates occupies the whole height of the fissile bundle, i.e. 2,349 mm, the diametrical functional play of at least 12 mm would therefore have to be guaranteed between the fissile bundle and the casing in order to be able to accommodate bowing of the casing. Indeed, it is desirable that the mechanical decoupling be guaranteed not only during operation in the unit, but also during the phases for handling the assembly out of the unit. Such a play is unacceptable, both from the neutron point of view, since there will be a decrease in the volume fraction of fuel, and from the thermoaeraulic point of view, since there would be an occurrence of dead spaces between the plates and the casing. The height of the axial protrusion of the small plates 28 and the diametrical play defined between the internal distance across flats of the small plates and the base of the upper basket defining the relative flexural capacity between two baskets. For example, if a minimum diametrical play of two tenths of a millimeter is considered during operation, which play corresponds to an initial functional mark of five tenths reduced by three tenths when placed under the most adverse conditions in terms of manufacturing tolerances and of differential deformation between baskets, and to an axial protrusion of 12 mm, the total possible flexure of the stack of nine baskets has a value of about 15 mm, which actually allows accommodation of the 12 millimeters of maximum bowing of the casing. For example, a diametrical play of five tenths allows an angular shift between two baskets expressed by maximum covering of the aeraulic channels of the order of two to three tenths of a millimeter at the bundle periphery. This covering does not generate any redhibitory pressure drop at the scale of the bundle. An axial play is provided between the stack of baskets and the upper abutment of the central tie rod of the fissile bundle, with this axial play it is possible to accommodate differential deformations between the stack of baskets and the tie rod, but it also allows maximum flexure of the fissile bundle, this axial play is of about 10 mm. Provision is then made for having the axial protrusion of the small plates relatively to the lid of the basket advantageously larger than this axial play, avoiding any possibility of dislodgment of the baskets within the fissile bundle. As we have explained this earlier, the radial protrusion formed by the small plates relatively to the external tie rods of the basket makes it possible to ensure the thickness of the peripheral aeraulic channel required for cooling the external faces of the plates located at the periphery of the fissile bundle. Also advantageously, a large radial play is provided between the central tie rod of the fissile bundle and the bore made in the central beams of the baskets. With this play, it is possible to maximally delay the contact of the tie rod with the baskets when they are in a flexural condition, so that the tie rod does not impart any flexural stiffness to the fissile bundle, confirming the mechanical decoupling of the fissile bundle and of the casing. It should however be noted that, if such a contact occurred, the contribution which it would then bring to the flexural stiffness of the fissile bundle would be small, because of its large slenderness ratio and of its position on the neutral fiber of the fissile bundle. As this has already been described, with the central beam, it is possible to prevent the space cleared within the basket for ensuring the passage of the central tie rod from forming a significant dead space for the heat transfer fluid. Codes such as FLUENT, Star-CD and Trio_U have been used for achieving dimensioning of the aeraulic channels ensuring the cooling of the plates and for making sure that the radial functional mechanical plays globally produced between the fuel plates and the hexagonal casing, in order to ensure mechanical decoupling of the plates and of the structures of the core, did not lead to redhibitory dead spaces as well as to unacceptable over-cooling of the ends of the fuel plates. Indeed, a strong non-linear heat gradient in the width of the fuel plates may lead to their being damaged. With the code CATHARE, it was moreover possible to make sure that the pressure losses generated within the fissile bundle were compatible with the capacity of evacuating the residual power during accidental transients. From a neutron point of view, with the code ERANOS it was possible to make sure that the main criteria for proper neutron operation of the core are observed, notably the regeneration gain, the reactivity coefficients in a rated and accidental (doppler and helium depressurization, material inventory, . . . ) situation. The supporting device according to the invention has the advantage of ensuring a good capacity for feeding the aeraulic channels with heat transfer fluid in all the operating conditions. Indeed, the structure of the baskets is such that it does not partition the aeraulic channels within the casing but on the contrary allows systematic opening of these channels, because of the extremely <<ventilated>> structure of the baskets and of all the radial and axial plays produced between the fuel plates and the structures. With this, it is possible to ensure cooling of the fuel plates for any operating situation. Indeed, in the case of accidental blocking of an aeraulic channel, with this departitioning it will be possible to again ensure supply of the blocked channel with heat transfer fluid by recirculation distances provided between the different channels. Moreover, a fuel volume fraction in the core equal to 23% may be obtained by means of the invention, let us recall that a high volume fraction of fuel, at least of the order of 20% is required. This is possible by means of the reduced volumes of the structures of the assembly, of the limited congestion of the actual means applied for holding the fuel elements, by optimizing the distribution of the functional mechanical plays in the whole fissile bundle. As an example, the distribution of the following volume fractions among the different core components, may be given: 1.2% for the volume between two assemblies, 30% for the aeraulic channels (required for direct cooling of the plates and the minimum thickness of which is also strongly constrained by the core pressure loss), 37.3% for the fuel plates (without taking the fuel into account, i.e.: the whole cladding, expansion vessels and incomplete half-cells), 8.5% for the structures of the core, the size of the supporting systems and the functional mechanical plays; these 8.5% are distributed in the following way: 3.5% of structure (including 1.0% for the baskets and the tie rod of the fissile bundle and 2.5% for the hexagonal casing), 1% of axial plays at the basket head, and 4.0% for the size of the supporting systems and the mechanical plays (plays essentially formed by the radial distance between the plates and the internal walls of the hexagonal casing). It will be noted that the minimization of the structure volume fraction moreover meets another neutron criterion which is of making the structures as <<transparent>> as possible to neutrons so as not to perturb neutron operation of the core. Moreover, with the present invention it is possible to produce compact units of fuel elements in order to facilitate the operations of all the steps of the fuel cycle. They are preferential units for conditioning the fuel plates and they may allow optimization of the size and dimensioning of the numerous systems of the fuel cycle, for example the handling arms, the airlocks and handling corridors, the shielded cells for assembling fuel elements, the cells for transport, storage and reprocessing, . . . . The size of all these systems may then be reduced because of the compactness of the units for conditioning the plates. Moreover, mounting of the fissile bundle is facilitated, as well as the making of fuel elements and of supporting structures. Moreover, the possibility of dismantling the structures allows their lifetime to be optimized, the amount of activated waste to be thereby minimized, which allows improvement in reprocessing. By dissociation of the fissile bundle and of the hexagonal casing, by segmentation of the fissile bundle into several distinct units and finally by the absence of any attachment of the fuel elements to their supporting structure, it is possible to maximally promote the possibility of dismantling the structures of the fissile portion of the core. Moreover it will be noted that the segmentation of the fissile bundle into distinct units which may be disassembled, gives the possibility of optimizing the management of fuel in the core, by modifying the distribution of the units in the fissile bundle at each new cycle. We shall now give an exemplary embodiment of the fissile bundle and of the corresponding core according to the invention. The fissile core has a height of 2,349 mm and a diameter of 3,789 mm. The hexagonal casing has an internal distance between flats of 223 mm. The baskets which form the structures bearing groups of fuel plates are about 257 mm height for 220.5 mm wide, for a mass of about 47 kg when they are loaded with 27 plates. The structures of the basket have relatively small thicknesses of a few millimeters. Provision is therefore made for nine baskets per fissile bundle and 27 plates per basket During normal operation, this fissile bundle has an average thermal power of 9.8 MW (the complete core comprising 246 fissile assemblies having a total power of 2,400 thermal MW). Helium is used as heat transfer gas. The temperature of the heat transfer fluid at inlet of the assemblies (and therefore approximately at the inlet of the fissile bundle) is set to about 400° C. The average temperature of the heat transfer fluid at the outlet of the assemblies (and therefore substantially at the outlet of the fissile bundle) is then about 900° C. The maximum temperature of the envelope of the nuclear fuel plates is about 985° C. The maximum fuel temperature is about 1,375° C. A core pressure loss of 1.34 bar, including 1.10 bar in the fissile bundle, is measured. The materials used may be: for the fuel, (U,Pu)C, for the structure of the fuel plate (cladding of the fuel), silicon carbide reinforced with fibers of silicon carbides, for the structures of the fissile bundle exposed to high temperatures not allowing the use of metal materials conventionally used in fast neutron reactors, the baskets and the tie rods are for example made in silicon carbide reinforced with fibers of silicon carbides in the case of the bundle as defined above or else in refractory metal alloy. For a refractory metal alloy, we shall now describe an exemplary method for making structures of the fissile bundle illustrating the simplification of the making a fissile bundle by means of the present invention. The method for making a basket according to the present invention includes the steps: making the bottom and the lid of the baskets by bulk-machining, making combs by folding and/or embossing, making the central sleeve by drawing, making the external tie rods by machining, attaching the combs on the bottom and on the lid by crimping, welding or brazing, attaching the small plates on the external tie rods by welding or brazing, or machining the small plates in the bulk of the tie rods, attaching the tie rods on the bottom and on the lid by welding or brazing, possibly reinforced by an additional mechanical connection ensured by fitting a centering pin therein, attaching the central sleeve onto the base and the lid by fitting it therein, welding or brazing, mounting the plates by means of dedicated devices, attaching the lid on the tie rods, by welding and brazing, optionally reinforced by an additional mechanical connection ensured by fitting a centering pin therein. The present invention mainly applies to high temperature fast reactors with heat transfer gases, but it is understood that it may be applied to reactors for which the operating conditions are less difficult. For example, it applies to reactors using heat transfer fluids having better conductive exchange capacities than the gas, for example sodium, and operating at lower heat transfer fluid temperatures. Further, the present invention applies to the support of any type of plate as this has been already mentioned, for example to plates such as those described in document FR 2 889 765 or to plates in which the nuclear fuel is dispersed in a matrix. |
|
039363490 | abstract | A nuclear reactor core comprises an array of closely packed components which include fuel elements and may include control rod guide tubes. The components are arranged with their longitudinal axes vertical and in groups. The components of each group are urged laterally into firm engagement with one another by tilting at least some of them towards the centre of the group. The fuel elements of the group have interlocking bearing pads to resist relative lateral movement of fuel elements. |
046702121 | abstract | The temperature sensor has a sheath with a closed end within a structure traversed by the primary coolant of high pressurized water reactor and frustoconically tapered at this end to close fittingly engage the frustoconical tip of the sensor. The latter can be of the platinum wire type whose resistance measurement represents the temperature. |
summary | ||
description | This application is related to the following co-pending patent application, which is incorporated by reference in its entirety: U.S. application Ser. No. 11/395,238, entitled “Parallel Electron Beam Lithography Stamp (PEBLS),” filed Apr. 3, 2006. The present invention pertains to a tool and method used to form nanostructures or nano-structural features and is applicable to the fields of electronic device fabrication, material processing, chemical processing, and biological processing at nanometer dimensions. Small scale fabrication has been dominated over the past 40 years by lithography techniques that employ radiation in the form or visible or ultraviolet light. However, these techniques are limited by the wavelengths of the light used and fabrication below 100 nm is problematic. Electron and ion beam lithography are alternative techniques capable of providing finer resolution but which typically use a serial scanning process that limits the speed of production. In addition, the use of masks for optical lithography and the required low pressure environmental control for e-beam lithography induces a high cost to modem fabrication processes. Other techniques that have received attention because of their ability to fabricate structures with nanometer resolutions are nanoimprint lithography and scanning probe lithography. These techniques are distinguishable from the typical optical and electron based lithography discussed above in that these tools are proximal in nature and either contact, or are separated by a nanoscopic gap from, the substrate undergoing processing. Because the processing occurs at a proximal distance dispersion problems are reduced or eliminated, which enhances the maximum possible resolution. Nanoimprint lithography employs a molded stamp structure with grooves formed therein so as to emboss, coat, or otherwise imprint a pattern on a target substrate. However, in repeated use, the stamp structure of the mold may be subject to erosion or soiling over time that can negatively impact the achievable resolution so that nanometer resolution patterning becomes impossible or inconsistent and high volume mass production becomes problematic. Scanning probe lithography techniques employ devices with ultrafine tips to etch, coat, or otherwise treat a substrate so as to generate nanometer resolution patterns. However, scanning probe lithography is also a serial process and is therefore too time consuming to be employed in large scale fabrication. Chapter 9 of Nanoelectronics and Information Technology (Ed. Rainer Waser, WILEY-VCH, 2003, pgs. 223-247) provides further background details of modem lithography approaches. Within the past few years various approaches have been taken toward the use of large arrays of tips capable of field induced electron emission to accomplish lithographic procedures. Included in this group is the development of Massively Parallel Digital Electrostatic E-beam Array Lithography (DEAL) by Oak Ridge National Laboratory, a parallel electron beam machining tool and method as disclosed in U.S. Pat. No. 6,660,959, and a MEMS controlled parallel e-beam nanolithography tool as disclosed in U.S. Pat. No. 7,012,266. However, in order to control individual electron emitting tips in a large density array, wiring traditionally becomes a limiting factor and an effective parallel e-beam nanofabrication tool useful in mass production of a wide array of nanostructures, materials, or chemicals has yet to be developed. The present invention provides a Parallel Electron Beam Lithography Stamp (PEBLS) that includes controls and wiring allowing for a high degree of addressability in high density arrays of emission tips. Improved environmental control may be maintained during lithography performed by the PEBLS by forming the emission tips within a cell of a substrate in which a periphery of the cell contacts a target to be processed. A microfluidic supply system and alignment sensor system among other optional features are taught to complement the functionality of the PEBLS. Several embodiments are taught including using the PEBLS tool in curing a resist, performing localized electrochemical reactions with the PEBLS tool, establishing predetermined electrostatic charge distributions with the PEBLS tool, and coating or etching process steps using the PEBLS tool. I. Manufacturing of Digital PEBLS FIG. 1a-1d illustrate the side view of one embodiment for manufacturing the Parallel Electron Beam Lithography Stamp (PEBLS). FIG. 2a-2d illustrate the top view of this embodiment for manufacturing the PEBLS. In FIGS. 1a and 2a, an insulated substrate 100 is provided upon which a first wiring pattern including parallel conductive wires 110 is formed using either conventional optical lithographic approaches or, for finer resolution patterning, using e-beam lithography, nanoimprint lithography, dip pen nanolithography, etc. The material of the wiring pattern includes catalytic material such as metallic nanoparticles of Fe, Ni, Co, or Mo. In FIGS. 1b and 2b, an anodic aluminum oxide (AAO) template 120 is formed on the substrate 100 with the wiring pattern using known techniques such as disclosed by the articles of Kim et al. “Growth of carbon nanotubes with anodic aluminum oxide formed on the catalytic metal-coated Si substrate” (Diamond and Related Materials 12, 2003, 870-873) or Jeong et al. “Fabrication of the aligned and patterned carbon nanotube field emitters using the anodic aluminum oxide nano-template on a Si wafer” (Synthetic Metals 139, 2003, 385-390). AAO templates typically include vertically aligned nanopores and are formed with a thickness on the order of several microns with diameters and interpore spacing of the nanopores in the nanometer range. Nanotips 130 such as carbon nanotubes or nanofibers may be formed from the catalytic material included in the wiring pattern 110 extending from the AAO template using CVD techniques. In FIGS. 1c and 2c, a first thin film insulation layer 140, such as a polymer film or an oxide (SiO2, TiO2), may be formed above the AAO template by an appropriate deposition technique (spin coating, dip coating, PVD, CVD, etc.) followed by an etch planarization of the film 140 so as to expose nanotips 130 to a desired length using appropriate chemical, mechanical, laser, or other technique. Additional etching or other techniques may be used to selectively shorten, or render non-conductive, parallel arrays of the nanotips in a direction perpendicular to the direction of arrangement of the conductive wires 110. Examples of techniques which may be employed are found in Jin et al. U.S. Pat. No. 6,283,812, Dean et al. U.S. Pat. No. 6,962,515,and the article “Length Adjustment of Carbon Nanotube Probe by Electron Bombardment” by Akita et al. (Jpn. J. Appl. Phys., vol. 41, 2002, 4887-4889). A second wiring pattern including parallel conductive wires 150 is deposited above the area in which the nanotips have been shortened or rendered nonconductive using one of the various lithographic patterning techniques known to one of ordinary skill in the art. Conceivably the shortening or rendering nonconductive of the nanotips and formation of the second wiring pattern may be performed in a common process. In FIGS. 1d and 2d, a second thin film insulation layer 160 is formed over the surface containing the second wiring pattern and is planarized to a particular thickness. The second insulation layer may be thinned to a degree that electron field emission from the remaining nanotips, which were not shortened or rendered nonconductive previously, may be used to etch away the insulation above these nanotips thus forming electron emission regions 170 between adjacent conducting wires 150. Alternatively, an external selective etch may be performed to remove the insulation above the remaining unshortened nanotips and expose the nanotips. Selective electron emission from a particular electron emission region 170 may be generated by providing a negative or ground potential to a particular wire of the first conductive wires 110 while providing a sufficient positive potential to two adjacent wires of the second conductive wires 120. Electron emission from all of the emission regions 170 may be accomplished by setting all of the first conductive wires 110 to a negative or ground voltage and all of the second conductive wires 150 to a positive voltage. An external positively biased (i.e. anodic) electrode may be provided when etching the second insulation layer by the nanotips to form the electron emission regions 170. Assuming that the distance between the wires of the first and second conducting patterns equals the wire width, the electron emission regions may account for up to 25% of the surface area of the PEBLS shown in FIG. 2d. The area of each of the electron emission regions 170 is preferably in the range from 0.01-1 μM2 containing 1-100 nanotips. Forming small electron emission regions with a smaller number of nanotips per emission region would provide the advantage higher resolutions, however larger electron emission regions with a larger number of nanotips per emission region would provide the advantage of redundancy in case of individual nanotip failure. Suh et al. “Study of the field-screening effect of highly ordered carbon nanotube arrays” (Applied Physics Letters, Volume 80, No. 13, 2002, 2392-2394) discusses optimizing field emission for high density nanotube arrays. The effective area of coverage of a target to be processed by a particular electron emission region will depend upon the distance between the nanotips and the target as well as the type of processing being performed by the electrons (curing, etching, electrostatic imaging, etc.) and may be assumed to be greater than the area of the emission region due to space charge spreading and electrostatic buildup on the opposing target. However, if the effective area is less than that necessary for complete coverage of a target, either a positioning mechanism may be provided to reposition the target relative to the digital PEBLS or electron steering may be provided as described in section III. FIG. 3 illustrates an embodiment of the PEBLS formed within an anisotropic etched substrate 300 and attached to a microfluidic flow control substrate 310 providing a source of gaseous, vapor, or liquid material to assist in the lithographic processing via a channel 320 formed through the substrate 300. Alignment nanotips 340 are formed within a contact surface 330 of the PEBLS so as to detect proper positioning of the PEBLS with respect to a target substrate that is to undergo lithographic processing. Upon contact with the target substrate the PEBLS and target forms an enclosed space or cell. Further details of these components of the invention may be found in the co-pending patent application Ser. No. 11/395238, entitled “Parallel Electron Beam Lithography Stamp (PEBLS),” filed Apr. 3, 2006. FIGS. 4a and 4b illustrate top views of embodiments of the PEBLS formed as in FIG. 3. In FIG. 4a, both vertical axis and horizontal axis alignment nanotips 340a and 340b are shown. Both an input fluid channel 320a and an output fluid channel 320b are provided. In FIG. 4b, both 1st fluid input/output channels 321a,321b and 2nd fluid input/output channels 322a,322b are provided to provide for the independent supply of different fluids to the PEBLS if desirable. II. Control of Digital PEBLS FIGS. 5a and 5b illustrate an example of how data is input to the digital PEBLS. Column control transistors 510 may be used to selectively connect the column wires 110 of the PEBLS to a ground connection. Row transistors 520 may be used to selectively connect row wires 150 to a positive turn-on voltage Vt. A desired raster bit pattern corresponding to desired actuation for the electron emission regions 170 may be stored in a ROM or other memory device and each row of the data may be used to actuate a particular row (or multiple rows) of the PEBLS. In FIG. 5a, the top row is selected by providing actuation voltages to the gates of the upper two row transistors. Data corresponding to the desired electron emission pattern for the first row (such as “011010” as shown) is used to select which of the column transistors 510 to actuate so as to generate field emission from the corresponding electron emission regions of the first row. In FIG. 5b, the second row is selected by providing actuation voltages to the gates of the second and third of the row transistors 520. Data corresponding to the desired electron emission pattern for the second row (such as “001111” as shown) is used to select which of the column transistors 510 to actuate so as to generate field emission from the corresponding electron emission regions of the second row. The third, fourth, and subsequent rows may be selected in a similar manner so as to produce a total field emission pattern corresponding to the input raster binary data. By providing a desired lithographic pattern data as the raster binary data the PEBLS may be used to transfer this pattern to a target undergoing lithography. FIGS. 6a and 6b illustrate two steps in an embodiment in which reduced resolution data is input to the PEBLS. In this case three adjacent row transistors are addressed at one time so as to select two rows of the electron emission regions. The input row data is expanded such that binary bits b1b2b3 becomes b1b1b2b2b3b3. By provided reduced resolution control the advantage of higher speed processing may be achieved. The control of the PEBLS as described in the above embodiments may be carried out by a control unit of a general purpose microprocessor or using specifically provided circuitry such as a shift register to advance the selection bits for the rows and a timer circuit to provide a clock signal used to synchronize the row selection with the input of the particular row data. III. Relative Repositioning of PEBLS and Target and Beam Steering In order to perform lithography over a complete area of a target substrate a relative repositioning of the PEBLS and the target substrate may be accomplished by mounting either the PEBLS or target substrate on an X-Y positioning stage. When the electron emission region 170 is representative of ¼ of the surface of the PEBLS complete coverage may be obtained with four relative positioning steps, however other techniques may be used to reduce or eliminate this repositioning. For example, the coverage area may be expanded by increasing the duration of field emission to allow charge build up and diffusion of the emitted electrons on the surface of, or within, the target substrate. Electron beam steering may also be employed to expand or contract (i.e. magnify or de-magnify) the coverage area of a particular electron emission region 170. FIGS. 7a-7f illustrate various states of electron emission of the PEBLS responsive to actuation of adjacent conducting wire electrodes 150a, 150b when conductive wiring electrode layer 110 is set to a ground potential. The distance between electrode layer 110 and electrodes 150a, 150b may be of the same order as the distance between the surface of the PEBLS and the target substrate and may range in value from 1-100 microns. The threshold electric field necessary for field emission from high density nanotip arrays has been measured to be of the order of 1V/μm (see, for example, Suh et al. “Study of the field-screening effect of highly ordered carbon nanotube arrays, Applied Physics Letters, Vol. 80, No. 13, Apr. 2002 in which a field of 5.3 V/μm induced emission of nanotubes arranged at a 1010/cm2density). A sufficient positive voltage provided to the target substrate may be used to generate the majority of this field. As an example, if a total separation between the grounded electrode 110 of the PEBLS and an anodic electrode of the target is of the order of a hundred microns the applied voltage for emission would be of the order of a few hundred volts. The actual applied voltage may be maintained at a value slightly below that necessary for field emission in order for the selectively actuated electrodes 150a and 150b to control the field emission. By appropriate calibration of the various parameters, the turn on voltage Vt of the selectively actuated electrodes may be of the order of 1-10 V which is a range suitable for the use of low power control and switching devices. In FIG. 7a, a positive pulse width is used to as the turn on voltage Vt used to actuate both electrodes 150a and 150b sufficient to generate field emission. In FIG. 7b, the positive pulse is only applied to electrode 150b and electrode 150a is not actuated (i.e. is left at a high impedance state via the associated control transistor). In FIG. 7c, the positive pulse is only applied to electrode 50a and electrode 150b is not actuated. The arrows in FIG. 7a-7c are indicative of the electron path due to the alteration of the electrostatic field caused by the intermittent actuation of the electrodes 150a, 150b. Thus successive application of driving pulses to adjacent conducting wires (as explained in view of FIG. 5a-5b and FIG. 6a-6b) allows for e-beam coverage that is larger than the electron emission region 170. FIG. 7d illustrates the use of higher pulse width application to electrodes 150a and 150b. Since the electrodes are activated for a longer period of time electron emission occurs for a longer period and more electrons are emitted. Also the lateral deviation resulting from the positive bias of electrodes 150a and 150b is increased. This results in an expanded e-beam. FIG. 7e illustrates the use of a pulse waveform with two segments applied to electrodes 150a and 150b. The first segment provides the necessary positive voltage to allow for electron emission and the duration of this pulse determines the amount of electrons emitted. The second segment is of a negative polarity and may be used to focus the electrons that were emitted to a region that is smaller than the dimensions of the electron emission region 170. FIG. 7f illustrates the use of different applied waveforms to electrodes 150a and 150b which may be achieved by providing switching circuitry between each of the row transistors 520 and one of various Vt. The techniques of FIG. 7d-7f may be used to facilitate magnification or de-magnification of the e-beam pattern and are thus useful for resolution control. It is noted that while this allows for resolution control in the direction perpendicular to the direction of parallel wires 150 it does not allow for resolution control in a direction parallel to wires 150. Therefore it may be advantageous to form wires 110 of a smaller width and spacing than wires 150 so as to have a finer maximum resolution in this direction. While the above examples illustrate the driving waveforms as rectangular waves it is noted that high voltage switching speeds may damage nanotips and trapazoidal driving waveforms with sloped voltage level transitions may be preferable. IV. Multiple PEBLS For high throughput applications multiple PEBLS may be provided either independently or on a common substrate. Shinjo et al. U.S. Pat. No. 5,412,641 gives some examples of independent control of 2D arrays of scanning probe tips which is applicable to the current invention. FIG. 8 illustrates an example of wiring pathways used to control multiple PEBLS. Each of nine PEBLS 800 is connected to an array of five vertical wires 810a and five horizontal wires 810b. The signals delivered by wires 810a may be transmitted via connection wires 820a, 830a and bus 840a from a control unit 850 that may include the column and row control transistors 510, 520 or other control circuitry. The signals delivered by wires 810b may be transmitted via connection wires 820b, 830b and bus 840b from the control unit 850. The wires 810a-840a and 810b-840b may preferably be made of successively larger width (i.e. width of 840a>width of 830a>width of 820a>width of 810a). Amplification circuitry may be provided at the intersections between the different wiring sections to compensate for parasitic voltage drops due to different wiring resistances in different wiring paths. Alternatively, a fractal wiring pattern may be employed that makes the total wiring distance in each path equal. Using the described wiring system multiple PEBLS may be controlled simultaneously to generate identical lithographic patterns on an opposing target substrate based on a desired two dimensional lithographic bit pattern. While FIG. 8 only shows control for nine 5 wire×5 wire PEBLS as an example similar wiring structures may be formed for a larger number of PEBLS with a larger number of input wires per PEBLS to achieve higher speed fabrication with higher resolution features. V. Operation Methods The digital PEBLS of the current invention may be employed in a variety of lithography methods depending on various factors including the characteristics of the target substrate (density, conductivity, etc.), the current density produced by the electron emission regions, the distance between the emission tips and the target substrate, and the concentration and pressure of the vapor/gaseous/liquid material introduced between the PEBLS and the target substrate. Following are some examples of such methods. 1. Electrostatic Assisted Patterning Using PEBLS. The nanotips of the PEBLS may be used to establish an electrostatic pattern on an insulating substrate or thin film layer in accordance with a digital lithographic pattern. The fluid supply system of the PEBLS may then be used to supply a concentration of molecules or nanoparticles within the cavity of the PEBLS which may be attracted to the charged regions. Similar electrostatically driven nanofabrication techniques have been disclosed by Darty U.S. Pat. No. 6,899,854 and Deppert et al. U.S. Patent Application Publication 2003/0102444 and demonstrated by Mirkin et al. “Electrostatically Driven Dip-Pen Nanolithography of Conducting Polymers” (Adv. Mater., 2002, 14, No. 20) and Mesquida et al. “Maskless nanofabrication using the electrostatic attachment of gold particles to electrically patterned surfaces” (Microelectronic Engineering 61-62, 2002, 671-674). 2. Curing e-Beam Resists or Direct Etching Using PEBLS. Conventional e-beam lithography may employ one of several possible negative or positive resists including COP, GeSe, PBS, or PMMA. These resists may also be used on a substrate to be processed by a PEBLS. Apart from the electron exposure step, the same steps of conventional electron lithography may be employed to initially coat the resist (such as by spin coating) and remove the exposed or unexposed resist patterns (depending on whether positive or negative resist is used) after electron beam exposure. However, the ability to locally supply or remove material via the fluidic channels provided in the PEBLS may help facilitate these steps of the fabrication procedure. Etching may also be achieved by the PEBLS. Etching by tunneling or conventional electrons emitted from tips in proximity to a substrate are discussed in Li et al. U.S. Pat. No. 4,896,044 and Hodgson et al. U.S. Pat. No. 5,047,649. The same principles should apply to etching from a PEBLS with the improvement that PEBLS fabrication is a parallel rather than a serial process. 3. Electrochemical surface reactions using PEBLS. Electrochemical lithographic processing may also be carried out by either coating the substrate to be processed with an electron sensitive film or properly controlling the atmosphere within the cavity of the PEBLS so as to contain a particular concentration of electron sensitive vapor. Bard et al. U.S. Pat. No. 4,968,390 provides an example of a scanning electrochemical tip used in this fashion. In another method, a target substrate may be coated with a removable sacrificial material followed by an electrochemically sensitive material or materials (such as an e-beam resist). Localized electrochemical bond making or breaking may then be performed on the electrochemically sensitive material or materials by the digital PEBLS according to a lithographic pattern. Applying a heat source to the target substrate and/or supplying a chemical etchant via one of the PEBLS fluid supply channels, the sacrificial material may then be removed from the target substrate and the lithographically patterned material may be flushed out by one of the microfluidic output channels formed in the PEBLS. Appropriate filtering may be used to isolate and collect the lithographically patterned structures provided that the size of the lithographic patterns is known and the pore size of the microfluidic filter used is designed to capture particles of this size. Thus the final products which may be generated using a PEBLS may exist in a form not only as part of a fabrication wafer, as traditionally achieved in silicon electronics, but in a solution form applicable to further chemical processing and with potential uses in pharmaceutical and chemical manufacture. 4. Example of Fabrication Using Additive Techniques. FIG. 9a-9c illustrate an example of fabricating a nanowire crossbar array using a PEBLS. Nanowire crossbars appear as a potentially valuable architecture to facilitate electronic devices with an increased density of functionality/size. The co-pending applications Ser. No. 11/395,232 “Crossbar Arithmetic Processor” and Ser. No. 11/395,237 “Programmable Crossbar Signal Processor” both filed Apr. 3, 2006 provide further guidance and background of applications for these structures. In FIG. 9a, an insulated film 910 formed on a conducting or semiconducting substrate 900 is provided as a target substrate. The PEBLS 920 is aligned with the target substrate via alignment nanotips 930 and the space between the PEBLS and target substrate may be evacuated via one of the microfluidic flow channels of the PEBLS to establish a low pressure environment. Alternate columns of electron emission regions 940 are actuated to establish a corresponding electrostatic pattern on the target substrate. Via a microfluidic pumping technique a gaseous or liquid carrier medium containing conductive or semiconductive nanoparticles 950 is flowed past the surface of the target substrate at a flow rate sufficient to minimize attachment to the areas of the target substrate that are not charged. In FIG. 9b, the enclosed space formed by the PEBLS and target substrate is filled with a molecular species 960 such as rotaxane or other material useful in molecular crossbar arrays. In FIG. 9c, alternate rows of electron emission regions are actuated to establish a corresponding electrostatic pattern on a target substrate. Conductive or semiconductive nanoparticles 970 are flowed past the surface of the target substrate at a flow rate sufficient to minimize attachment to the areas of the target substrate that are not charged thus producing the upper conducting wires of the crossbar array. An insulating film material or molecular coating may then be provided over the crossbar array to protect the crossbar from damage. Of course similar crossbar structures may be formed in alternative ways using the PEBLS tool using subtractive processing techniques such as etching or using e-beam resists and certain process steps may be performed by other fabrication tools or methods such as CVD, PVD, sputtering, ion implantation, etc. As described in the previous sections many modifications of the present invention are possible and many other applications within lithographic processing as well as obvious variations of the present invention are foreseeable. For example, while the crossing angle between the first and second sets of parallel wires used in the PEBLS is shown as ninety degrees, angles larger or smaller may be used. The electron emission area may range from values as small as 1 nm2, using a single nanotube or nanowire as a field emission nanotip, up to values such as 100 μm2, using hundreds of thousands of nanotubes per electron emission area, if the PEBLS is used in lithographic machining in which sub-micron precision is not necessary. The present invention is only limited by the following claims. |
|
description | An ion implanting apparatus according to the present invention will now be generally described below with reference to FIG. 1. The ion implanting apparatus includes an ionization unit 110, an analyzer unit 200, an acceleration unit 120, a focusing unit 130, a scanning unit 140, an implanting chamber 150, and a vacuum pump 160. The ionization unit 110 produces ions, and the analyzer unit 200 analyzes ions to be implanted into a wafer from among the ions produced by the ionization unit 110 by employing the operating principle of a mass spectrometer. The analyzer unit 200 will be described in more detail with reference to FIG. 2. The analyzer unit 200 is a mass analyzer that defines a tunnel having a small height and width. The central portion of the analyzer unit 200 has a constant radius of curvature, in which the direction of the ion beam is changed. A magnet 220 disposed on the outside of the tunnel causes ions that are unfit for implantation to remain in the tunnel as the ion beam produced by the ionization unit 110 passes through the tunnel. The magnitude of current supplied to the analyzer unit 200 is varied based on the atomic weight of the ion to be analyzed. That is, the larger the atomic weight of the ion to be analyzed is, the higher is the magnitude of the current supplied to the analyzer unit 200. Referring again to FIG. 1, the acceleration unit 120 accelerates the analyzed ions to provide the ions with enough energy to implant them into a wafer to a desired depth. The focusing unit 130 focuses the ion beam to prevent the ion beam from being split apart by repulsive forces exerted by the ions, namely by positive ions that agglomerate when ionized neutral atoms migrate. The scanning unit 140 moves the ion beam up and down and to the left and right so as to distribute the ion beam uniformly over the wafer. The ions are implanted into the wafer within the implanting chamber 150. The vacuum pump 160 maintains a vacuum inside the analyzer unit 200. As shown in FIG. 2, a cold cathode ion gauge is disposed at one side the analyzer unit 200. The cold cathode ion gauge is a vacuum gauge for measuring the level of the vacuum inside the analyzer unit 200. The cold cathode ion gauge will now be described in detail with reference to FIG. 3. The cold cathode ion gauge includes a cathode 242, an anode 244, and a permanent magnet 246. The cathode 242 is a cylindrical electrode having one end communicating with the analyzer unit 200. The anode 244 is a cylindrical electrode that is coaxial with the cathode 242 and is inserted into the cathode 242 as spaced a predetermined distance away from an inner wall thereof. A high voltage is applied to the cathode 242 and the anode 244, causing electrons to migrate from the negative electrode to the positive electrode. The permanent magnet 246 is disposed around the cathode 242 so as to establish a magnetic field that is parallel with the longitudinal axes of the electrodes. Due to the magnetic field, the electrons migrate to the positive electrode within a constant orbit, i.e., not in a linear direction. This increases the probability that these electrons collide against gas molecules situated between the cathode 242 and the anode 244, and leads to improvement in production of positive ions. The produced positive ions migrate to the negative electrode, which migration is measured as the magnitude of the current. The pressure within the gauge can be estimated because the magnitude of the current is proportional to the number of gas molecules and the volume of gas is measurable. The permanent magnet 246 of the cold cathode ion gauge 240 must establish a magnetic field having a constant magnitude during an ion implanting process. This is because the accuracy of the cold cathode ion gauge 240 is reduced by variations in the magnitude of the magnetic field. However, a very large magnetic field generated by the magnet 220 of the analyzer unit 200 would affect the magnetic field in the cold cathode ion gauge 240. To circumvent this potential problem, the ion implanting apparatus according to the invention has a magnetic field shield 260. Magnetic field shields according to the present invention will now be described with reference to FIG. 4, FIG. 5A and FIG. 5B. The magnetic field shield 260 is a tubular member into which the cold cathode ion gauge 240 is inserted. Preferably, the magnetic field shield 260 is cylindrical because a polygonal member may facilitate arcing at the angled intersections of its side walls. The shield 260 has an inner wall 261 and an outer wall 262 which are made of stainless steel. The shield 260 may also include a first magnetic field shielding plate 263a and a second magnetic field shielding plate 264a, as shown in FIG. 5A. The first and second magnetic field shielding plates 263a and 264a are cylindrical and coaxial with each other. The first magnetic field shielding plate 263a is attached to the inner wall 261, and the second magnetic field shielding plate 264a is attached to the outer wall 262 such that a predetermined space exists between the first and second magnetic field shielding plates 263a and 264a. A high-k dielectric substance 266 is inserted into the predetermined space in order to enhance the magnetic shielding effect. In the embodiment of FIG. 5B, the shield 260 includes a first magnetic field shielding plate 263b attached to the inner wall 261, a second magnetic field shielding plate 264b attached to the outer wall 262, and a third magnetic field shielding plate 265 interposed between the first and second magnetic shielding plate 263b and 264b. Preferably, the third magnetic field shielding plate 265 is disposed midway between the first and second magnetic field shielding plates 263b and 264b. These plates 263b, 264b, and 265 are concentric circle and are spaced apart by predetermined distances. A dielectric substance 266 is inserted into the space between the first and third magnetic field shielding plates 263b and 265 and into the space between the third and second magnetic field shielding plates 265 and 264b. The dielectric substance 266 between the first and third magnetic field shielding plates 263b and 265 may be the same as or different from the dielectric substance 266 between the third and second magnetic field shielding plates 265 and 264b. FIG. 6 shows the cold cathode ion gauge 240 and the shield 260 coupled to each other. The shield 260 has an inner diameter that is equal to or larger than the outside diameter of the permanent magnet 246 of the cold cathode ion gauge 240. A stopper 270 is installed in the shield 260 to prevent the shield 260 from falling off of the cold cathode ion gauge 240 when the cold cathode ion gauge 240 is oriented vertically in the apparatus. The magnetic field established in the cold cathode ion gauge 240 is always constant because the magnetic field shield 260 extends around the circumference of the cold cathode ion gauge 240. Therefore, the vacuum level inside of the analyzer unit 200 can be precisely measured. In the present invention, the magnetic field shield 260 has been described as comprising two or three magnetic field shielding plates. These plates may be made of any material suitable for offering a shield against a magnetic field. Moreover, any number of magnetic field shielding plates may employed by the shield 260xe2x80x94the greater the number of magnetic field shielding plates there are, the less the magnetic field generated by the magnet of the analyzer will influence the reading of the cold cathode ion gauge. Finally, although the present invention has been described with respect to the preferred embodiments thereof, various modifications as will occur to those skill in the art can be made to the preferred embodiments without departing from the true spirit and scope of the invention as defined by the appended claims. |
|
summary | ||
046997504 | description | DETAILED DESCRIPTION OF THE INVENTION In the following description, like reference characters designate like or corresponding parts throughout the several views of the drawings. Also in the following description, it is to be understood that such terms as "forward", "rearward", "left", "right", "upwardly", "downwardly", and the like, are words of convenience and are not to be construed as limiting terms. Referring now to the drawings, and particularly to FIG. 1, there is shown an apparatus, generally designated by the numeral 10 and comprising the preferred embodiment of the present invention, for storing, retrieving and deploying vertically suspended drag gages 12 used in inspecting a nuclear fuel assembly 14 while supported at a work station 16 with its top nozzle removed. The fuel assembly 14 basically includes a lower end structure or bottom nozzle (not shown) and a number of longitudinally extending hollow guide tubes or thimbles 18 which project upwardly from the bottom nozzle. The assembly 14 further includes a plurality of transverse grids 20 (only one being shown) axially spaced along the guide thimbles 18 and an organized array of elongated fuel rods 22 transversely spaced and supported by the grids 20. Also, the assembly 14 has an upper end structure or top nozzle (not shown) which is normally attached to the upper ends of the guide thimbles 18. With such an arrangement of parts, the fuel assembly 14 forms an integral unit capable of being conventionally handled without damaging the assembly parts. As mentioned above, the fuel rods 22 in the array thereof in the assembly 14 are held in closely spaced relationship with one another by the grids 20 spaced along the fuel assembly length. Each fuel rod 22 contains nuclear fuel pellets composed of fissile material responsible for creating the reactive power of the reactor within which the fuel assembly is employed. A liquid moderator/coolant such as water, or water containing boron, is pumped upwardly through the guide thimbles 18 and along the fuel rods 22 of the fuel assembly 14 in order to extract heat generated therein for the production of useful work. The hollow guide thimbles 18, in addition to being structural elements of the fuel assembly 14, also provide channels or guides for insertion of control rods (not shown) into the reactor core in controlling its level of reactive power. For proper movement of the control rods into and from the guide thimbles 18, the latter must be free of obstructions. The present invention provides the improved, mechanized and semi-automated apparatus 10 for handling the drag gages 12 used in inspecting the guide thimbles 18 of the fuel assembly 14. The objective is to discover obstructions or misalignment in the guide thimbles 18 which have the potential to cause control rod hang up or malfunction by improved performance of a drag check utilizing one of the drag gages 12. Basically, the drag gage handling apparatus 10 includes an upright support column 24, such as a crane post, rotatably mounting a spider 26 which supports a plurality of the drag gages 12 (for instance, twelve in number) and having an elongated track 28 mounted thereto which extends outwardly therefrom above the spider. A trolley 30 is movable along the track 28 between one position (FIGS. 1 and 10) located remote from the support column 24 and aligned in overlying relation to the work station 16 and a fuel assembly 14 being held therein and another position (FIGS. 8, 9 and 11) located adjacent to the support column 24 and aligned in overlying relation to a retrieval-and-return station of the spider 26. In addition, the handling apparatus 10 includes a gripper mechanism 32 operable for respectively gripping and releasing the one drag gage 12 disposed by the spider 26 at its retrieval-and-return station, and a hoist 34 supporting the gripper mechanism 32 and, in turn, being supported by the trolley 30. A conventional load cell 36 (FIGS. 4 and 5) is interfaced between the gripper mechanism 32 and the hoist 34 for measuring the weight of the drag gage 12 as it is being inserted (FIGS. 1 and 10) within the fuel assembly guide thimbles 18. The hoist 34 is generally operable for respectively raising and lowering the gripper mechanism 32 and the one drag gage 12 therewith away from and toward the retrieval-and-return station when the trolley 30 is at the position adjacent the support column 24 and operable for again respectively raising and lowering the gripper mechanism 32 and the drag gage 12 therewith away from and toward the fuel assembly guide thimbles 18 when the trolley 30 is at the remote position. More particularly, as seen in FIGS. 1 to 3, the spider 26 includes a plurality of arms 38 mounted by a corresponding plurality of fasteners 40 on a ring member or hub 42 which is fitted about the support column 24 and seated on an annular bracket 44 attached to the column 24 by fasteners 46. Bearings 48 disposed between the spider hub 42 and the bracket 44 allow rotation of the spider 26 about a vertically extending central axis of the column 24. The spider arms 38 are attached on the hub 42 so as to extend radially outward from the column axis. Each of the spider arms 38 has a cradle 50 attached to an outer end thereof with an outwardly opening slot 52 defined therein for receiving and supporting one of the drag gages 12. Each of the drag gages 12 has an upper spherical ball 54 with an elongated slender neck portion 56 attached to a plate 58 and adapted to fit through the cradle slot 52 so as to seat the ball 54 on the cradle with a plurality of insertion rods 60 of the drag gage 12 mounted at their upper ends to the plate 58 extending downwardly below the cradle. In such manner, the spider 26 supports the drag gages 12 in a series of positions being angularly displaced from one another about the common central axis of the support column 24. Additionally, suitable drive means, generally designated 62, is provided for rotating the spider 26 relative to the support column 24 so as to move the drag gages 12 supported on the cradles 50 of the spider arms 38 along an endless circular path to dispose a selected one of the gages 12 at the retrieval-and-return station. The drive means 62 includes a motor 64 attached on the support column 24 adjacent to the spider 26 and having a drive gear 66 on the end of its output drive shaft 68 which intermeshes with a driven gear 70 defined on the spider hub 42 for transmitting rotary indexing motion thereto for driving the spider. Turning next to FIGS. 4 to 7, there is illustrated in detail the gripper mechanism 32 which provides a drag gage loading means actuatable between a contracted position (FIGS. 4 and 6) and an expanded position (FIGS. 5 and 7) for respectively gripping and releasing the selected one of the drag gages 12. The gripper mechanism 32 includes a triangular-shaped mounting plate 72 being suspended at its corners by attachment rods 74 from the load cell 36 and having pivot yokes 76 extending from the sides of the plate between its corners. A set of gripping fingers 78 corresponding in number to the pivot yokes 76 are mounted therebetween by pins 80 for pivotal movement radially relative to a common axis of the gripper mechanism 32. Each of the gripping fingers 78 has a lower inwardly and downwardly inclined tip 82 and a bifurcated upper end 84. The gripper mechanism 32 also includes means for moving the set of gripping fingers 78 between an inwardly-displaced contracted position, as seen in FIG. 4, wherein the lower tips 82 of the fingers 78 extend under the ball 54 on the drag gage 12 for gripping the same and an outwardly-displaced expanded position, as seen in FIG. 5, wherein the lower tips 82 of the fingers 78 are retracted away from under the ball 54 for releasing the same. The means operable to move the fingers 78 between the respective positions includes an actuator 86, such as an air cylinder, being anchored to a bottom plate 88 of the structure containing the load cell 36 and mounting a Y-shaped connector 90 at its piston rod 92 which is interconnected by links 94 to the upper bifurcated ends 84 of the respective fingers. A compressed spring 96 is captured between the lower end of the actuator 86 and the connector 90 for normally biasing the set of fingers 78 to the contracted gripping position of FIG. 4. However, when the piston rod 92 of the actuator 86 is retracted, as seen in FIG. 5, the set of fingers 78 move to the expanded releasing position. A conventional proximity sensor 98 is attached below the center of the mounting plate 72 for sensing when the fingers 78 are disposed close enough to the ball 54 of the drag gage 12 to accomplish gripping thereof by the fingers 78. Thus, the proximity sensor 98 helps to determine when the fingers 78 are ready to be pivoted by deactuation of the actuator 86 into releasing relation with the ball 54. Whenever the actuator 86 is deactuated, the compressed spring 96 will return the fingers 78 to their contracted gripping position, thereby creating a "fail safe" configuration. Means are provided to ensure that the drag gage 12 being lifted and deployed by the handling apparatus 10 is not prematurely or inadvertently released and dropped as it is being transported between the retrieval-and-return station and the work station 16. Particularly, a limit switch 100 disposed along the track 28 adjacent the support column 24 ensures that the actuator 86 can only pivot the fingers 78 to the expanded position when the trolley 30 is engaged with the switch 100 and overlies the retrieval-and-return station, as seen in FIGS. 8 and 9. In addition, passive safety means in the form of a cylindrical sleeve 102 restrains the fingers 78 of the gripper mechanism 32 at the contracted position in gripping relation with the drag gage ball 54. The sleeve 102, aided by the force of gravity, is normally seated about the gripper mechanism fingers 78 in the position seen in FIG. 4. The sleeve 102, free to slide vertically, is lifted to its phantom line position of FIG. 4 and solid line position of FIG. 5, only when the gripper mechanism 32 is disposed at the retrieval-and-return position of the spider 26 with the ball 54 of the drag gage 12 disposed above the one cradle 50. Such is accomplished by means in the form of a tapered arm structure 104 mounted in cantilevered fashion to the support column 24 above the one spider arm 38 and cradle 50 at the retrieval-and-return station. The arm structure 104 is precisely positioned relative to arrival of the gripper mechanism 32 at the retrieval-and-return station to engage and displace or lift the safety sleeve 102 from about the gripper mechanism so as to release the restraint on its fingers 78 as the gripper mechanism is lowered relative to the arm structure. The actuator 86 is now free to manipulate the fingers for facilitating respective fetching and storing of the drag gages 12. FIGS. 8 to 11 illustrate the sequence of steps which take place in retrieving one of the drag gages 12 and transporting it to the work station 14 for performance of a drag check on the fuel assembly guide thimbles 18. Then, once the inspection is completed, the drag gage 12 is returned to storage on the spider. More particularly, in FIG. 8 the spider 26 is rotated to place the desired one of the drag gages 12 at the retrieval-and-return position thereon. With the trolley 30 at the loading position adjacent the support column 24 engaged with the limit switch 100 and the safety sleeve 102 lifted above the gripper mechanism 32, the actuator 86 is operated to expand the fingers 78 to allow placement about the ball 54 of the one drag gage 12. Then, when the proximity sensor 98 signals that the gripper mechanism 32 is down on the ball 54, the actuator 86 is operated to contract the fingers 78 and place them in gripping relation with the ball 54. As the trolley 30 begins movement toward the work station 16, as seen in phantom line form in FIG. 9, the safety sleeve 102, once released from structure 104, descends over the gripper mechanism 32 and remains there until the gripper mechanism returns to the loading position at the retrieval-and-return station, as shown in FIG. 11. At the work station seen in FIG. 10, the hoist 34 is operated to lower the drag gage 12 for insertion of its rods 60 into the guide thimbles 18 of the fuel assembly 14 to inspect for obstructions or misalignment therein. The drag gage rods 60 are lowered into the guide thimbles 18 after manual alignment and rotation by the operator. Descent of the drag gage to a predetermined depth constitutes the inspection. Upon reaching a load change of fifteen pounds on the load cell, an alarm prompts the operator to abort operation and a note is made of the approximate location of the obstruction. As seen in FIG. 11, after completion of the inspection, the drag gage 12 is withdrawn upward and the trolley operated to return the gage to the spider 26 through a series of steps substantially the reverse of the sequence used in fetching the gage. The control system utilized is a programmable controller which is used in conjunction with manual controls on a hand held pendant and/or on a floor mounted console 106. The programmable controller processes the limit switch and sensor input signals and provides all of the automatic sequence of operations including timing, counting and logic functions. Indicator lights thereon will also prompt the operator to perform manual functions as required in the sequence of operations. To summarize, the anticipated benefits of the present invention include improved quality, reliability and cycle time. The quality of the inspection is improved since the load cell is more accurate in determining the value and location of the obstruction causing a fifteen or more pounds weight loss during the test cycle descent of the gage. This state-of-the-art system should provide better base data and permit statistical record keeping. Reliability should improve due to the following factors: dedicated state-of-the-art equipment, modular component design, derated motorized system, use of proven mechanisms and subsystems, and minimal preventative maintenance needed to provide a long service life. Cycle time is shortened by eliminating the use of the main crane, its operator and the hook-up man. These contribute to both reduced elapsed time per inspection and reduced manpower needs. It is thought that the present invention and many of its attendant advantages will be understood from the foregoing description and it will be apparent that various changes may be made in the form, construction and arrangement thereof without departing from the spirit and scope of the invention or sacrificing all of its material advantages, the form hereinbefore described being merely a preferred or exemplary embodiment thereof. |
summary | ||
description | A first embodiment according to the present invention is described based on FIGS. 1 and 2 below. FIG. 1 is a schematic diagram of an EUV radiation source according to the first embodiment of the present invention. FIG. 2 is a cross sectional view thereof taken along line IIxe2x80x94II shown in FIG. 1 In FIGS. 1 and 2, a capillary structure 21 is an insulator. An insulated plate 22 is disposed between a high voltage side electrode 11 and a ground side electrode 12. The high voltage side electrode 11 and the ground side electrode 12 are electrically connected to a power supply (not shown) by leads 31 and 32 and a voltage pulse is impressed to the electrodes 11 and 12. In this embodiment of the present invention, the capillary structure 21 is disciform (discoid) as shown in FIG. 2. The capillary structure 21 and a central axis 52 are integral. The central axis 52 is rotatably supported by a bearing 61. The high voltage side electrode 11 is made of tungsten and has a disc shape and, for example, a 50 mm diameter. Further, the high voltage side electrode 11 has a 3.5 mm diameter through hole 111 in the center portion of the high voltage side electrode 11. The high voltage side electrode 11 may be made of tantalum. The capillary structure 21 may be, for example, a 300 mm diameter disc and made of silicon nitride. Further, The capillary structure 21 has a plurality of 3 mm diameter capillaries 211 at even intervals along the circumference of the capillary structure 21. The capillary structure 21 may be made of, for example, silicon aluminum or diamond. The ground side electrode 12 has a 60 mm diameter disc and made of tungsten. The ground side electrode 12 has a though hole 121 at the central portion of the ground side electrode 12 and has a 60 mm diameter disc. The ground side electrode 12 may be made of tantalum. The insulated plate 22 may have, for example, a 400 mm diameter and is made of alumina etc. Further the insulated plate 22 has 4 mm diameter though holes 221. The through hole 111 of the high voltage side electrode 11, one of the through holes 221 of the insulated plate 22 and the through hole 121 of the ground side electrode 12 are arranged so that they can be on the common axis. The common axis is hereinafter referred to as a discharge axis c. The insulated plate 22 is fixed on a partitioning cylinder 71 which is fixed on a bottom plate 72. Thus, the bottom plate 72, the partitioning cylinder 71 and the insulated plate 22 define a space Sa. The bottom plate 72 is air-tightly joined with an outside cylinder 81, thereby, an isolated space Sb is formed inside the outside cylinder 81. The outside cylinder 81 has an exhaust port 82. The isolated space Sb is kept in a high vacuum condition by discharging gas in the isolated space Sb at a high speed from the exhaust port 82. After gas in the closed space Sa and the space Sb is discharged, actuating gas (such as xenon in this embodiment) necessary for discharge is introduced from a gas introducing inlet 41 into the space Sa. The gas can be discharged from a gas discharging hole 42 and pressure in the space Sa is controlled so that the pressure is appropriate for discharge (such as dozens Pa (10 s Pa)) The gas flow volume can be controlled by a well-known conventional method. The capillary structure 21 is rotated by a motor (not show) connected to a central axis 52. In accordance with rotation of the capillary structure 21, the plurality of capillaries 211 sequentially come above the discharge axis c one by one. When one of the capillaries 211 is located above the discharge axis c, gas discharge takes place in the one of the capillaries 211 and the through hole 221 of the insulated plate 22 by applying voltage pulse between the high voltage side electrode 11 and the ground side electrode 12, thereby, high temperature plasma is formed. As a result, 10 to 13 nm EUV radiation is generated, as described above, and then the discharge is over. When the next one of the capillaries is located above the discharge axis c in accordance with the rotation of the capillary structure 21, a voltage pulse is applied again to generate EUV radiation. The generated EUV radiation passes through the through hole 121 of the ground side electrode 12 and discharged to the space Sb. Since the actuating gas that leaks from the through hole 121 of the ground side electrode 12 to the space Sb, as described above, is discharged from the exhaust port 82 at a high speed, the isolated space Sb is kept in a high vacuum condition in which there is no obstacle to transmission of EUV radiation. For example, when the number of capillaries 211 is 100, a rotational speed is 10 times per second, repeating frequency is 1,000 Hz, thus, 1,000 pulses are applied per second. When electric input energy per pulse is 10 J, the total power of 10 Jxc3x971,000 Hz=10,000 W is input. In a conventional method, when such power is applied to one capillary, that is, 1,000 pulses per second are applied to the single capillary, heat load is imposed on the capillary structure 21, the high voltage side electrode 11 and the ground side electrode 12, thereby, debris is generated and further, the life span of the exposure apparatus is shortened and the credibility is impaired. However, in the present invention, since there are one hundred capillaries 211, each one of the capillaries receives only 100 W (10 Jxc3x9710 Hz=100 W), that is, 10 pulses per second are applied. Thus, in comparison to the conventional method, it is possible to reduce heat load imposed on the capillary structure 21, the high voltage side electrode 11 and the ground side electrode 12. On the other hand, if life span credibility does not matter, in comparison to the conventional method, it is possible to increase output appearance of EUV radiation and to improve performance of the light source by increasing input energy per pulse or the repeating frequency. As described above, according to this embodiment, it is possible for each of the plurality of capillaries to contribute to the total output light from the EUV radiation source. Therefore, heat load that one capillary receives in the conventional method, can be shared by 100 capillaries 211. It is possible to reduce debris by controlling temperature rise of the capillary structure 21. In comparison to the conventional method, it is possible to increase output of EUV radiation. Further, it is possible to improve the life span credibility of the exposure apparatus or the EUV radiation source. FIG. 3 shows the second embodiment of the present invention and is a schematic cross sectional view of an EUV radiation source, taken along an optical axis of EUV light. In this embodiment, a high voltage side electrode 11 and a capillary structure 21 are disciform and have approximately the same diameter. They are integrally joined. On the high voltage electrode 11, plural through holes 111 are formed. The number of the through holes 111 is the same as that of capillaries 211. Each one of the through holes 111 and each one of the capillaries 211 make a pair and are on a common axis and are connected. The high voltage side electrode 11 is integrally joined with a center axis 53 having a hollow portion therein. The high voltage side electrode 11 and a capillary structure 21 are rotated together by the rotation of the center axis 53. Pairs of a through hole 111 and a capillary 211 is sequentially located on the discharge axis c one by one. A feeding brush 33 is electrically connected to a electric lead 31 and is in contact with the high voltage side electrode 11. Therefore, power can be supplied via the feeding brush 33 to the rotating high voltage side electrode 11. A space for circulating coolant is provided it the integrally joined high voltage side electrode 11 and the capillary structure 21. The space is partitioned by a disc diaphragm 55. A coolant introducing pipe 54 and the center axis 53 form a jacketed pipe structure. The capillary structure 21 and the high voltage electrode 11 are cooled down by introducing the coolant from the coolant introducing pipe 54 and discharging the coolant through the inside of the center axis 53. The other elements of the structure in this embodiment are the same as these shown in FIGS. 1 and 2. The high voltage side electrode 11 and the capillary structure 21 are rotated by a motor (not shown) connected to the center axis 53. As the high voltage side electrode 11 and the capillary structure 21 rotates, pairs of a through hole 111 and a capillary 211 passes sequentially above the discharge axis c one by one. When one of pairs of the through hole 111 and one of the capillary 211 is located on the discharge axis c, as described above, a voltage pulse is impressed between the high voltage side electrode 11 and the ground side electrode 12. Accordingly, gas discharge takes place inside the one of the capillaries 211 and the through hole 221 of the insulator 22, and thereby high temperature plasma is formed. As a result, as described above, 10 nm to 13 nm EUV light is generated. This is one cycle of the discharge. Further, as the high voltage side electrode 11 and the capillary structure 21 rotate, when the next capillary 211 is located on the discharge axis c, a voltage pulse is impressed again to generate EUV radiation. The generated EUV radiation is discharged to the space Sb via through hole 121 of the ground side electrode 12. This cycle is repeated. According to this embodiment, since the capillary structure 21 and the high voltage side electrode 11 are integrally joined, and coolant is introduced therein, it is possible to effectively cool down the capillaries 21 and the high voltage side electrode 11. Accordingly, it is possible to control temperature rise of the capillary structure 21 and the high voltage side electrode 11, and to control generation of debris. Therefore, in comparison to the first embodiment, it is possible to increase output of the EUV radiation and improve the life time credibility of the exposure apparatus and EUV radiation source. FIG. 4 shows the third embodiment of the present invention and is a cross sectional view of EVU radiation source taken along an optical axis of EUV light. In this embodiment, an ultraviolet ray laser 91 is added to an EUV radiation source shown in the first embodiment. Backup ionization for discharge is performed by using ultraviolet ray of the ultraviolet ray laser 91. In FIG. 4, a laser beam emitted from the ultraviolet laser 91 is condensed inside a through hole 111 by a condensing lens 92 via an ultraviolet ray transmission window 93. The other elements of the structure in this embodiment are the same as these shown in FIGS. 1 and 2. The capillary structure 21 is rotatable. As the capillary rotates, capillaries 211 are sequentially located one by one above the discharge axis c. When one of the capillaries is located on the discharge axis c, a laser beam is emitted from the ultraviolet laser source 91. The laser beam is condensed inside the through hole 111 and then a high voltage pulse is impressed between the high voltage side electrode 11 and the ground side electrode 12. By the laser beam, the actuating gas is ionized inside the through hole 111 of the high voltage side electrode 11 and thereby electron is generated. Thus, it is possible to certainly start discharge (dielectric breakdown). FIG. 5 shows pulse laser output of the ultraviolet laser 91, discharge current flowing between the high voltage side electrode 11 and the ground side electrode 12, and plasma electron density generated by discharge. As shown in FIG. 5, an approximately 100 ps width laser beam is emitted from the ultraviolet laser 91, 100 ns before impression of a voltage pulse for main discharge. Thereby, in advance, pre-plasma that works as a torch for the main discharge is preliminarily generated (backup breakdown). In this embodiment, as described above, since while pre-plasma generated by a laser beam emitted from the pulse laser 91 remains, that is, there are enough electrons necessary for next discharge, the main discharge takes place, it is certain to form discharge plasma and it is possible to eliminate misfire or delay of discharge initiation. It is possible to certainly start discharge when one of the capillaries 211 is located on the discharge axis c even though the capillary structure 21 is rotating. In the place where the pre-plasma is formed, the density of electron is higher than other places, therefore, it is easy to form high electron density plasma when the main discharge takes place. Further, if the pulse laser emitting condition is fixed, a position where the pre-plasma is formed is fixed and the plasma shape for the main discharge is stabilized. As a result, it is possible to stabilize output of the EUV radiation. Although, in the third embodiment, the ultraviolet laser 91 is added to the EUV radiation source in the first embodiment, it is possible to add the ultraviolet laser source 91 to the EUV radiation source in the second embodiment. While as described above, pre-plasma is generated by the ultraviolet laser 91, and the pre-plasma does not disappear, it is possible to start main discharge by impressing a high voltage pulse to the high voltage side electrode 11 and the ground side electrode 12. In the second embodiment, plural through holes and capillaries are provided in the disc shaped high voltage side electrode 11 and the capillary structure 21 and the high voltage side electrode 11 and the capillary structure 21 are integrally joined, and further, coolant is introduced inside the high voltage side electrode 11 to cool down the high voltage electrode 11 and the capillary structure 12, and further more the high voltage side electrode 11 and the capillary structure 21 are rotated together. However, in the second embodiment, the ground side electrode 12 may be disciform. The disc electrode 12 may have plural through holes and it may be rotated together with the high voltage side electrode 11 and capillary structure 21. Therefore, it is possible to reduce heat load of the ground side electrode 12. As described above, according to the present invention, since the insulator having a plurality of through holes is at least movable, and voltage pulse is impressed between the first and second electrodes when the first and second electrodes and the plurality of through holes are on a common axis, it is possible to reduce heat load which is received per one through hole of the insulator and further, it is possible to reduce temperature rise of the insulator and generation of debris. Therefore, it is possible to improve the life span credibility of the exposure apparatus or EUV radiation source. Further, if the life span credibility does not matter, it is possible to increase input energy per one pulse or increase repeating frequency, thereby it is possible to increase apparent output of the EUV radiation source. Furthermore, according to the present invention, since the insulator may be disciform, the plurality of through holes may be concentrically provided with respect to a point and the disc insulator may be rotated with respect to the point, it is possible to rotate the insulator using relatively simple mechanism. Still further, according to the present invention, since the first electrode and the insulator having a space therein for passing through coolant to cool down the first electrode and the insulator, are integrally joined, it is possible to reduce temperature rise of the insulator and generation of debris by cooling the first electrode and the insulator by coolant flowing inside the integrated insulator and the first electrode. Therefore, it is possible to improve life span credibility of exposure apparatus or EUV radiation source. The disclosure of Japanese Patent Application No. 2002-087925 filed on Mar. 27, 2002 including specification, drawings and claims is incorporated herein by reference in its entirety. Although only some exemplary embodiments of this invention have been described in detail above, those skilled in the art will readily appreciated that many modifications are possible in the exemplary embodiments without materially departing from the novel teachings and advantages of this invention. Accordingly, all such modifications are intended to be included within the scope of this invention. |
|
claims | 1. A coated nanotube surface signal probe characterized in that said probe comprises a nanotube (24), a holder (2a) which holds said nanotube (24), and a coating film (29) fastening a base end portion (24b) of said nanotube (24) to a surface of said holder with a tip end portion (24a) of said nanotube (24) being caused to protrude from said holder (2a) wherein said probe is assembled by direct observation in an electron microscope, said coating film is formed by irradiating a base end portion of said nanotube (24) with an electron beam in said electron microscope so as to fasten said nanotube (24) to said holder (2a) by said resulting coating film (29), and said tip end portion (24a) is used as a probe needle so as to scan surface signals. 2. The coated nanotube surface signal probe according to claim 1, wherein said coating film is a carbon film depositing on said base end portion by said electron beam irradiation. |
|
claims | 1. A betavoltaic power source comprisinga source of beta particles;a substrate with shaped features defined therein;a InGaP betavoltaic junction disposed between the source of beta particles and the substrate, and also having shaped features therein responsive to the shaped features in the substrate, the InGaP betavoltaic junction device for collecting the beta particles and for generating electron hole pairs responsive thereto; andwherein the substrate comprises a GaAs substrate having an orientation of (100) and surfaces of the shaped features having an orientation of (100), (010), or (001). 2. The power source of claim 1 wherein the shaped features comprise an array of one or more of trenches and pillars. 3. The power source of claim 1 wherein the substrate comprises a gallium-arsenide substrate, a geranium substrate or a silicon substrate. 4. The power source of claim 1 wherein the shaped features in the substrate expose crystallographically identical planes on which the InGaP betavoltaic junction is formed. 5. The power source of claim 1 wherein the InGaP betavoltaic junction comprises a GaAs cap layer, a InAlP window layer, an InGaP emitter layer, an intrinsic layer, a base layer, and a minority carrier reflector layer. 6. The power source of claim 5 wherein trenches are formed in the GaAs cap layer, the InAlP window layer, the InGaP emitter layer, the intrinsic layer, the base layer, and the minority carrier reflector layer. 7. The power source of claim 1 wherein the substrate is doped n-type or p-type. 8. A method for forming a betavoltaic power source using beta particles emitted from a source, the method comprising:forming a substrate;forming shaped features within the substrate;forming a InGaP betavoltaic junction disposed between the source of beta particles and the substrate, the InGaP defining shaped features therein responsive to the shaped features in the substrate, the InGaP betavoltaic junction device for collecting the beta particles and for generating electron hole pairs responsive thereto; and wherein the substrate comprises a GaAs substrate having an orientation of (100) and surfaces of the shaped features having an orientation of (100), (010), or (001). |
|
048760609 | description | DESCRIPTION OF THE PREFERRED EMBODIMENTS Referring to FIG. 1 which is a perspective view of a first embodiment of the control blade of the invention for use in nuclear reactors, the control blade generally denoted by a numeral 10 has a central tie rod 14 interconnecting an upper structure 12 provided with a handle 11 and a lower structure 13. The central tie rod 14 has radial projections which provide a substantially cross-shaped cross-section of the central tie rod 14. A substantially U-shaped sheath plate having a considerable depth and made of stainless steel is secured to the end of each projection of the central tie rod 14. The space in each sheath plate 15 receives plate-shaped long-life neutron absorber 18 made of hafnium (Hf). Each sheath 15 and the long-life neutron absorber 18 in combination constitute a wing 16 of the control blade 10. Thus, the control blade 10 has four wings 16. The control blade 10 is designed to have substantially the same size, shape and weight as those of conventional control blades charged with boron carbide (B.sub.4 C), so that it can be back-fitted in existing nuclear reactors. For instance, the control blade 10 has an effective length of about 3.83 m, a blade width of about 250 mm, a blade thickness of about 8 mm, a sheath plate thickness of about 1 mm and a total weight of about 100 kg. The neutron absorber 18 is divided along the axis of the tie rod 14 into a plurality of elements or sections, e.g., four neutron absorber elements or sections 18a, 18b, 18c and 18d as shown in FIG. 2. In FIG. 2, the left half part of the control blade 10 is loaded with the neutron absorber elements, while the right half part is shown in a state not loaded with the neutron absorber elements. The neutron absorber elements 18a, 18d and 18c other than the element 18d adjacent to the lower structure 13 are supported by absorber element supports 20 which are formed on each central tie rod 14 at a suitable interval in the direction of axis of the central tie rod 14 and fit in recesses of the absorber elements so as to prevent the neutron absorber elements 18a to 18c from moving up and down. The neutron absorber elements 18a to 18d are so designed that the neutron absorber 18 composed of these elements exhibits neutron absorption characteristics which are progressively decreased from the end adjacent to the upper structure 12 towards the end adjacent to the lower structure 13. More particularly, in the illustrated embodiment in which the neutron absorber 18 is divided into four elements 18a to 18d, each element has a constant thickness but the thicknesses are changed in a stepped manner such that the uppermost neutron absorber element 18a adjacent to the upper structure has the greatest thickness and the lowermost neutron absorber element adjacent to the lower structure has the smallest thickness. This stepped change in the thickness of the neutron absorber 18 causes a correspondingly stepped change in the reactivity value or worth, i.e., the neutron absorption characteristics, as shown in FIG. 3. The design may be such that, depending on the design or the manner of operation of the control blade, the extreme end portion of the uppermost neutron absorber element 18a adjacent to the upper structure, e.g., the region within 35 cm as measured from the end extremity, has specifically increased neutron absorption characteristics so as to improve the scramming performance of the reactor or specifically decreased neutron absorption characteristic so as to suppress any drastic variation of the reactor output which may be caused when the control blade is extracted. In addition, the neutron absorption characteristics are so varied in at least the uppermost neutron absorber element 18a such that the portion of the element adjacent to the central tie rod 14 has greater neutron absorption capacity. In general, a long-life control blade 10 used in a nuclear reactor tends to suffer from embrittlement of the upper structure 12 because of an extremely heavy neutron exposure of the upper structure. Therefore, the upper structure is usually made of a stainless steel having a specifically high purity, so as to suppress any tendency for the upper structure to become fragile. In order to minimize the weight of the control blade, the upper structure 12, the lower structure 13 and a speed limiter 22 attached to the lower structure have thicknesses reduced as possible. As will be seen from FIGS. 1 and 2, the control blade 10 has a vacant portion 23 below the upper structure 12. This vacant portion 23 may be utilized as an auxiliary handle. The vacant portion 23 is formed at such a position where no neutron absorption is necessary due to the design of the control blade. The provision of the vacant portion 23 contributes to a further reduction in the weight of the control blade. It has been confirmed through experiments that the amount of fast neutron exposure at the upper part of the auxiliary handle is as small as 1/5 to 1/3 of that at the upper part of the handle portion. This suggests that the degree of embrittlement at the auxiliary handle portion 23 is as small as 1/5 to 1/3 of that at the upper part of the handle portion, so that the provision of the auxiliary handle portion 23 provides an effective back-up for the handle 11 during handling of the control blade. Each of the neutron absorber elements, e.g., element 18a, disposed in the sheath plate 15 is composed of a pair of neutron absorber plates or sheets 18a.sub.1 and 18a.sub.2 made of hafnium films or sheets and arranged so as to oppose each other as shown in FIG. 4. These neutron absorber plates 18a.sub.1 and 18a.sub.2 are spaced from each other by spot-like spacers 24. These spacers 24 improve the mechanical strength of the neutron absorber element 18a and preserve a flat water gap 25 between the opposing neutron absorber plates 18a.sub.1 and 18a.sub.2 for allowing a moderator to flow therethrough. A plurality of water passage holes 26 communicating with the water gap 25 are formed in the walls of the sheath plate 15 and the corresponding portions of the neutron absorber element 18a. The water passage holes 26 as a rule are not formed in such a way as to penetrate the wing 16 linearly. In other words, these holes 26 are formed in a staggered manner. Each of the neutron absorber plate in each of the elements 18a to 18d has the form of a thin plate or sheet of 0.5 to 2.0 mm thick and is curved at its edge extending along the end of the wing 16. A small gap is formed between the curved end extremities of the pair of neutron absorber plates 18a.sub.1 and 18a.sub.2 at the end of the wing 16, in order to ensure sufficient flexibility of these neutron absorber plates 18a.sub.1 and 18a.sub.2. The neutron absorber 18 incorporated in the nuclear reactor control blade 10 of the invention may be sectioned in the axial direction of the tie rod 14 into eight stages or elements 18a to 18h, as shown in FIG. 5. The neutron absorber supporting element of each stage is supported by a plurality of supporting spacers 30 which are fixed to the sheath plate 15 at a suitable interval as shown in FIG. 5, thereby preventing the neutron absorber elements 18a to 18h from moving up and down. The neutron absorber elements 18a to 18h are so designed that the neutron absorber 18 composed of these elements exhibits neutron absorption characteristics which are progressively decreased from the end adjacent to the upper structure 12 towards the end adjacent to the lower structure 13. More particularly, in this embodiment in which the neutron absorber 18 is divided into eight elements 18a to 18h, each element has a constant thickness but the thickness is changed in a stepped manner such that the uppermost neutron absorber element 18a adjacent to the upper structure has the greatest thickness and the lowermost neutron absorber element 18h adjacent to the lower structure has the smallest thickness. This stepped change in the thickness of the neutron absorber 18 causes a correspondingly stepped change in the reactivity worth, i.e., the neutron absorption characteristics, as shown in FIG. 6A. In the arrangement shown in FIG. 6A, all the neutron absorber elements 18a to 18h have different thicknesses such that the neutron absorber 18 as a whole exhibits a thickness distribution which progressively decreases towards the end adjacent to the lower structure. This, however, is not exclusive and the thickness distribution may be such that a plurality of adjacent neutron absorber elements, e.g., two elements as shown in FIG. 6B, have an identical thickness, or such that each of the neutron absorption elements has the greatest thickness at its end adjacent to the upper structure 12 and the smallest thickness at its end adjacent to the lower structure 13, so that the neutron absorber 18 as a whole exhibits a substantially linear or rectilinear change in the thickness as shown in FIG. 6C. It is also possible to use the arrangements shown in FIGS. 6A to 6C in combination. As shown in FIG. 8, the neutron absorber elements 18a to 18h of the respective stages have pairs of neutron absorber sheets or plates 18a.sub.1, 18a.sub.2 ; 18b.sub.1, 18b.sub.2 ; . . . ; 18h.sub.1, 18h.sub.2 constituted by sheets of hafnium, the neutron absorber plates of each pair being arranged to oppose each other in the direction of thickness of the wing 16. These neutron absorber plates of each pair are spaced from each other by supporting spacers 30 which has, as shown in FIG. 7, a disk-like spacing portion 30a and supporting legs 30b projecting axially from the center of the spacing portion 30a at both sides thereof. As will be seen in FIG. 8, the supporting legs 30b loosely penetrate corresponding holes in the opposing neutron absorber plates 18a.sub.1 and 18a.sub.2 for example and are fixed to the inner wall surfaces of the sheath 15 by, for example, welding. The holes 31 formed in the opposing neutron absorber plates of each neutron absorber element have a diameter which is slightly greater than the supporting legs 30b so as to allow thermal expansion or contraction of the neutron absorber plates caused by a change in the temperature. These supporting spacers 30 securely hold the neutron absorber plates such as the plates 18a.sub.1, 18a.sub.2 within the sheath while preserving a flat water gap 25 between these opposing neutron absorber plates 18a.sub.1, 18a.sub.2 so as to guide the flow of a moderator. Thus, the water gap 25 provides a flow passage for the moderator. A plurality of water passages 26 communicating with the water gap 25 are formed in the walls of the sheath 15 and the corresponding portions of the neutron absorber element of each stage of the neutron absorber 18. As a rule, the water passage holes 26 are arranged such that the wing 16 is not penetrated linearly, i.e., in a staggered or zig-zag manner as shown in FIG. 9. In this embodiment, the thickness of each wing 16 of the nuclear reactor control blade 10 is about 8 mm, and each neutron absorber plate constituting each of the neutron absorber elements 18a to 18h is constituted by a metallic neutron absorber plate having a very small thickness of, for example, 0.5 to 2.0 mm. With this arrangement, as shown in FIG. 6A, the neutron absorber plate in the neutron absorber element adjacent to the upper structure 12 has a thickness of 1.5 to 2.0 mm, while the neutronabsorber plate in the neutron absorber element adjacent to the lower structure has a thickness of 0.5 to 1.0 mm. The neutron absorber plates in the intermediate neutron absorber elements have intermediate thicknesses. A critical experiment was conducted by inserting an experimental flux-trap-type control blade into a BWR core simulator. The control blade used in this experiment was composed of pairs of hafnium plates as the neutron absorbers arranged in each sheath made of stainless steel with a water gap formed between opposing hafnium plates, as shown in FIGS. 8 and 9. A relationship between the water gap width and the reactivity worth was confirmed through the experiment, as shown in FIG. 11. This experimental result teaches that a large flux trapping effect is obtained even with a small water gap width of 2 to 5 mm. It will be noted also that a reduction in the thickness of the hafnium plate increases the water gap width correspondingly, thus enabling any reduction in the reactivity worth due to reduction in the plate thickness to be compensated to a certain extent. From these facts, it is understood that a reduction in the weight of the control blade is attainable, while maintaining high reactivity worth, by enlarging the water gap width through reducing the thickness of the hafnium plate at portions of the control blade other than the upper portion where a specifically large hafnium plate thickness is required in view of the neutron exposure distribution and in consideration of the reactor shut down margin. FIGS. 10A and 10B show the portion marked at C in FIG. 5 with the supporting spacers removed. FIG. 10B shows a section taken along the line D--D in FIG. 10A. It will be seen that gaps 33a, 33b are formed between the adjacent neutron absorber plates 18a.sub.1, 18a.sub.2 ; 18b.sub.1, 18b.sub.2 ; . . . 18h.sub.1, 18h.sub.2 of the successive neutron absorber elements 18a, 18b, . . . 18h arranged in the axial direction of the Control blade 10. It will also be seen that the gaps 33a and the gaps 33b on opposite side of the water gap are staggered such that they are masked by the neutron absorber plates. Namely, the gaps 33a and the gaps 33b formed between the adjacent neutron absorber elements at both sides of the water gap are arranged in a staggered manner such that these gaps are masked by the neutron absorber plates on the opposite sides of the water gap and such that the adjacent gaps on both sides of the water gap do not occupy the same plane of a level. FIGS. 12 to 14 show modifications of the arrangement of neutron absorber plates in adjacent neutron absorber elements. In these modifications, the gaps 34a, 35a, 36a formed in the front side of the wing are disposed so as to intersect, when viewed in the direction normal to the plane of the wing, the gaps 34b, 35b, 36b formed in the rear side of the wing, in such a manner that the areas over which the gaps on the front and rear side cross each other are minimized. By minimizing these areas, it is possible to avoid any local reduction in the reactivity worth along the length of the control blade. Other modifications of the shape and position of the gaps between the adjacent neutron absorber elements will be obvious to those skilled in the art. The operation of the described embodiment of the nuclear reactor control blade is as follows. A curve as shown in FIG. 15 exemplarily shows the fissile nuclide concentration distribution along the axis of a boiling water reactor core in which the fuel has been burnt up to a certain degree. Since the control of burn-up in the reactor core is divided into four sections in the direction of axis of the reactor core, it is convenient that the control blade 10 for controlling the burn-up also is divided into four sections or sections of a number which is a multiple of four. The burn-up of fuel is comparatively slow in the lower end portion of the core of the nuclear reactor, so that the concentration of fissile nuclides is large in this portion of the nuclear reactor. Representing the axial length of the reactor core by L, the upper portion above the mid portion 2/4.L experiences a phenomenon known as hardening of neutron spectrum due to voids generated in this portion. As a result, the plutonium production reaction is promoted in this portion. At the same time, the voids reduce the thermal neutron flux so as to retard the burning of the fuel. For these reasons, the reactor core usually exhibits the fissile nuclide distribution pattern as shown in FIG. 15. Where the fissile nuclide distribution pattern as shown in FIG. 15 is exhibited by the reactor core, the reactor core in the shut-down state shows a neutron multiplication factor distribution along the axis of the reactor core as shown by a curve B in FIG. 16. In general, the greater the neutron multiplication factor, the smaller the reactor shut-down margin, i.e., the smaller the subcriticality factor. The reduction in the multiplication factor at the lower and upper ends of the reactor core as shown by curve B is attributable to leakage of neutrons at these portions of the reactor core. In FIG. 17, a curve C shows the distribution of amounts of the neutron exposure of the nuclear reactor control blade along the axis of the reactor control blade, as observed when the nuclear reactor control blade 10 is used. From this curve, it will be seen that the amount of neutron exposure is drastically increased in a limited region of a certain height (usually about 30 cm) from the upper end extremity of the control blade 10. In other portions of the control blade 10, the amount of neutron exposure is progressively decreased towards the lower end of the control blade 10. The control blade 10 in accordance with the present invention is so designed as to provide a satisfactory control effect under the neutron multiplication factor characteristics and the amount of neutron exposure characteristics shown in FIGS. 15 and 16. Namely, the control blade 10 of the embodiment is so designed that the upper end portion thereof, corresponding to 1/4.L (about 90 to 95 cm) is designed to cope with the local reduction in shut-down margin attributable to the rise in the neutron multiplication factor, as well as decreasing tendency of the shut-down margin due to drastic increase in the amount of neutron exposure, which is observed in the upper portion of the reactor core as shown in FIGS. 16 and 17. As shown in FIG. 3, the neutron absorber elements are designed such that the neutron absorber as a whole is progressively thinned from the end adjacent to the upper structure 12 towards the end adjacent to the lower structure 13, thus decreasing the neutron absorption effect in a corresponding manner. It is to be noted, however, the neutron absorption power in the region of 1/4.L from the lower end of the control blade 10, i.e., from the upper end of the lower structure 13, is determined to be slightly smaller than that in the region between 1/4.L and 2/4.L, because in the region of 1/4.L, the neutron multiplication factor is greater than the region between 1/4.L and 2/4.L as shown in FIG. 16, though the amount of neutron exposure in the region of 1/4.L is smaller than that in the region between 1/4.L and 2/4.L. FIG. 18 shows a curve D which represents a typical example of the amount of neutron exposure in the breadthwise direction of each wing 16. As will be seen from the curve D, the amount of neutron exposure is drastically increased in the region near the outer end of the wing and is slightly increased in the inner region adjacent to the tie rod 14. It is, therefore, possible to obtain a reactivity worth distribution as shown in FIG. 19, by varying the neutron absorption characteristics of the neutron absorber 18 in the breadthwise direction of the wing 16. In the nuclear reactor control blade 10 of the described embodiment, the above-mentioned variation in the neutron absorption characteristics can be attained by employing thin neutron absorber plates in each of the elements 18a to 18d (FIG. 2) or 18a to 18h (FIG. 5) of the long-life neutron absorber 18 and arranging these neutron absorber plates such that a flat water gap serving as a passage for the moderator is defined between the opposing neutron absorber plates. It is thus possible to reduce the weight of the long-life neutron absorber 18 in the wing 16 as compared with the case where no water gap is formed within the heavy long-life neutron absorber. This in turn contributes to a reduction in the overall weight of the nuclear reactor control blade 10 as a whole, thus enabling existing control rod drive mechanism to serve without any change or modification in design. Other embodiments of the nuclear reactor control blade of the present invention will be described hereinunder. FIGS. 20 to 22 show a second embodiment of the nuclear reactor control blade in accordance with the invention, with means for reinforcement of the wings of the control blade. The control blade denoted by 10A has a plurality of wings each having a plate-like long-life neutron absorber 18A composed of pairs of opposing neutron absorber plates or sheets 38a and 38b which are spaced from and held on each other by means of spot-like spacers 39. These neutron absorber plates are fixed at their end portions corresponding to the outer end of the wing to a common tie rod 40, thus assuring high mechanical strength or stability. In general, the outer end portions of the neutron absorber plates 38a, 38b receive a greater amount of neutron exposure as compared with other portions. The tie rod 40 provided between these end portions of the neutron absorber plates effectively increases the reactivity. The other ends of the neutron absorber plates 38a and 38b, i.e., the ends adjacent to the central tie rod 14, are curved to approach each other but are spaced from each other so as to absorb any thermal expansion of the neutron absorber plates 38a and 38b. Other portions of this embodiment are materially the same as those of the embodiment shown in FIGS. 2 and 4 and, therefore, are denoted by the same reference numerals and a detailed description thereof is omitted. FIG. 23 illustrates a third embodiment of the nuclear reactor control blade in accordance with the present invention. The control blade, generally designated by a numeral 10B, has a plurality of wings each of which is composed of a sheath 15 and a neutron absorber 18B in the sheath. The neutron absorber 18B has pairs of neutron absorber plates or sheets 41a and 41b, each of which is formed by bending a hafnium plate into a deep U-shaped form. These neutron absorber plates 41a and 41b received in the sheath 15 such that their open ends oppose each other across a stiffener 42 which also serves as a spacer. The space between both walls of each U-bent neutron absorber plate constitutes a water gap 25 which serves as a passage for allowing a moderator to flow therethrough. The water gap 25 is preserved by steps formed on the stiffener 42 on which the adjacent ends of both walls of the neutron absorber plate 41 rest, or by a corrugated sheet 43 which is preferably made of a long-life neutron absorbing material such as hafnium. Preferably, the corrugated sheet 43 made of the long-life neutron absorber is positioned in the radially outer portion of the wing 16. FIG. 24 shows a fourth embodiment of the nuclear reactor control blade in accordance with the present invention. In this embodiment, the control blade denoted by a numeral 10C has a long-life neutron absorber 18C received in the sheath 15 of each wing 16 and composed of a plurality of neutron absorber plates 46 each of which is composed of a long-life neutron absorber plate which is bent into a deep U-shaped form. The opposing walls of this neutron absorber plate 46 define therebetween a water gap 25 for guiding the flow of a moderator therethrough. More specifically, the neutron absorber plate 46 is made from a hafnium plate and both walls thereof is convexed inward at a substantially mid portion along the breadth of the wing 16. The inward convexities 46a, 46b serve to preserve the water gap 25 and affords any exposure growth of the neutron absorber when exposed to neutrons. The ends of both walls of the neutron absorber plate 46 on the open end of the latter are tapered such that the distance between both walls is gradually increased towards the central tie rod 14 so as to engage tapered surfaces on the end of corresponding projection of the central tie rod 14. FIG. 25 shows a fifth embodiment of the nuclear reactor control blade in accordance with the present invention. In this embodiment of the control blade denoted by a numeral 10D, the sheath 15 of each blade 16 receives a stiffener 47, and long-life neutron absorber 18D on each side of the stiffener 47. Each neutron absorber 18D is composed of a pair of neutron absorber plates or sheets 48a and 48b arranged to oppose each other and bent inwardly at both ends so as to form therebetween a water gap 25 for a moderator. The bends of the neutron absorber plates are done at the portions where the amount of neutron exposure is high, so that the effective thickness of the neutron absorber and, hence, the reactivity worth (neutron absorption characteristics) is effectively enhanced at these portions. The length over which the neutron absorber plate 48b in the radially outer portion is bent is preferably 1 cm to 3 cm. FIG. 26 shows a sixth embodiment of the nuclear reactor control blade in accordance with the present invention. This embodiment of the control blade, denoted by a numeral 10E, has in the sheath 15 of each wing 16 thereof a long-life neutron absorber composed of a pair of plate-shaped neutron absorber plates or sheets 49, 49 each being bent into a deep U-like form. These neutron absorber plates 49 and 49 are disposed in the sheath 15 such that their open ends oppose each other. One of the walls of each plate 49 is extended beyond and bent over the other wall at the open end of the plate 49, thus preserving a water gap 25 between two walls of the plate 49, while affording a margin for the exposure growth when exposed to neutrons. FIG. 27 shows a seventh embodiment of the nuclear reactor control blade in accordance with the present invention. The control blade, denoted by a numeral 10F, has a stiffener 50 disposed in the sheath 15 of each wing 16 thereof, and long-life neutron absorber 18 arranged on each side of the stiffener 50. Each of the neutron absorber 18F is composed of a pair of opposing neutron absorber plates 51a and 51b such that a water gap 25 is defined therebetween. Each of the neutron absorber plates 51a, 51b is lightly bent at one of its ends and bent largely at the other of its ends, and is arranged so that the largely bent end of each plate embraces the lightly-bent end of the other plate. The bends of both neutron absorber plates 51a, 51b serve to preserve the water gap 25 between these plates while affording a margin for the growth of the neutron absorber plates when exposed to neutrons. In the second to the seventh embodiments described hereinbefore, the neutron absorber is divided in the direction of axis of the central tie rod into a plurality of neutron absorber elements each of which is arranged such that a water gap 25 for guiding the flow of a moderator is defined between opposing walls or opposing plates of the neutron absorber material. In consequence, the weight of the neutron absorber is reduced by an amount corresponding to the volume of the water gap, so that the weight of the control blade as a whole is effectively and securely reduced to enable the control blade to be handled by the existing control rod drive mechanism without requiring any change or modification of the control rod drive mechanism. Since the moderator is allowed to flow through the water gap defined between the neutron absorbers, the reactivity is enhanced to allow a reduction in the amount of the neutron absorber. Furthermore, the neutron absorber elements can be positioned effectively at portions where the provision of the neutron absorber is significant from the view point of the reactor shut-down margin. It is thus possible to effectively increase the reactivity while improving also the shut-down margin of the reactor. FIGS. 28 to 31 show eighth to eleventh embodiments of the nuclear reactor control blade in accordance with the present invention. The embodiment shown in FIG. 28, denoted by a numeral 10G, has a neutron absorber 18 in each wing thereof. The neutron absorber 18 constituted by, for example, hafnium metal plates, is divided into a plurality of elements in the axial direction, one of which is shown and indicated at 18A. In this embodiment, the neutron absorber element represented by 18A is divided into two sections: namely, an inner section composed of opposing neutron absorber plates 18Aa, 18Aa and an outer section composed of opposing neutron absorber plates 18Ab, 18Ab, in the breadthwise direction of the wing 16, i.e., in the radial direction of the control blade. The neutron absorber plates 18Aa and 18Aa, as well as the neutron absorber plates 18Ab and 16Ab, are disposed to oppose each other in the thicknesswise direction of the wing 16, thereby defining therebetween a water gap 25 for guiding the flow of a moderator. It will be seen that the width of the water gap 25 is changed in a stepped matter in the breadthwise direction of the wing 16, because the neutron absorber plates 18Aa of the inner section has a smaller thickness than the neutron absorber plates 18Ab in the outer section. In the embodiment shown in FIG. 29, the control blade denoted by 10H has in each wing thereof a plurality of neutron absorber elements 18B composed of a pair of opposing neutron absorber plates or sheets 18Ba the thickness of which is progressively decreased from the radial end of the wing 16 towards the central tie rod 14. In the embodiment shown in FIG. 30, the control blade denoted by 10I has in each wing thereof a neutron absorber 18 divided in the axial direction into a plurality of elements 18C which is further divided in the breadthwise direction into an inner section composed of neutron absorber elements 18Ca, 18Ca and an outer section composed of neutron absorber elements 18Cb, 18Cb. In order to avoid any gap formed between the inner and outer sections, engaging steps 55 are formed on the ends of the neutron absorber plates or sheets 18Cb, 18Cb adjacent to the inner section, so that the ends of the neutron absorber plates or sheets 18Ca, 18Ca of the inner section fit the engaging steps 55. Such engaging steps may be formed both on the neutron absorber plates of both the inner and outer sections. With this arrangement, since the neutron absorber plates 18Ca, 18Ca of the inner section and the neutron absorber plates 18Cb, 18Cb of the outer section are partially overlapped, it is possible to prevent any leak of neutrons from the boundary between both sections. In the embodiment shown in FIG. 31, the control blade denoted by 10J has a neutron absorber element 18D in each wing 16 thereof. The neutron absorber element 18D is composed of three neutron absorber plates or sheets 18Da, 18Db and 18Dc which are arranged in the thicknesswise direction of the wing 16. These neutron absorber plates 18Da, 18Db and 18Dc are held together by means of spacers 56 so as to form water gaps 25 between the adjacent neutron absorber plates. FIG. 32 shows a twelfth embodiment of the nuclear reactor control blade in accordance with the present invention. The control blade of this embodiment, denoted by a numeral 10K, has a neutron absorber 18E in each wing 16 thereof. The neutron absorber 18E is divided in the axial direction into a plurality of elements 18Ea, 18Eb, 18Ec and so forth, each of which is composed of opposing neutron absorber plates or sheets. In this embodiment, the neutron absorber plates of the adjacent elements are partially overlapped at their adjacent ends by steps formed on both or either one of these elements. For instance, the neutron absorber plates of the uppermost neutron absorber element 18Ea are provided at their ends adjacent to the next element 18Eb with steps 57, which mate steps 58 formed in the adjacent ends of the neutron absorber plates of the element 18Eb. The neutron absorber plates of the neutron absorber element 18Eb are provided on their other ends with steps 59 so as to overlay the adjacent ends of the neutron absorber plates or sheets of the next element 18Ec. In the element 18Ec, no step is formed in the ends of the neutron absorber plates adjacent to the element 18Eb because the thickness of the neutron absorber plates or sheets in the element 18Ec is smaller than that in the element 18Eb. The shapes and the arrangements of the steps illustrated in FIG. 32 are only illustrative and may be modified in various forms. A description will be made herein under as to an embodiment of the nuclear reactor control blade of the invention which employs an anti-crevice measure for the purpose of preventing any electrochemical corrosion. FIGS. 33 is a perspective view of the control blade employing anti-crevice measure, while FIG. 34 is a side elevational view of the control blade in which the right-side wing is partly-sectioned. The control blade, which is generally denoted by a numeral 100, has an upper structure 102 provided with a handle 101, a lower structure 103 and a central tie rod 104 having a cross-shaped cross-section and integrally interconnecting the upper structure 102 and the lower structure 103. A sheath 105 having a U-shaped cross-section is secured to each projection on the central tie rod 102. Each sheath accommodates a plate-like long-life neutron absorber 106 which is typically made of hafnium plate. The sheath 105 and the long-life neutron absorber housed therein constitutes a wing 107. Thus, the control blade 100 has four wings 107. As will be seen from FIG. 35, the neutron absorber 106 includes neutron absorber plates 106a in the form of rectangular sheets and arranged to oppose each other in the thicknesswise direction of the wing 107. These opposing neutron absorber plates 106a, 106a are spaced from each other by supporting spacers 108 such that a water gap 110 for guiding the flow of moderator is defined therebetween. Washer-like spacers 109 are mounted on both sides of each supporting spacer 108 so that a water passage 111 of a predetermined width is formed between the outer surface of each neutron absorber plate 106a and the adjacent inner wall of the sheath 105. At the same time, a water passage spaces 112a are formed between the side surfaces of the neutron absorber plates 106a adjacent to the central tie rod 104 and the opposing surface of the central tie rod 104. These water passage spaces 102a are formed by, for example, chaffering edges of the projection of the tie rod 104, as at 104a. The cross-sectional areas of the water passage spaces 102a and, hence, the cooling effect will be increased by chamfering also the edges of the neutron absorber plates 106a, as at 113. Side surfaces of the upper structure 102 and the end structure 103 also are chamferred as at 104a, so that water passage spaces 112a are formed between these chamferred surfaces and the opposing side surfaces of the neutron absorber plates 106a. Preferably, water passage spaces 112b are formed also between the inner surface of the sheath 105 and the neutron absorber plates 106a at the outer end portion of the wing 107. Such water passage spaces 112b can be formed by chamferring the portions of the neutron absorber plates 106a as at 113b, in the end portion of the wing 107. The arrangement may also be such that the chamfer 113b is provided over the entire circumference of each neutron absorber plate 106a so that the water passage spaces 112a are formed between the chamferred circumferential edge of the neutron absorber plate 106a and the opposing surfaces of the central tie rod 104, upper structure 102 and the end structure 103. The construction for securing the neutron absorber plate 106a will be explained in detail with reference to FIGS. 36 and 37. As explained before, two neutron absorber plates 106a, 106a are disposed in each sheath 105 so as to oppose each other and are spaced by a predetermined distance from each other by means of the supporting spacers 108. Each supporting spacer 108 has a spacing portion 117 engaging with the opposing neutron absorber plates 106a, 106a so as to preserve the predetermined gap therebetween and supporting legs 118 projecting from centers of both ends of the spacing portion 117. Both end surfaces of the spacing portion 117 are provided with water passage groove 119, as will be best seen from FIG. 37A. The supporting legs 118 are secured in mounting holes formed in the walls of the U-shaped sheath 105 by welding. However, since the spacer 108 and the sheath 105 are made of the same stainless steel, and since a surface finishing treatment is conducted after the welding, no weld line appears on the outer side of the sheath 105. Washer-like spacers 109 are provided on the supporting legs 118 of the supporting spacer engaging with both neutron absorber plates 106a, 106a. Namely, the supporting legs 118 of the supporting spacer 108 loosely penetrate holes 120 formed in the neutron absorber plates 120 and fit in the above-mentioned mounting holes 121 formed in the walls of the sheath 105. The supporting legs 118 are then welded to the sheath from the external surface of the sheath 105. The spacing portion 117 of the supporting spacer 108 serve to preserve a water gap 110 between opposing neutron absorber plates 106a, 106a, while the washer-like spacers 109 serve to preserve water passages 111 of a predetermined width between the outer surfaces of both neutron absorber plates 106a and the adjacent inner surfaces of the sheath 105. Preferably, the surfaces of the washer-like spacers 109 are provided with water passage grooves 119a, as in the case of the supporting spacers 108. The operation and advantage of this embodiment will be described hereinunder. The reactor water serving as the moderator is introduced into each sheath past passage holes 122a, 122b formed in the walls of the sheath as shown in FIG. 34. The water then flows through the water gap 110 and the water passages 111, while carrying away the heat generated in the neutron absorber plates 106a, 106a. The reactor water is sufficiently distributed also to the water passage spaces 112a formed between the neutron absorber plates 106a and the adjacent constituents of the control blade, as well as to the water passage spaces 112b formed between the neutron absorber plates 106a, 106a and the inner surface of the sheath 105 at the outer end portion of each wing 107. In consequence, there is no stagnation of water or dead water space. Thus, a smooth flow of the water is ensured over the entire region in the control blade so that any local heating of the neutron absorber plates 106a, 106a and the sheath 105 is avoided. The supporting spacers 108 are provided with water passage grooves 119 formed therein so that the reactor water is allowed to flow along the surfaces of the supporting spacers 108 contacting the neutron absorber plates 106a, 106a, so that overheating of the spacers 108 is prevented. In this embodiment, therefore, cooling effect of the reactor water is ensured by virtue of the water passages 111 formed between the outer surfaces of the neutron absorber plates 106a, 106a and the adjacent inner walls of the sheath 105 and water passage spaces 112a and so forth formed along the side surfaces of the neutron absorber plates 106a, 106a, in addition to the provision of the water gap 110 formed between both neutron absorber plates 106a and 106a. This effectively eliminates any risk that the sheath 105 and the neutron absorber plates 106a, 106a may be damaged by local overheating. It is also to be noted that the constituents such as the sheath 105, neutron absorber plates 106a, 106a and the central tie rod 104 are spaced from each other by the water gap 110, water passages 111 and the water passage spaces 112a. Therefore, the risk that these constituents may be electrochemically corroded due to direct contact of different metallic materials can be avoid almost completely, so that the nuclear reactor control blade can operate for a long period in sound state. A nuclear reactor control blade employing another example of anti-crevice measure will be described hereinunder with reference to FIG. 38. In this embodiment, a multiplicity of dimples 123 are formed in the wall of the sheath 105 such that the reverse side of these dimples project inwardly of the sheath 105. The ends of the projections provided by the dimples contact the adjacent surface of the neutron absorber plate 106a so as to keep this surface of the neutron absorber plate 106a from the inner surface of the sheath 105, thereby forming water passage 111 of a predetermined width therebetween. The same effect is produced by forming the dimples in the neutron absorber plate 106a such that the reverse side of the dimples project towards the outer side into contact with the surface of the sheath. In this embodiment, it is not necessary to employ any specific member for the purpose of regulating the width of the water passages 111, such as the washer-like spacer 109 (see FIG. 35) employed in the preceding embodiment, so that the work for fabricating the spacers, as well as the assembly of the wing 107, can be facilitated advantageously. The nuclear reactor control blades shown in FIGS. 34 to 38 offer the following advantages. Namely, these control blades enable the reactor water to flow smoothly without any stagnation and without forming any dead water space, by virtue of the provision of the water passages 111 between the outer surfaces of the neutron absorber plates 106a, 106a and the inner surfaces of the sheath 105, as well as the water passage spaces 112a, 112b formed between the side surfaces of the neutron absorber plates 106a, 106a and the adjacent surfaces of the central tie rod 104, upper structure 102 and the lower structure 102. In consequence, heat exchange is conducted without any impediment in the regions where heat is generated as a result of the neutron absorption, so that any damage of the sheath due to local heating is avoided. In addition, the water passages 111 serve to keep the outer surfaces of the neutron absorber plates 106a away from the inner surfaces of the sheath 105, while water passage spaces serve to prevent direct contact between the neutron absorber plates and members there around. Therefore, any risk of electrochemical corrosion occurring due to direct contact of different metallic materials is prevented, thus ensuring the nuclear reactor control blade to operate for a long period of time in the sound state. A description will be made hereinunder as to an embodiment of the nuclear reactor control blade which employs both an anti-crevice measure and an anti-earthquake measure, with reference to FIG. 39 in which the same reference numerals are used to denote the same parts or members as those of the control blade 100 shown in FIGS. 33 to 37. General arrangement of the control blade 100A shown in FIG. 39 is similar to that of the control blade 100 explained before. In this control blade 100A, a long-life neutron absorber 130 such as of hafnium sheet accommodated in the sheath 105 is divided into a plurality of stages or neutron absorber elements 130a along the axis of the central tie rod 104. The neutron absorber element 130a of each stage is composed of a plurality of, e.g., two, neutron absorber plates 130b arranged so as to oppose to each other and integrally connected through a plurality of spacers 108. A water gap 110 for allowing a moderator to flow therethrough is defined between the opposing neutron absorber plates 130b. Recesses 131 are formed in the walls of the sheath 105 of each wing 107 so as to extend in a direction perpendicular to the axis of the sheath 105. As will be seen from FIGS. 39 and 40, each of the recesses 131 is formed by depressing inwardly the wall of the sheath 105 along a line extending in the breadthwise direction of the wing 107, so that the inner surface of the sheath wall project into a gap d formed between the neutron absorber plates 130b of the adjacent neutron absorber elements 130a. It is assumed here that each wing 107 is divided in the axial direction into three regions: namely, an uppermost first region, an intermediate second region and a lowermost third region. The recesses 131 are provided such that at least one recess 131 is formed at the upper end of the second region so as to extend in a direction perpendicular to the axis, i.e., in the breadthwise direction of the wing. Each recess 131 is so formed that it provides a communication between a notch 132a formed in the outer end of each wing 107 and a notch 132b formed in the portion of the sheath where the sheath is connected to the central tie rod. FIG. 41 illustrates a process for fabricating the sheath 105 having the recesses 131. A blank sheet 105a of the sheath steel in the developed state is notched at its both side edges as at 132a and an aperture 132b is formed as illustrated. Then, a suitable mechanical processing is conducted to cause the blank sheet 105a to be bent along bend lines Bl--Bl which interconnect the notches 132a and the aperture 132b, whereby the recess 131 is formed. The bending operation is facilitated by virtue of the presence of the notches 132b, 132b on both sides edges of the blank sheet 105a and the central aperture 132a. Then, the blank sheet 105a is bent along a vertical line Cl which passes the aperture 132a into a form like U, whereby a deeply-bent U-shaped sheath 105 is formed. The thus formed U-shaped sheath 105 with the recesses 131 is fixed to the corresponding projection of the central tie rod 104 by, for example, spot welding, as shown in FIG. 40. In this state, the recess 131 is so positioned that the reverse side of the neutron absorber plate corresponding to the recess projects into a gap d formed between the adjacent neutron absorber plates 130b, 130b of the adjacent neutron absorber elements 130a. In order to accomplish a smooth flow of the moderator into and out of the sheath 105, a plurality of water passage holes 133 are formed in predetermined portions of the sheath 105 as will be seen from FIG. 39. In this embodiment, since recesses extending in the direction perpendicular to the axis of each wing are formed in the walls of the sheath such that the inner surfaces of the sheath wall project inwardly, undesirable outward expansion of the sheath is prevented even when an excessively large bending stress on the central blades and/or any excessive stress due to large acceleration in the axial direction is caused in the event of a heavy earthquake. In consequence, smooth movement of the control blades is ensured even in the case of such a heavy earthquake. Namely, when a large external force is applied to the control blades due to, for example, an earthquake, the force transmitted to the sheath 5, tending to deform the sheath, is effectively absorbed by the expansion or contraction of the sheath wall along the linear recesses, so that any outward deformation of the sheath wall is avoided. It will be understood that the outward deformation of the sheath will cause a serious problem in that the movement of the control blade is hindered due to mechanical interference between the sheath of the control blade and the fuel assemblies around the control blade. The prevention of the outward deformation of the sheath, therefore, offers a great advantage from the view point of safety. As stated before, the advantage of the recess 131 is remarkable particularly when it is provided in the second of three regions defined along the axis of the wing 107. This is because the deformation of the sheath, attributable to the stress in the control blade 100A due to, for example, an earthquake is greatest in the second region, i.e., the axial mid region of the control blade 100A. In this embodiment, therefore, the gap d for receiving the inward projection formed as a result of the recessing of the linear recess 131 is provided by an axial discontinuity of the neutron absorber only in the second region of the wing 107, while the neutron absorber is arranged without discontinuity in the uppermost first region of the wing which receives the heaviest neutron exposure. This in turn ensures a sufficiently large value of the reactor shut down margin. The provision of the linear recess 131 in the lowermost third region of the wing is not so significant because this lowermost region receives only a small external force as compared with the second region. The number and the positions of the linear recesses 131 in the second region can be determined suitably taking into account the factors such as the load condition. It is also possible to control the rigidity of the blade by designing such that the positions of the linear recesses are varied according to the wings 107. It is also possible to form the linear grooves 131 on both sides of each wing 107 at different heightwise or axial positions, as shown in FIG. 43. The sheath used in such a wing may be formed by the same process as that explained before in connection with FIG. 41, though the aperture 132a which is to provide the notch in the outer end of the wing has a vertically elongated form. FIG. 44 is a sectional view of a wing which is obtained by bending the blank sheet 105a shown in FIG. 43 into a deep U-like form so as to form a sheath, and securing the thus formed sheath to the central tie rod with the neutron absorber 130b received therein. In this case, the gaps d, d of about 10 mm, formed between the adjacent neutron absorber plates 130b, 130b at both thicknesswise ends of the wing, are staggered in the heightwise direction from each other such that each gap d is masked by the opposing neutron absorber plate 130a. The construction shown in FIG. 44 offers, unlike the arrangement shown in FIG. 42 in which the linear recesses on both sides of the wing are positioned at the same level, an advantage that neutron fluxes passing through each gap d is effectively masked by the opposing neutron absorber plate 130b so that local reduction in the reactivity worth at the position of the gap d is avoided, thus preventing any reduction in the reactor shut-down margin. Preferably, the corners of the neutron absorber plates 130b facing the projections on the back side of the recesses 131 are chamferred as shown in FIGS. 42 and 44, so that application of local stress to bent portions of the sheath is avoided. FIGS. 45 to 48 show modifications of the nuclear reactor control blades explained before in connection with FIG. 39. In these Figures, the control blade is generally designated at a numeral 100B nd the same reference numerals are used to denote the same parts or members as those used in the control blade 100A shown in FIG. 39, with the description of such parts or members being omitted. The nuclear reactor control blade 100B has a sheath 105 accommodating a long-life neutron absorber 130 which is divided into a plurality of elements in the axial direction of the central tie rod. The sheath 105 also is divided at portions corresponding to the division of the neutron absorber 130a so that the sheath 105 is composed of a plurality of sheath elements arranged in the direction of axis of the central tie rod 105. The arrangement is such that at least one discontinuity of the sheath, extending in the direction perpendicular to the axis, i.e., the breadthwise direction of the wing 107, is located within the second one of the axial three regions of the wing 107, i.e., the intermediate one of three regions which are assumed along the height of the wing 107. This is because the stress generated in the sheath wall caused by, for example, an earthquake and, hence, an amount of deformation of the sheath wall are greatest in the second region of the sheath. The arrangement may be such that, as shown in FIG. 45, the different wings have different positions of division of the sheath. Such an arrangement offers an advantage that the rigidity or strength of the sheath 105 as a whole is increased because the discontinuities are not concentrated to the same height along the axis of the sheath 105. As will be seen from FIG. 46, a gap d of a predetermined size is formed between the adjacent sheath elements 105a and 105a. The size of the gap d is so determined that any deformation of the control blade due to an external force is sufficiently absorbed by this gap. As will be seen from FIGS. 47 and 48, a holding member 136 having a fitting groove 135 extending in the breadthwise direction of the sheath element 105a is fitted in the end of each sheath element 105a. Thus, the fitting grooves 135 in the opposing ends of the adjacent sheath elements oppose each other and slidably receive a tabular neutron absorber constituted by, for example, a hafnium plate, such that the neutron absorber 137 is movable within the grooves 135 in the direction of axis of the control blade. That is, the adjacent sheath elements 105a and 105a are connected through the intermediary of the neutron absorber 137 in a manner capable of expanding and contracting in the axial direction. The overall width of the fitting grooves 135, 135 is greater than the overall width of the neutron absorber 137, and a space for absorbing the axial displacement of the neutron absorber 137 is left behind the neutron absorber, i.e., at the innermost end of each groove 135. The neutron absorber 137 fitting in the grooves 135 may have a substantially T-shaped cross-section as shown in FIG. 47. In such an arrangement, the step 139 of the tabular neutron absorber 137 abuts the end surface of the holding member 136 thereby limiting the movement of the neutron absorber 137 in the breadthwise direction. In consequence, the tabular neutron absorber 137 is prevented from coming off and from contacting the outer end of the sheath. It is also preferred that the end surfaces of the sheath elements 105a and the corners of the holding member 136 are chamferred so as to prevent any damage which may occur due to mechanical interference between the adjacent sheath elements. In the event that a large bending force is applied to the nuclear reactor control blade due to, for example, a heavy earthquake, the greater stress occurs in the axially mid portion of the control blade having an elongated form, so that the greatest strain or deformation appears in this portion of the control blade. This deformation, however, is effectively absorbed by the gap d formed between the adjacent sheath elements 105a, as well as by the fitting grooves 135. Namely, the tabular neutron absorber 137 is allowed to slide in the axial direction of the control blade by an amount corresponding to the deformation, thus preventing any stress to occur in the sheath wall. This conveniently avoids any outward deformation of the sheath, which may otherwise occur to cause a mechanical interference between the control blade and the adjacent fuel assemblies, seriously impeding the vertical movement of the control blade. In this embodiment, no discontinuity of the sheath is provided in the heightwise first region corresponding to 1/3 of the entire height of the control blade as measured from the upper end, so that no substantial reduction in the neutron absorbability takes place in this first region. As explained before, the upper end of the control blade and the outer ends of wings of the control blade are generally subjected to the heaviest neutron exposure. It is, therefore, not preferred to provide any discontinuity of the sheath, i.e., discontinuity of neutron absorber, in such portions of the control blade. In this embodiment, since the discontinuity due to the division of the sheath is located in the second region, i.e., in the intermediate one of three regions assumed in the axial or heightwise direction of the control blade, it is possible to avoid any reduction in the neutron absorbability in the first region and a large margin for shutting down the reactor is ensured. A description will be made hereinunder as to a modification of the embodiment shown in FIG. 45, with specific reference to FIG. 49. This modification of the control blade, denoted by a numeral 100C, has two elongated hafnium neutron absorber bars 140 of hafnium extending axially of the control blade along the outer edge of each wing 107. A space for accommodating any thermal expansion of the neutron absorber bars 140 are provided at the upper side of these neutron absorber bars 140. Other portions are materially the same as those of the embodiment shown in FIG. 45. According to this arrangement, the neutron absorbability is increased by the presence of the neutron absorber bars 140 along the outer edge of each wing 107 where the consumption of the neutron absorber is specifically large. In consequence, the nuclear life of the control blade can be prolonged. The neutron absorber bars 140 extending axially along the outer edges of the series of sheath elements 105a effectively serve also as structural members so as to enhance the mechanical strength of each wing. The neutron absorbability is locally reduced in the regions where there is any discontinuity of the neutron absorber plates 130b. It will be understood that such a local reduction in the absorbability is effectively compensated by the presence of the neutron absorber bars 140. The neutron absorber bars 140 also provide an advantage in that they effectively restrains relative movement between the sheath elements 105a in the same wing 107. It will be seen that, in this embodiment, the sheath of the control blade is divided into a plurality of sheath elements in the axial direction of the control blade, and the adjacent sheath elements are connected for free expansion and contraction by means of the tabular neutron absorber fitting in grooves formed in the opposing ends of the adjacent sheath elements. In consequence, the deformation of the sheath wall, which may be caused by a large external force applied to the control blade due to, for example, an earthquake is effectively suppressed. Namely, any deformation of the sheath caused by a stress in the control blade can effectively be absorbed by the gaps between the successive sheath elements and the fitting grooves which loosely and slidably receive the interconnecting tabular neutron absorber. This in turn eliminates any risk of the control blade expanding outward and a consequent interference between the control blade and fuel assemblies around the control blade, thus eliminating any impediment to the smooth movement of the control blade. This embodiment, therefore, ensures a smooth movement of the control blade even in the event of application of a large force to the control blade. A description will be made hereinunder as to different embodiments of the present invention which are hybrid-type control blades incorporating both anti-crevice and anti-earthquake measures. FIG. 50 is a fragmentary front elevational view of a hybrid-type control blade for use in a nuclear reactor, constructed in accordance with the present invention. This embodiment of the nuclear reactor control blade, generally denoted by a numeral 100D, has an upper structure 102 provided with a handle 101, a lower structure 103 and a central tie rod 104 having a cross-shaped cross-section and integrally interconnecting both structures 102 and 103. A sheath 105 having a U-shaped cross-section is secured to the end of each projection of the central tie rod 104. A plate-like long-life neutron absorber 130, typically made of hafnium, is disposed within each sheath 105 at a portion adjacent to the central tie rod 104. The sheath 105 and the long-life neutron absorber 130 in combination constitute a wing 107. Thus, the control blade is provided with four such wings 7 on the central tie rod 104. An elongated hafnium bar 140 is disposed adjacent to the neutron absorber 106 so as to extend along the outer edge of the wing 107. The elongated hafnium bar 140 may include 2 to 5 rods of hafnium having circular cross-section and disposed in parallel so as to extend over the entire axial length of the wing along the outer edge of the latter, thus enhancing the strength at the outer end of the wing. In addition, a space for absorbing the thermal expansion of the hafnium bar 140 is formed within the sheath on the upper side of the hafnium bar 140 as shown in from FIG. 50. On the other hand, the neutron absorber 130 is divided in the axial direction of the tie rod 104 into a plurality of stages or neutron absorber elements 130a. As will be seen from FIG. 51, the neutron absorber element 130a of each stage is constituted by a plurality of neutron absorber plates on sheets 130b arranged such as to oppose in the thicknesswise direction of the wing 107. A water gap 110 for guiding the flow of a moderator is defined between these neutron absorber plates 130b. In addition, water passages 111 are formed between the outer surfaces of the respective neutron absorber plates 130b and the adjacent inner surfaces of the sheath 105. The neutron absorber plates 130b and 130b are held on the sheath 105 at a predetermined distance from each other preserved by end spacers 145 and a central spacer 108. The end spacers 145 and the central spacer 108 are made of hafnium and a stainless steel, respectively. A detailed description will be made hereinunder as to the construction for securing the neutron absorber plate 130b with specific reference to FIG. 52. The end spacers 145 having a comparatively small axial length are disposed between both neutron absorber plates 130b and 130b at both longitudinal ends of the neutron absorber plates. The neutron absorber plates 130b, 130b are partly fixed to the end spacers 145 by, for example, welding. Each end spacer 145 has a spacing portion 145a the width of which determines the size of the water gap 110 between both neutron absorber plates 130b, 130b. At the same time, water passages 111 of a predetermined width are formed between the outer surfaces of the respective neutron absorber plates 130b, 130b and the adjacent inner surfaces of the sheath 105. It is preferred that the corners of the projection of the central tie rod 104, as well as the adjacent corners of the end spacer 45 are chamferred as shown in FIG. 52 so as to provide a passage for water so that the reactor water as the moderator encounters a reduced resistance to accomplish a large resistance, whereby the local overheating of the neutron absorber plates 130b is avoided. The central portions of the neutron absorber plates 130b, 130b are fixed at predetermined positions by means of a central spacer 108 and ring-shaped spacers 109. As will be seen from FIGS. 37A, 37B and 37C, the central spacer 108 has a collar-like seat portion 117 having a thickness corresponding to the width of the channel constituted by the water gap 110. Water passage grooves 118 are formed in both surfaces of the seat portion 117 so that the reactor water as the moderator is allowed to flow along these grooves. The opposing neutron absorber plates 130b, 130b rest on the respective surfaces of the seat portion 117 of the central spacer 108 and are fixed to the sheath 105 through the intermediary of the respective ring-shaped spacers 109. The central spacer 108 has both axial ends received in mounting holes 146 formed in the walls of the sheath 105 and are secured to the latter by, for example, welding. The ring-shaped spacers 109 may be provided in both surfaces thereof with water passage grooves 119a as in the case of the central spacer 108. In operation, as shown in FIG. 50, the reactor water is introduced into the sheath 105 through passage holes 122a, 122b formed in the wall of the sheath and then flows along the water gap 110 and the water passages 111 so as to carry away the heat generated in the neutron absorber plates 130b, while serving also as the moderator. The water then comes out of the control blade through passage holes 122a, 122b formed in an upper portion of the wing 107. The control blade 100D of this embodiment, therefore, is a hybrid-type control blade which employs both a plate-like neutron absorber 130 made of a sheet of hafnium and an elongated hafnium bar 140 provided along the outer edge of each wing 107. In consequence, the mechanical strength of the control blade as a whole is improved and the durability of the control blade against any external force is improved. Thus, the control blade of this embodiment is suitable for use in nuclear reactors which are designed for a long-term operation. In this embodiment, the reactor water as the coolant can be distributed to every portions in the control blade by virtue of the water passages 111 formed between the outer surfaces of the neutron absorber plates 130b and the adjacent inner surfaces of the sheath 105. In consequence, any damage of the sheath 105 due to local heating of the neutron absorber plates 130b can be avoided advantageously. In addition, the outer surfaces of the neutron absorber plates 130b and the inner surfaces of the sheath 105 are spaced apart from each other across the water passage 111 preserved by the end spacers 145, central spacer 108 and the ring-shaped spacers 109. It is therefore possible to suppress electrochemical corrosion which otherwise may be caused due to direct contact between two different metallic materials, so that the control blade 100D can operate for a along period of time in the sound state. A different nuclear reactor control blade, which also is of hybrid type, will be described hereinunder with reference to FIG. 53. This control blade incorporates an elongated hollow hafnium bar or tube 140a disposed along the outer edge of each wing 107 so as to extend in the axial direction of the wing 107. In this case, the central bore 151 in the elongated hafnium bar 140a provides a passage for the water as the moderator. The water flowing through this passage exhibits a certain degree of neutron absorbing effect thus substituting for the hafnium. It is therefore possible to appreciably reduce the total weight of hafnium employed in the control blade. It is possible to form a multiplicity of apertures in the wall of the hafnium bar 140a so as to diversify the flow paths of the moderator and so as to reduce the flow resistance, thus attaining higher cooling efficiency. In another form of this embodiment, a plurality of dimples 150 are formed in the walls of the sheath 105 such that the reverse side of the dimples project inward into contact with the adjacent neutron absorber plates 130b so as to serve as spacers which keep the outer surfaces of the neutron absorber plates 130b away from the inner surfaces of the sheath thereby preserving a predetermined distance of passages 111 therebetween. In this embodiment, the end spacers 145 is required only to determine the width of the water gap 110 formed between opposing neutron absorber plates 130b at the center of the sheath. The end spacer, therefore, can have a simple form which is easy to machine. This embodiment offers an advantage in that the ring-like spacers 109 for determining the width of the water passages 111 can be dispensed with so that the assembly of the wing 107 can be simplified. It will be noted also that this embodiment employs a plurality of end spacers 145 provided between the opposing neutron absorber plates at their both ends, at a predetermined interval in the axial direction of the wing 107 as will be seen from FIG. 54. Each end spacer 145 is fixed by, for example, welding only to one of the opposing neutron absorber plates 130b, 130b. It is, therefore, possible to avoid any deformation of both neutron absorber plates in the event that one of these neutron absorber plates is accidentally deformed by an unexpected reason. Thus, the described embodiments of the nuclear reactor control blade, designed as hybrid type control blades, can exhibit improved structural strength by virtue of the provision of elongated hafnium members along the outer edges of the wings, so that the control blade as a whole exhibits a greater resistance to external force. This offers a higher reliability of operation of the nuclear reactor despite earthquakes and scramming operations which are expected to occur or be executed for many times during long-term operation of the nuclear reactor. In addition, the coolant can effectively distributed to every portions in the control blade by virtue of the provision of the water passages between the outer surfaces of the neutron absorbers and the adjacent inner surfaces of the sheath, so that the risk of the sheath or the neutron absorber plates being damaged by local overheating is avoided advantageously. Furthermore, the water passages serve to keep the neutron absorber plates away from the inner surfaces of the sheath so that any electrochemical corrosion, which may otherwise be caused due to direct contact between different metallic materials can be eliminated advantageously, whereby the soundness of the nuclear reactor control blade can be maintained for a long period of time. A description will be made hereinunder as to an embodiment of a flux-trap type control blade for boiling water reactors in accordance with the present invention, which is specifically designed to reduce the weight of the sheath and to prevent any tendency for buckling, with specific reference to FIG. 55. This control blade, generally designated by a numeral 200, has an upper structure 202 provided with a handle 201, a lower structure 203, and a central tie rod 204 having a cross-shaped cross-section and integrally interconnecting the upper and lower structures 202 and 203. A sheath 205 having a U-shaped cross-section is fixed to each of four projections of the central tie rod 204. The sheath accommodates a long-life neutron absorber 206 made of hafnium plate. The sheath 205 and the neutron absorber 206 in combination constitute a wing 207. Thus, the control blade 200 as a whole has four such wings 207. Guide rollers 208 for guiding the movement of the control blade into and out of the reactor core are provided on both sides of the portion of the upper structure 202 corresponding to each wing 207, while the lower structure 203 is provided with a speed limiter 209. The neutron absorber 206 is divided into a plurality of stages or neutron absorber element 206a in the direction of the axis of the central tie rod 204. As will be seen from FIG. 56, each neutron absorber element 206a is constituted by a pair of opposing neutron absorber plates or sheets 206b accommodated by the sheath 205. A plurality of spacers 210 are disposed between these neutron absorber plates at suitably dispersed locations, so as to form a water gap of a predetermined width between these neutron absorber plates 206a. The spacers 210 also serve to reinforce the wing. As will be seen from FIGS. 55 and 57, water passage holes 211 are formed in the walls of the sheath 205 and in the neutron absorber plates 206b so as to introduce the flow of a moderator (coolant) into the water gap between two neutron absorber plates. The sheath 205 also is provided with water passage holes 212 adapted for guiding the flow of the moderator into channels defined between the neutron absorber plates 206b and the adjacent surfaces of the sheath. Furthermore, water passage holes 213 adjacent the upper structure are formed in a portion of the sheath 205 near the upper end thereof, while water passage holes 213 adjacent to the lower structure are formed in a portion of the sheath 205 near the lower end thereof. The sheath 205 is further provided at a portion near the inner end thereof with water passage holes 214 adjacent to the tie rod. The neutron absorber plates 206b are made of hafnium, while the sheath 205 and the central tie rod 204 are made of a stainless steel. The neutron absorber plates 206b, therefore, exhibit a different value of thermal expansion coefficient from that of other structural members. In order to absorb any difference in the amount of thermal expansion due to the difference in the thermal expansion coefficient between the neutron absorber plates 206b and other structural members, the neutron absorber element 206a is sectioned into a plurality of sections in the longitudinal directions, i.e., in the vertical direction, as shown in FIG. 59, and a gap G for absorbing the difference in the amount of thermal expansion is formed between the neutron absorber plates 206b, 206b of the adjacent sections. In order to compensate for any reduction in the mechanical strength due to the presence of the gaps G formed as a result of division of the neutron absorber plate, the spacers 210 are disposed in a staggered manner in the direction of axis of the central tie rod 204 in such a manner as to meet the following condition: EQU L.sub.1 =L.sub.2 =L.sub.3 =L.sub.4 >L.sub.5 where, L.sub.1, L.sub.2, L.sub.3 and L.sub.4 represent the pitch of the spacers within each section 206a, 206a, 206a . . . , while L.sub.5 represents the distance between adjacent spacers of the adjacent two sections. Considering that each wing exhibits a smaller mechanical strength at the free end portion than at the central or inner portion which is stiffened by the central tie rod 204, it is advisable that the number of the spacers is selected to be larger in the end portion of each wing than in the central or inner portion. For instance, in the embodiment shown in FIG. 59, each section of the neutron absorber plate has two spacers at its inner region and three spacers at its outer region. The clearance of channel S between the each wall of the sheath and the adjacent neutron absorber plate 206b is intended for preventing any stagnation of water. This channel S can be formed by dimpling the wall of the sheath 205 inwardly in a depth of 0.2 to 0.3 mm as at 205a, as shown in FIG. 60. The pitch of the dimpling 205a is, for example, about 10 cm in the axial direction of the central tie rod. The channel S preserved by such dimpling has such a size that the water in this channel is replaced in one to several days. The channel S may be formed by other means than the described dimpling, e.g., by employing a washer-like spacers of the type shown in FIG. 36. The water passage holes 213 and 214 effectively prevent the water from stagnating in the regions near the upper structure 202, end structure 203 and the central tie rod 204. The side edges of the central tie rod and the corners of the neutron absorber plate 206b adjacent to the central tie rod are chamferred as at 204a and 206c, as shown in FIG. 60, thereby reducing the resistance encountered by the flow of water. The spacer 210 used in this embodiment may be of the type which is shown in FIGS. 37A, 37B and 37C. Namely, the spacer 210 has a disk-shaped seat portion 210a and mounting leg portions 210a projecting from both sides of the seat portion 210a. Grooves 210c of a suitable number and depth are formed in both surfaces of the disk-shaped seat portion 210a in a crossing form as shown in FIG. 37B, in such a manner as not to cause any significant reduction in the strength of the spacer 210. As will be readily understood from FIG. 60, the grooves 210c serve to provide communication between the inner and outer surfaces of each neutron absorber plate. The mounting leg portions 210b of the spacer 210 fit in mounting holes 206a provided in the neutron absorber plates 206a such as to leave a gap G', so as to enable any difference in the thermal expansion to be absorbed. It is possible to substitute some of the spacers 210 by hafnium spacers 216 as shown in FIG. 61. Each hafnium spacer is fixed to either one of the opposing plate by, for example, shrink fit or welding, and has a height or thickness that the other end thereof touches the inner surface of the opposing neutron absorber plate, as will be seen from FIG. 62. It is also possible to use, as the spacer, a wire-type spacer 217 made of stainless steel and having a diameter of 3 to 5 mm, as shown in FIG. 63. These wire-type spacers are fixed to the spacers 210 so as to stiffen the wing of the control blade against lateral bending force, while preserving the gap G' between the opposing neutron absorber plates. Although the illustrated embodiment employs only two wire-type spacers, the number of the wire-type spacers may be increased or decreased as occasion demands. The control blade of this embodiment exhibits a long life by virtue of the use of hafnium which is a typical long-life neutron absorbing material. The neutron absorber is arranged in the form of flat sheets or plates such as to form, between the opposing neutron absorber plates, a water gap into which water serving as a coolant and a moderator is introduced. Both the neutron absorber plates and the water serve to enhance the reactivity worth, so that the control blade as a whole can exhibit a large value of the reactivity worth. Alternatively, for attaining a required level of reactivity worth, the amount of expensive hafnium having a large density (13.3 g/cm.sup.3) may be reduced. In addition, the spacers in each wing are dispersed in the region near the central tie rod and in the region remote from the central tie rod, so that a linear flow passage extending in the axial direction of the central tie rod is formed between both neutron absorber plates in the central region thereof. These spacers are arranged in a staggered manner substantially at a constant interval such that the distance between the two adjacent spacers on different neutron absorber plates is slightly smaller than the axial pitch of the spacers in each neutron absorber plate. In consequence, the wing exhibits a substantially uniform distribution of strength against lateral bending force over its entire length. FIG. 64 shows another form of the flux-trap-type control blade embodying the present invention. This control blade, generally denoted by a numeral 200A, is basically the same as the control blade 200 shown in FIG. 55 so that the same parts or members as those in the control blade 200 are denoted by the same reference numerals and detailed description thereof is omitted. The construction of the control blade 200A is shown in detail in FIGS. 64 to 67. The control blade 200A has four wings 207 each having a plurality of spacers 210 which may be of the same type as that used in the control blade 200 explained before. These spacers 210 are arranged at a higher density in the outer region of the wing than at the inner or central region which is stiffened by the central tie rod 204. For instance, in the embodiment shown in FIG. 66, three spacers 210 are disposed in the outer region, while the inner region employs two spacers. Preferably, a wire-type spacer 220 is disposed in the region near the outer end of the wing. The wire-type spacer is fixed t the spacers 210 by, for example, welding. The clearance of channel S between the each wall of the sheath 205 and the adjacent neutron absorber plate 206b is intended for preventing any stagnation of water. This channel S can be formed by dimpling the wall of the sheath 205 inwardly in a depth of 0.2 to 0.3 mm as at 205a, as shown in FIGS. 65 and 67. The channel S preserved by such dimpling has such a size that the water in this channel is replaced in one to several days. The channel S may be formed by other means than the described dimpling, e.g., by employing a washer-like spacers (not shown). The water passage holes 213 to 214 effectively prevent the water from stagnating in the regions near the upper structure 202, end structure 203 and the central tie rod 204. The side edges of the central tie rod and the corners of the neutron absorber plate 206b adjacent to the central tie rod are chamferred as at 204a and 206c, as shown in FIG. 60, thereby reducing the resistance encountered by the flow of water. The spacer 210 used in this embodiment may be of the type which is shown in FIGS. 37A, 37B and 37C. The mounting leg portions 210b of the spacer 210 fit in mounting holes 206a provided in the neutron absorber plates 206a such as to leave a gap G', so as to enable any difference in the thermal expansion to be absorbed. It is possible to substitute some of the spacers 210 by hafnium spacers 221 as shown in FIG. 68. Each hafnium spacer is fixed to either one of the opposing plate by, for example, shrink fit or welding, and has a height or thickness that the other end thereof touches the inner surface of the opposing neutron absorber plate. The embodiment shown in FIG. 68 employs two wire-type spacers 220 for each neutron absorber plate 206b. These wire-type spacers are fixed at their upper and lower ends to the hafnium spacers 221. The wire-type spacer is constituted by a hafnium wire of a diameter ranging from 3 mm to 5 mm, and provides a reinforcement to the wing of the control blade against lateral bending force, while preserving the gap G' between the opposing neutron absorber plates 206a, 206a. It is possible to use spacers made of a stainless steel, in place of the hafnium spacers 221. In such a case, the wire-type spacers 220 also are preferably made of a stainless steel, from the view point of easiness of welding. In the control blade of the described embodiment, the neutron absorber is arranged in the form of flat plates such that a gap for guiding the flow of water, serving as a moderator and a coolant, is defined between the opposing neutron absorber plates. Both the neutron absorber plates and the water in the water gap serve to enhance the reactivity worth, so that the control blade as a whole can exhibit a large value of reactivity worth. Alternatively, the amount of expensive hafnium having a high density (13.3 g/cm.sup.3) is reduced for attaining a given reactivity worth. In addition, the wire-type spacers arranged to extend in the axial direction of the central tie rod, together with the spot-like spacers dispersed over the entire region of the neutron absorber plates, serve to increase the strength of each wing of the control blade against bending external force. Although preferred embodiments of the nuclear reactor control blade of the invention have been described, it is to be noted that these embodiments are only illustrative and various changes and modifications may be imparted thereto without departing from the scope of the invention which is limited solely by the appended claims. |
description | The invention relates to fuel rods for nuclear reactors, and more particularly to a retention system for axial and lateral retention of a fuel rod in a reactor fuel assembly. Conventional nuclear reactor assemblies include a bottom nozzle, a top nozzle, fuel rods extending axially between the top and bottom nozzles, and channels defined in the top and bottom nozzles for passage of coolant past the fuel rods. Current fuel assembly designs typically also include a protective grid and a bottom grid. The protective grid attaches above the bottom nozzle and grid straps that bisect the nozzle flow holes to mitigate the transfer of debris in the coolant liquid. The bottom grid is the main support for the fuel rods. The numerous parts needed for securing the rods adds to the complexity of the fuel assembly design, which results in higher construction costs, but adds little or nothing to enhance energy production. An improved design for retaining fuel rods in a fuel assembly is described herein. The improved retention system is for use in a nuclear fuel assembly that includes generally, such conventional components as a bottom nozzle, a top nozzle, a plurality of fuel rods extending axially between the top and bottom nozzles, and channels defined in the top and bottom nozzles for passage of coolant past the fuel rods. Each fuel rod in the conventional assembly has a bottom end plug. The fuel rods, as stated, currently are secured by a protective grid and a bottom grid. The improvement in the retention system for fuel rods within the assembly comprises a plurality of first engagement surfaces on the bottom nozzle. There is, in various aspects, one first engagement surface for each fuel rod. In various aspects, the improvement further comprises a second engagement surface on the bottom end plug of each fuel rod. The first and second engagement surfaces are configured for engagement with each other for axially retaining each fuel rod within the fuel assembly. This arrangement allows for the elimination of the bottom grid and protective grid. The fuel rods, through the end plugs of each fuel rod, may instead be attached directly to engagement surfaces on the bottom nozzle of the fuel assembly. In various aspects, the bottom nozzle in the improved retention system described herein may have a plurality of recesses, each recess being configured for seating the bottom end plug of one of the plurality of fuel rods. In various aspects, each recess defines a central axis therethrough, and at least one retainer projecting from the recess for engagement with the second engagement surface of the end plug. The retainer may project from the recess co-axially with the central axis to engage the secondary engagement surface. Alternatively, the retainer or retainers may project from the periphery of the recess to engage the secondary engagement surface. In certain aspects, there are multiple retainers projecting from the periphery of the recess. Also described herein is an end plug for a nuclear fuel rod that may comprise an engagement surface thereon for axially engaging an engagement surface of a nozzle of a fuel assembly. The end plug engagement surface in various aspects may comprise a cavity for receiving the engagement surface on the nozzle. In various aspects, the cavity comprises end portions for engaging the engagement surface of the nozzle. In various aspects, the engagement surfaces on the end plug and on the nozzle are complementary engagement surfaces having contours that fit or snap together to secure the fuel rod, connected to the end plug into axial alignment in the fuel assembly. In various aspects, the engagement surfaces on the end plug and on the nozzle retain the fuel rod laterally within the fuel assembly. In an alternative embodiment, the end plug may comprise a retainer that projects from the end plug for engagement with the engagement surface on the bottom nozzle. In various aspects, the bottom nozzle may comprise a cavity for receiving the engagement surface on the end plug. Also described herein in various aspects is a nozzle for use in a nuclear fuel assembly. The nozzle may comprise a plurality of recesses, each recess being configured for seating a bottom end plug of a fuel rod and each recess defining a central axis therethrough. In various aspects, the nozzle may further include at least one retainer projecting from the recess for axially engaging an engagement surface on the end plug of the fuel rod. The nozzle retainer may in various aspects comprise at least one boss member having a stem portion extending from the recess and a flanged portion extending radially outwardly from the stem portion. The nozzle may include in addition, or alternatively, at least one retainer projecting from the periphery of one or more recesses for retention, in use, of the fuel rod seated in that recess. The end plug in such an embodiment, may comprise engagement surfaces on the exterior of the end plug for engaging the at least one peripherally positioned retainer. Preferably, each recess comprises at least one, preferably two, and more preferably three or more peripherally positioned retainers for retention, in use, of the fuel rod seated in that recess. In various aspects, one of the first and second engagement surfaces are segmented to lend flexibility to the segmented surface. In various aspects, one of the first and second engagement surfaces is flexible for enabling movement thereof, in use, during engagement of the first and second engagement surfaces. In certain aspects, the cavity in the end plug may comprise at least one, and in various aspects, two or more longitudinal gaps therein for enabling flexible movement of the end portions of the cavity. In various aspects, the boss member projecting from the recess in the bottom nozzle may be rigid. In an alternative embodiment, the retainer projecting from the recess in the bottom nozzle may be segmented for enabling movement of the retainer, in use, during engagement of the retainer with the engagement surface of the end plug. In certain aspects, the segmented retainer may comprise at least a pair of stem and flanged portions spaced from each other, and preferably equidistant from the central axis of the recess, for enabling flexible movement of the stem portions, in use, during engagement with the engagement surface of the end plug. In various aspects, the engagement surface of the end plug, such as embodiments having a cavity and end portions, may be rigid. As used herein, the singular form of “a”, “an”, and “the” include the plural references unless the context clearly dictates otherwise. Thus, the articles “a” and “an” are used herein to refer to one or to more than one (i.e., to at least one) of the grammatical object of the article. By way of example, “an element” means one element or more than one element. Directional phrases used herein, such as, for example and without limitation, top, bottom, left, right, lower, upper, upward, downward, outward, front, back, and variations thereof, shall relate to the orientation of the elements relative to each other as shown in the accompanying drawing or described herein and are not limiting upon the claims unless otherwise expressly stated. In the present application, including the claims, other than where otherwise indicated, all numbers expressing quantities, values or characteristics are to be understood as being modified in all instances by the term “about.” Thus, numbers may be read as if preceded by the word “about” even though the term “about” may not expressly appear with the number. Accordingly, unless indicated to the contrary, any numerical parameters set forth in the following description may vary depending on the desired properties one seeks to obtain in the compositions and methods according to the present disclosure. At the very least, and not as an attempt to limit the application of the doctrine of equivalents to the scope of the claims, each numerical parameter described in the present description should at least be construed in light of the number of reported significant digits and by applying ordinary rounding techniques. Further, any numerical range recited herein is intended to include all sub-ranges subsumed therein. For example, a range of “1 to 10” is intended to include any and all sub-ranges between (and including) the recited minimum value of 1 and the recited maximum value of 10, that is, having a minimum value equal to or greater than 1 and a maximum value of equal to or less than 10. For reference, a nuclear fuel assembly 10, shown in FIG. 1, generally includes a bottom nozzle 12, a top nozzle 14, grids 16, fuel rods 20, and assembly support rods 24. A series of channels 18 through the bottom nozzle 12 allow coolant to flow past the fuel rods 20 when the reactor is in use. In a conventional fuel assembly, an additional protective grid and bottom grid may be provided. These components may be eliminated with the improved retention system described herein. The improved design will yield a significant cost savings owing to the redesign of various components to allow for material reduction and parts consolidation. An exemplary embodiment of a bottom nozzle 12 is shown in FIG. 2. The bottom nozzle 12 shown includes recesses 26 and adjacent flow channels 18. The recesses 26 shown in FIG. 2 have a concave surface with curved, generally hyperbolically shaped edges. In the arrangement shown, there are alternating rows, with one row having only side-by-side channels 18 adjacent to a row having alternating channels 18 and recesses 26. With this arrangement, each recess 26 has a channel 18 on each side of the recess so that coolant flowing through the channels 18 will flow past all sides of each fuel rod 20 during operation. The channels form open conduits through which coolant, such as water, flows. Nozzles 12 may be made from any suitable material that can tolerate conditions in a nuclear reactor. Exemplary materials include stainless steel, Ni based alloys, and commercially available alloys such as Inconel™, which has a nickel, chromium, and molybdenum composition. In various aspects, each recess 26 may include a retainer for engaging an engagement surface on an end plug 34 of a fuel rod 20 when seated in the recess 26. In certain aspects, the retainer may be a boss 28 in the form of a stem 30 projecting upwardly from the center of the recess 26, in coaxial alignment with the central axis 62 (see FIG. 3) of the recess 26. The stem 30 expands into a larger flanged portion 32, which in the exemplary embodiment shown, has a diameter larger than the diameter of the stem 30. The flanged portion 32 is positioned above and extends radially outwardly from the stem 30. The profile of the flanged portion 32, as shown in FIG. 3, defines a flat top 56, an upper bevel 58, a cylindrical mid surface and a lower bevel 78 leading to a flat underside 88. Contours of the flanged portion 32, such as lower bevel 78 and underside 88 together with the sloping concave surface of recess 26 illustrate an exemplary embodiment of an engagement surface in the recess 26 to engage an engagement surface on the end plug 34. Referring to FIG. 3, the engagement surfaces of end plugs 34 may be in the form of a cavity 36. In the embodiment shown in FIG. 3, the cavity 36 includes an upper cavity 46 narrowing through a chamfered edge 22 to a lower cavity 48. The walls of the lower cavity 48 define ends 38 having grasping tips 40. A contoured face 50 on tip 40 complements the lower beveled edge 78 and underside 88 of boss 28. The grasping tip 40 and end portion 38 of the end plugs 34 sit in the recesses 26 in bottom nozzle 12 and slide under and engage the flanged portion 32 of boss 28 to engage the complementary mating surfaces of the end plugs and bottom nozzle. The contours of the engagement surfaces fit or snap together to secure the fuel rod connected to the end plug into axial alignment in the fuel assembly. Although not shown in FIGS. 3 and 4 for clarity, a fuel rod 20 would be connected, typically by welding, to the plug portion 52 and lip 54 on each end plug 34. The engagement of the end plugs 34 to the recesses 26 of the bottom nozzle 12 will axially engage the fuel rods 20 to the nozzle 12. Those skilled in the art will appreciate that other surface contours for the end plug and nozzle retainers may be used as complementary engagement surfaces for axial retention of the fuel rods 20 when connected to the end plugs 34. The end plugs 34 may be made of any material suitable for use in a nuclear reactor. An exemplary material is a zirconium based alloy, referred to as Zircaloy. Other alloys that can withstand the temperatures and other conditions found in a nuclear reactor and that will not react with the fissile material in the fuel rod may be used for the end plugs 34. For ease of attachment, the end plugs 34 may be segmented. As shown in FIGS. 3 and 4, end plugs 34 may include one or more gaps 42 to add flexibility to the end portions 38. The metal end plugs 34 having gaps 42 lend flexibility to the end portions 38 of the end plugs 34 so that the end plugs can snap onto the rigid retainer, such as boss 28, in the recess 26. An alternative embodiment of the retention system is shown in FIGS. 5-7. In various aspects, the retention system may include a segmented retainer in the recesses 26 of the nozzle 12. In this embodiment, the end plug 34 has rigid features. As shown in FIG. 5, the retainer may be in the form of at least two or more segmented boss sections 64 spaced apart from each other to define a gap 60. A pair of boss sections 64 is shown. The boss sections 64 have some features similar to those of boss 28 in that there is a stem portion 66 and a flanged portion 68. The flanged portion 68, however, has a narrow flat top ledge, a larger chamfered side, with a similar bottom bevel 78 and flat underside 88 for engaging the engaging face 50 of grasping tips 40 of an end plug 34. The boss sections 64 may, as shown, be in the form of arced segments of a retainer structure similar to boss 28 arranged around or on opposing sides of the central axis 62 of the recess 26 in which the boss sections 64 are formed. The boss sections 64 may, in various aspects, be spaced equidistant from the central axis 62 of the recess 26. The gap 60 lends flexibility to the boss sections 64 which project from recesses 26 of nozzle 12 so that the rigid end portions 38 of the end plugs 34 can snap onto the flexible retainer, such as boss sections 64. In this embodiment, as shown in FIGS. 6 and 7, the end plugs 34 do not include gaps 42 like those shown in FIGS. 3 and 4. Referring to FIG. 6, end plugs 34 may have a smaller cavity 36′ than the cavity 36 shown in FIG. 3 because, among other things, segmentation and flexibility are not built into this rigid design embodiment. The cavities 36′ have end portions 38 with grasping tips 40 and engaging faces 50 to engage the complementary surfaces of the bottom bevel 78 and underside 88 of flanged portions 68. Those skilled in the art will appreciate that other surface contours for the engagement surfaces of the nozzle 12 and end plug 34 may be used for axial retention and, preferably additionally, lateral retention, of the fuel rods 20 when connected to the end plugs 34. The end plugs 34, for example, may have projecting retainers and the recesses 26 of nozzle 12 may have surfaces contoured to receive the retainers on the end plugs. For example, FIGS. 9 and 10 illustrate cavities or channels 82 in the recesses 26′ of nozzle 12 which may receive a projecting retainer on the end plug 34. An alternative arrangement for the retention system is shown in FIGS. 8 and 9. The retainers that project upwardly from the recesses 26 may project from the periphery of the recess 26 instead of, or in addition to, those retainers projecting from the center. In various aspects, peripheral retainers 70 include an arm 72 and end clip 74. The design of the arms 72 allows for flexibility of the peripheral retainers 70 so that rigid end plugs 34 can be easily inserted into recesses 26. The arm 72 of each peripheral retainer 70 may in various aspects be biased inwardly towards the central axis 62 of the recess 26 for securing the end clip 74, in use, in the groove 76 of the end plug 34. The end clip 74 has a contoured surface that snaps into groove 76 on the exterior of the end plug 34. At least one and preferably multiple peripheral retainers 70 may be associated with each recess 26 to engage the engaging surface, such as groove 76, on the end plugs 34. There may, for example, be two, three, four or more peripheral retainers 70 that project from and are spaced around the periphery of each recess 26. The peripheral retainers 70 provide lateral and axial retention of the fuel rods 20. As stated, peripheral retainers 70 may be used alone or may be second retainers to be combined with axially positioned retainers, such as boss 28 or boss segments 64. Each of the embodiments of the retention system described herein will provide axial retention of each fuel rod 20 engaged by the improved retention system so that the fuel rods are restrained from pulling away for alignment within the fuel assembly. In addition, the retention system provides lateral support for vibration reduction during operations. The improved retention system may also comprise debris deflectors, such as ribs 80 positioned in the channels 18 of nozzle 12. In the absence of the protective grid and the bottom grid that are able to be eliminated in the improved design, the debris deflection function served by those components may be replaced by adding debris deflectors directly in channels 18. FIG. 10 shows an exemplary embodiment of ribs 80 positioned in channels 18. The ribs 80 can be shaped and the flow channels 18 sized to minimize flow losses. Apart from the debris deflectors, such as ribs 80 incorporated into flow channels 18, the flow and debris filtering designs disclosed in co-pending U.S. patent application Ser. No. 14/243,954 filed Apr. 3, 2014, incorporated herein by reference, are used in the nozzle 12 design. The components of the retention system described herein may be fabricated by additive manufacturing techniques, which construct the components in very thin layers. The layering of the alloys allows complex geometries to be incorporated into the components that were not heretofore feasible with conventional fabrication techniques. The present invention has been described in accordance with several examples, which are intended to be illustrative in all aspects rather than restrictive. Thus, the present invention is capable of many variations in detailed implementation, which may be derived from the description contained herein by a person of ordinary skill in the art. All patents, patent applications, publications, or other disclosure material mentioned herein, are hereby incorporated by reference in their entirety as if each individual reference was expressly incorporated by reference respectively. All references, and any material, or portion thereof, that are said to be incorporated by reference herein are incorporated herein only to the extent that the incorporated material does not conflict with existing definitions, statements, or other disclosure material set forth in this disclosure. As such, and to the extent necessary, the disclosure as set forth herein supersedes any conflicting material incorporated herein by reference and the disclosure expressly set forth in the present application controls. The present invention has been described with reference to various exemplary and illustrative embodiments. The embodiments described herein are understood as providing illustrative features of varying detail of various embodiments of the disclosed invention; and therefore, unless otherwise specified, it is to be understood that, to the extent possible, one or more features, elements, components, constituents, ingredients, structures, modules, and/or aspects of the disclosed embodiments may be combined, separated, interchanged, and/or rearranged with or relative to one or more other features, elements, components, constituents, ingredients, structures, modules, and/or aspects of the disclosed embodiments without departing from the scope of the disclosed invention. Accordingly, it will be recognized by persons having ordinary skill in the art that various substitutions, modifications or combinations of any of the exemplary embodiments may be made without departing from the scope of the invention. In addition, persons skilled in the art will recognize, or be able to ascertain using no more than routine experimentation, many equivalents to the various embodiments of the invention described herein upon review of this specification. Thus, the invention is not limited by the description of the various embodiments, but rather by the claims. |
|
052272680 | summary | BACKGROUND OF THE INVENTION The subject invention relates to X-ray masks used in X-ray exposure apparatus. In recent years while semiconductor devices' miniaturization and high integration advanced markedly, vigorous development in minute pattern formation technology have been taking place in the areas of production apparatus and production technology. In the field of developing lithography technology, the optical reduction projection technology, which so far had supported the mass production technology of LSIs, had markedly improved resolution through a shift to ultra-short wavelength light sources and efforts to achieve high NA, is reaching its limits in the half-micron region. For the 0.25 micrometer level of the next generation exposure technology required for the generations of devices to follow the 256MDRAM, electron beam exposure technology or X-ray exposure technology are considered to be promising. For X-ray exposure, X-ray masks formed by a combination of X-ray absorbing material and X-ray permeable material are necessary. A cross-section of a prior art X-ray mask is shown in FIG. 4. In FIG. 4, 1 is the silicon support framework composed of a Si substrate, 2 is the silicon nitride (SiN) film used as the X-ray permeable film (membrane), 3 is the tantalum film used as the X-ray absorbing pattern, 3a is the alignment pattern and 3b is the circuitry pattern. The circuitry pattern 3b of the X-ray mask 11 is formed on the reverse side of the SiN flim 2, vis-a-vis the X-ray source. Likewise, even in the prior art masks for silicon LSI lithography the circuitry pattern is formed on the reverse side of the mask. This is because the formation of the pattern on the reverse side permits the clean transfer of the mask pattern to the wafer when exposed. And, if the minute pattern were to be formed on the light source side of the mask the pattern would be blurred and not cleanly transferred to the wafer. Further, since the alignment pattern 3a is formed simultaneously with the circuitry pattern 3b, the alignment pattern 3a is always on the same side of the X-ray permeable film with the circuitry pattern 3b and no thought was given in the prior art to forming the alignment pattern 3a and the circuitry pattern 3b on different sides. Also, development has been under way on a combination of a silicon nitride film as the X-ray permeable film and a tungsten film as the X-ray absorbant pattern. X-ray exposure devices, due to reasons such as materials for mirrors and lenses having sufficient capabilities in the soft X-ray wavelength region not having been developed to date (which prevents the realization of reduced projection systems), are using proximity exposure methods which hold the X-ray mask and wafer in parallel with a minute gap between them during exposure. On the other hand, when forming minute patterns on the 0.25 micrometer level, a high accuracy alignment of 0.1 micrometer or better between the X-ray mask and the wafer is required. For this purpose methods calling for continued high accuracy alignment even during exposure have been adopted. FIG. 5 shows a typical alignment structure during proximity gap exposure. In FIG. 5, 11 is the X-ray mask shown in FIG. 4, 13 is the highly accurate wafer stage, 14 is the alignment optical system, 15 is the laser beam, 16 is the diffracted beam, 17 is a photodetector, and 18 is the X-rays. The alignment optical system 14 and the photodetector 17 are located outside the exposing X-ray 18's region in order for alignment detection to be possible even during X-ray exposure. After the X-ray mask 11 and wafer 12 are placed oppositely in close proximity, laser beam 15 for detecting alignment is shined over the X-ray mask 11 and alignment marks formed over the wafer 12. The diffracted beam 16, diffracted by the alignment marks, contains the relative alignment slippage data between the X-ray mask 11 and the wafer 12. A highly accurate alignment is achieved by feeding back to the wafer stage 13 the relative alignment slippage data between the X-ray mask 11 and the wafer 12, obtained from the signal detected by the photodetector 17, and correcting the stage position. We shall explain this in further detail, using FIG. 6. When aligning the X-ray mask 11 and the semiconductor wafer 12, the method of illuminating with laser beam 15, which is the alignment beam, the grid-shaped alignment marks respectively formed on the X-ray mask 11 and the wafer 12 and comparing each diffracted beam as a method for detecting the amount slippage between the two, is used as one of the most accurate methods (Optical Technology Contact, Vol. 28, No. 7, P. 3 (1990).) This method shall be explained in further detail. In FIG. 6, the laser beam 15 illuminates X-ray mask 11's gridshaped alignment marks 26, and, of the diffracted beam thus formed, the first order reflected diffracted beam 16 is detected by the photodetector 17. On the other hand, the first order reflected diffracted beam 16a generated by the laser beam which passed through the X-ray mask 11 and illuminated the grid-shaped alignment marks 26a of the wafer 12 are similarly detected by another photodetector 17. By comparing the diffracted beams 16 and 16a, thus detected, the alignment slippage between the X-ray mask 11 and the semiconductor wafer 12 is detected. As elements affecting the alignment accuracy, we can cite such items as accuracy in determining the stage position and accuracy of detecting the alignment signal; the alignment signal strength (S/N) in the latter is a vital element. In accordance with the above prior art structure, in detecting the alignment signal by the photodetector 17, as shown in FIG. 7, the laser beam 15 makes a round trip through the X-ray permeable film having a permeability ratio of around 70% formed by the silicon nitride film 2 of the X-ray mask 11, the strength of the diffracted beam 16 is reduced to around 50%. Moreover, the permeability ratio of the SiN film varies cyclically with its thickness, and, depending on the conditions, it is possible that the strength of the diffracted beam 16 will be decreased further. Also, the diffraction efficiency of the alignment marks in this case is not dependent on the marks' shape but on the effective refraction ratio determined by the combination of the materials used in the X-ray permeable film and the X-ray absorbing pattern forming the X-ray mask 11, and usually becomes a small value so that it is not possible to freely set the optimum value. Due to these reasons, the alignment signal strength became 1/5 or less of the ideal signal strength and had been a major obstacle to high accuracy alignment. SUMMARY OF THE INVENTION An object of the subject invention is to offer a X-ray mask capable of providing a sufficiently strong alignment signal and improved alignment accuracy. The X-ray mask of the subject invention is provided with a circuitry pattern and a X-ray absorbant pattern which becomes an alignment pattern on one side of the X-ray permeable film. Also, the other surface of this X-ray permeable film is provided with alignment marks of the same pattern opposing the said alignment pattern. These alignment marks can be formed by equimultiple transfer via a self-adjustment method of the said alignment pattern. In accordance with the structure of the subject invention a sufficiently strong alignment signal can be obtained since the laser beam illuminates the alignment marks formed on the said other surface of the X-ray permeable film without attenuation, and by selecting optimum height for the alignment marks. |
summary | ||
050858270 | description | DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT The spring-and-spacer assembly of the present invention is provided for use in connection with a fuel assembly, such as that depicted in FIG. 1. The fuel assembly 20 includes a plurality of fuel elements or rods 21, supported between an upper tie plate 22 and a lower tie plate 23. The fuel rods 21 pass through a plurality of fuel rod spacers 24a, 24b, which provide intermediate support to retain the elongated rods 21 in spaced relation and to restrain them from lateral vibration. In one embodiment, seven spacers are longitudinally evenly spaced along the fuel assembly. Typically, the fuel bundle is in the order of eleven feet in length. The matrix of fuel rods and water rods is in the order of 5 inches.times.5 inches. Typically, and in order to maintain the proper linearity between the fuel rods, water rods, and surrounding channel there are seven equally spaced spacers between the lower tie plate at the bottom and the upper tie plate at the top. Each of the fuel rods 21 is formed of an elongated tubular cladding material containing fissile fuel and other materials, such as burnable nuclear poison, inert material, or the like. The fuel and other materials are sealed in the tube by upper and lower end plugs 26, 27. The lower end plugs 27 are registered and positioned in cavities 29 formed in the lower tie plate 23. Similarly, the upper end plugs 26 fit into cavities 31 in the upper tie plate 22. Some of the fuel rods 21 may be provided with threaded lower and upper end plug extensions 27', 28' to receive retaining nuts 32. These fuel rods are known as "tie rods." In this manner, the upper and lower tie plates and fuel rods are formed into a unitary structure. Typically, the fuel rod assembly includes a channel 33 of substantially square cross section sized to form a sliding fit over the upper and lower tie plates 22 and 23 and the spacers 24, so that the channel 33 may readily be remounted and removed. The channel 33 is fastened to a post 36 on the upper tie plate 22 by means of a bolt 37 passing through a tab 34. The lower tie plate 23 includes a nose piece 38 adapted to support the fuel assembly 20 in a socket in a core support plate (not shown) in the reactor pressure vessel. The end of the nose piece is formed with openings 39 to receive the pressurized coolant so that it can flow upwardly among the fuel rods. One or more of the fuel rods 21 may be replaced by a moderator tube or water rod 41 which contains water, a neutron moderator. The moderator tube 41 may be apertured, as shown at 42 and 43, and the upper and lower end plugs may be formed with passages 44, 46 to permit flow of water moderator therethrough. An understanding of the present invention is promoted by a brief description of the spacer and spring apparatus of previous devices. A prior art spacer 24, as depicted in FIGS. 2 and 3, is made up of a plurality of substantially cylindrical ferrules 51 joined to one another, for example by welding, at the upper and lower edges of abutting portions of adjacent ferrules. Each of the ferrules 51 provides a space for a fuel rod 21 or moderator tube 41. Preferably, each of the ferrules 51 is formed of a short section of tubing having circular cross section. A peripheral band 56 surrounds and supports the plurality of ferrules 51. Two stops 62a, 62b are provided in each ferrule. Preferably, the stops 62a, 62b are formed integrally with the ferrule 51 as laterally spaced pairs of arched portions of the ferrule walls near the upper and lower edges of the ferrule. Preferably, the stops 62a, 62b are laterally oriented to minimize spacer projected area and coolant-flow resistance. As best seen in FIG. 3, each pair of ferrules 51 shares a continuous loop spring 70. As depicted in FIG. 4, the continuous loop spring 70, used in previous devices, includes first, second, third, and fourth legs 72a, 72b, 72c, 72d joined by mid-positioned ridges or convex arcuate spring contacting portions 74a, 74b and end-positioned arches or C-shaped portions 76a, 76b. The springs 70, depicted in FIG. 3, are in their substantially unstressed or unflexed condition. The springs in the flexed or stressed condition are depicted in FIGS. 5 and 6. In the previous devices, depicted in FIGS. 5 and 6, the springs 70 occupy a region between two adjacent fuel rods. In previous devices, the rod-to-rod spacing 78 was approximately 0.16 inches (about 4 mm). As seen in FIG. 6, the previous spring 70 contacted the fuel rods 82a, 82b at the ridge portion 74a, 74b, and provided a force tending to position the fuel rods 82a, 82b against the stops 62a, 62b of each ferrule. Each ferrule 51 was provided with a C-shaped slot 84, defining a tab 86. In assembly, the spring 70 was inserted into the slot 84 and positioned over the tab 86. An adjacent ferrule was fitted to the initial ferrule, with the C-shaped slot of the second ferrule oriented with the tab 86 pointing in the opposite direction from the tab of the first ferrule. The tabs 86 of the first and second ferrules overlapped each other. The spring was then captured between the two ferrules, and formed a loop around the overlapped tabs 86. A number of difficulties have been noted in connection with the previous spring-and-spacer assembly, particularly when such assembly is intended for use in connection with a fuel assembly having a reduced rod-to-rod spacing. The spring which is used in a spring-and-spacer assembly must provide the required amount of force, preferably about 2.5 pounds (about 1 kg), but must also have sufficient flexibility to tolerate deflection beyond that normally needed for positioning the fuel rod without substantial permanent deformation. Deflection of the spring beyond that normally needed for positioning the fuel rod can occur, for example, during shipping or assembly, particularly if the fuel rod 82 is encased, during assembly, in a protective plastic sheath (not shown). As shown in FIG. 6, the previous loop spring 70 could deflect only a limited distance before the interior surface of the spring 70 would strike the tab 86 of the ferrule. This limitation places close tolerances on the configuration of the spring 70, particularly when a smaller rod-to-rod spacing 78, such as about 0.12 to 0.14 inches (about 3 to 3.5 mm) is desired. FIG. 9 depicts a spacer and the associated springs according to the present invention. The springs 92a and 92b are arranged such that a single spring 92a loads the fuel rods positioned in two adjacent ferrules 94a, 94b. The overall length 95 (FIG. 20) of the spring is less than the length 97 of the ferrules 94a, 94b. FIGS. 10 and 11 depict a portion of a spacer and the associated springs according to the present invention. A spring 92a is formed of a metallic ribbon having a width 96 (FIG. 20) and a thickness 98 (FIG. 16). The spring can be formed of a number of materials having suitable strength, corrosion resistance, and resilience characteristics. In one preferred embodiment, the spring is formed of a nickel alloy, such as Inconel, available from Huntington Alloy Products Division, International Nickel Co., Inc., Huntington, W. Va. In the preferred embodiment, the width 96 is about 0.1 to about 0.15 inches (about 2.5 to 3.8 mm), and the thickness 98 is about 0.01 to 0.015 inches (about 0.25 to 0.38 mm). The ribbon is formed into a continuous loop, i.e., a shape with a cross section topologically equivalent to an annulus. Preferably, the spring has at least two planes of symmetry, a longitudinal mid-plane 102 and a lateral mid-plane 104 (FIG. 15). Thus, the spring has four congruent sectors, the lower-right sector being depicted in FIG. 16. The longitudinal extent of the spring can be considered as having seven sections, as shown in FIG. 15. A ridge or arch-shaped rod-contacting portion 106 is formed in each leg, centered about the lateral symmetry plane 104 at the mid-span of each leg. Disposed on either side of the arch section 106 are U-shaped bend portions 108, 112 extending in a direction generally toward the longitudinal mid-plane 102. Upper and lower leg portions 114, 116 are positioned adjacent the bend portions 108, 112, respectively. C-shaped end portions 118, 122 are adjacent the leg portions 114, 116. The U-shaped bend portions 108, 112 constitute the departure from the prior art which makes the disclosed spring design possible. Specifically, U-shaped bend portions 108, 112 are formed adjacent to each of the two arch-shaped rod-contacting regions 106. Viewed from the exterior of the spring, the bend portions 108, 112 are concave, i.e., they extend toward the interior of the loop spring. The bend portions 108, 112 are on the loop interior side of the planes defined by the adjacent, substantially planar upper and lower leg portions 114, 116. These two U-shaped bend portions 108, 112 in each of the spring legs each provide two functions for the improved spring design. First, they provide additional spring length, which increases the flexibility of the spring. Secondly, these same two U-shaped bend portions 108, 112 cause the maximum bending stress to be evenly distributed between the high stress regions of the spring. A first region where the bending stress is high is the convex and outwardly disposed arch shaped rod contacting portion of the spring 106. This member has effective maximum compression forces acting on the outside of the spring member at this juncture and maximum tension forces acting on the inside of the spring member. A second region where the bending stress is high (and oppositely disposed) is in the upper and lower C-shaped members 118, 122. In these members the bending stress is the opposite with maximum tensile forces on the outside and maximum tension forces on the inside. It will be understood that the disclosed design has the benefit of equalizing the bending stress in the respective two regions. This equalization of bending stress provides the maximum spring deflection. The shape of the spring of the present invention 15 can be contrasted with that of the previous devices depicted in FIG. 14. The width 124' of the previous design was greater than the end portion width 124 of the present design. The previous design, shown in FIG. 14, did not include U-shaped portions 108, 112 adjacent to the rod-contacting region, so that the previous design spring had a rod-contacting portion 106' which was immediately adjacent to the substantially planar upper and lower leg portions 114', 116'. In the previous design, depicted in FIG. 14, the spring 92' encircled and accommodated a double thickness of the tabs 126, 128 formed in adjacent ferrules, since these were positioned in an overlapping configuration. In contrast, in the present invention, only a single tab thickness 132 must be accommodated by a spring, since the tabs meet in a butt-joint fashion, as described more fully below. Furthermore, the tabs 126, 128 of the previous design, depicted in FIG. 14, were longitudinally continuous. In the present design, depicted in FIG. 15, there is a space between the upper ear 132 and lower ear 134 formed, as described more fully below, by the middle leg 178 (FIG. 12) of an E-shaped cutout 158, which assists in accommodating the U-shaped bend portions 108, 112, particularly in the flexed position, as depicted in FIG. 11. Both the previous spring design, depicted in FIG. 14, and the present spring design, depicted in FIG. 15, are loaded by forces 136', 136, respectively, where the springs 92', 92 contact the fuel rods. In the previous design, depicted in FIG. 14, some flexibility is provided by the arch-shaped projections in the rod-contacting region 106' and by the projections 138a, 138b, 138c, 138d, and horizontal portions 142a, 142b of the end regions 118', 122'. In the spring of the present invention, as depicted in FIG. 15, the bend portions 108, 112 provide increased flexibility, as compared to the prior art spring. In addition to increasing the spring flexibility, the bend regions 108, 112 provide a more desirable stress distribution. In the spring of previous designs, depicted in FIG. 14, the largest stresses on the spring occur near the spring contact portion 106' and in the center of the end portions 142a, 142b. Finite element computer analysis of the previous spring designs of FIG. 14 shows that the stress at mid-span 106' is greater than the stress at the ends 42a, 142b. The ends 142a, 142b have greater local flexibility than the mid-span region 106'. This greater flexibility at the ends reduces the stress near the ends 142a, 142b, and increases the relative stress at mid-span 106'. Adding bends 108, 112 near the mid-span 106 provides greater local flexibility near the mid-span 106, which would be more nearly equal to the flexibility of the end regions 118, 122. This is supported by the results of a computer model analysis of the spring 92. Because of the symmetry of the spring, noted above, it can be analyzed by considering one quarter of the spring, as depicted in FIG. 16. Stresses at the mid-span 106 and the end point 144a are proportional to bending moments. Equilibrium of bending moments can be expressed as EQU M.sub.144a +M.sub.106 =(f/2).times.(L/2) (1) where M.sub.144a is the bending moment at the lower end mid-point 144a, M.sub.106 is the bending moment at mid-span 106, F is the total load on the spring, and L is the length of the spring. The relationship expressed in equation (1) is independent of the shape of the spring between the mid-span point 106 and end point 144a. It is desirable to provide a spring which has equal bending moments and equal stresses at these two points, 144a, 106. Finite element analysis confirms that stresses at mid-span 106 and the end point 144a are nearly equal for the new spring depicted in FIGS. 15 and 16. Accordingly, the stress distribution of the new configuration is more desirable than the stress distribution of the old configuration, depicted in FIG. 14. Equal stress gives a more efficient structure. It is desired to get maximum deflection at a prescribed load; local yield of material limits the load. Two stresses equal to one another provide maximum deflection at the yield stress of the material. It will be realized that making the spring longer cannot practically be considered because of the constraints of the spacer height. The design here disclosed gives an effectively longer overall length within the limited spacer height. The spring of the present invention is used in connection with a spacer (FIG. 9), comprising a number of ferrules 94 (FIGS. 10-13). The spacer can be formed of a number of materials having a suitably low neutron absorption cross section, preferably a zirconium alloy, such as Zircaloy-4-. The spacer in one embodiment is square-shaped with a side length of about 5.25 inches (about 13.3 cm), and the ferrules are about 0.57 inches (about 16.2 mm) in outside diameter, with a wall thickness of about 0.02 inches (about 0.5 mm). The ferrule of the preferred embodiment includes two upper stops 146, 148, and two lower stops 152, 154 extending inwardly into the ferrule 94. Preferably, the stops 146, 148, 152, 154 are formed by indenting portions of the ferrule wall to produce inwardly-arching structures. As best seen in FIGS. 10-13, the fuel rods 155a, 155b are abutted against the stops 146, 148, 152, 154 to place the fuel rods 155a, 155b in a preferred position within the ferrule 94, such as a position coaxial with the ferrule. The force to maintain the fuel rods 155a, 155b, in contact with the stops 146, 148 152, 154 is provided by the spring 92a. In order to provide such force, the spring 92 is mounted on ears 132, 134 of the ferrule 94. (See FIG. 12) The ears 132, 134 are defined by an E-shaped slot 158, formed in the wall of the ferrule 94. The upper and lower legs 162, 164 of the E-shaped slot 158 are shown in ferrule 94. The middle leg 178 of the E-shaped slot 158 serves to define the ears 132, 134. Each of ears 132, 134 has an edge surface 135, 135', an inner surface 137, 137', and an outer surface 139, 139' (FIG. 20). As seen in FIGS. 10 and 13, the tab 176 is curved outwardly from the circumference of the ferrule 136. As seen in FIG. 20, the spring 92 is attached to the ferrule 136 by slipping the spring over the upper and lower ears 132a, 134a of a first of the ferrules 94a. The spring is retained in its position on the ears 132a, 134a by positioning the corresponding ears 132b, 134b (FIG. 20) of the next adjacent ferrule 94b in a butt-joint relationship with the tab and ears 176a, 132a, 134a of the first ferrule 94a, facing in the direction opposite that of the tab and ears 176b, 132b, 134b of the second ferrule 94b. The corresponding ears 132a, 132b and 134a, 134b on the two ferrules 94a, 94b are thus configured with their edges 135, 135', adjacent, but without any overlapping, i.e., without substantial contact of, the inner and/or outer surfaces 137, 137', 139, 139'. To provide for this butt-joint contact, the width 179 of the tab 176 is less than the width 179' of the corresponding tab 86 (FIG. 7) of prior art ferrules. As seen in FIG. 10, the tabs 176a, 176b are curved in opposite directions (e.g., concave and convex, respectively, when viewed from the interior of the first ferrule 94a ), which results in a tendency to center the spring 92 on the tabs 176a, 176b. The ferrules are held in the position depicted, by welding, preferably at the top and bottom areas of the ferrules which are in contact. A peripheral band 180 surrounds and supports the plurality of ferrules (FIG. 9). The spacer shown in FIG. 9 has a central region 181 where the ferrules are omitted. This space is used for moderator tubes. FIG. 17 shows the central region in more detail. Water rods W1 and W2 occupy the central region. With the spacer configuration of FIG. 9 there is an even number of ferrules; 72 ferrules. However, it is not possible to form pairs with all of these ferrules. FIG. 17 shows two ferrules, 94c and 94d, which are not paired with other ferrules. As shown in FIGS. 10 and 11, the spring loads two adjacent fuel rods. If one rod is absent, the spring will no longer provide the required load on the remaining fuel rod. In order to provide proper spring force for the unpaired ferrules 94c, 94d, restraints for the corresponding springs 92c, 92d are provided. As shown in FIG. 17, spacer support plates 184a, 184b are attached to the spacer in the region of each unpaired ferrule 94c, 94d. As best seen in FIG. 19, the plate 184 is provided with a central U-shaped member 190. This member defines two shoulder 191, 192. As seen in FIG. 17, members 191 and 192 bear against the respective water rods W1 and W2 in the central portion of the fuel bundle. Since one of these U-shaped members bears on the water rod pair equally and from opposite sides, the two water rods W1 and W2 are effectively forced apart. This forcing of the water rods away from one another is opposed. Specifically it is opposed by springs 195 at water rod W1 and spring 196 at water rod W2. A positioning of the water rod by the spacer which is precisely analogous to that of the fuel rods occurs. It can be seen that the U-shaped member 184 is provided with wing members 197, 198. These respective wing members form the points of attachment to ferrules adjacent the respective water rods. It will be understood that with the placement of the water rods W1 and W2, some of the respective fuel rods will be lacking the normal off setting fuel rod on the opposite side of the disclosed spring. This being the case, plate 184 is provided with ears 134', 136' for supporting the springs 194 and 196 in a manner similar to the ears 132, 134 in a normal ferrule. The region between the ears 132', 134' is occupied by a backup tab 186. The tab 186 is bent outwardly to contact the exterior of a rod-contacting portion 106 of the spring 92c (FIG. 18). The backup tab 186 thus substitutes for the missing adjacent fuel rod, and provides a restraint on the spring 92c. In light of the above description, a number of advantages of the present invention are apparent. The spring can be provided in a smaller space, such as that available with a rod-to-rod spacing 78' (FIG. 10) of between about 0.12 and 0.14 inches (about 3 to about 3.5 mm), and yet can produce the required force for fuel-rod loading, preferably about 2.5 pounds (about 1 kg). The present spring is more flexible than previous springs, and has a more advantageous distribution of stresses, with the mid-span stress being approximately equal to the end stress. The spring-and-spacer design provides for desirable coolant flow near the rod. The spring-and-spacer assembly provides for ease of construction. The spring is adaptable for use with unpaired ferrules by providing a plate with a backup tab. A number of variations and modifications of the present invention will be apparent to those skilled in the art. The spring and/or spacer can be made of materials other than those discussed herein. The general spring and spring-and-spacer assembly configuration can be used in connection with spacers having more or fewer fuel rod positions than those depicted herein. Various aspects of the disclosed design can be used independently of other aspects, for example, a spring can be provided with bend regions, but without the butt-joint configuration of the ferrule tabs. Although the description of the present invention has included a description of a preferred embodiment and various modifications thereof, other modifications and variations will be apparent to those skilled in the art, the resent invention being described in the following claims. |
claims | 1. A radiation diaphragm operative to narrow an x-ray beam produced by an x-ray tube of an x-ray facility to form a narrowed beam and to mask out regions outside the narrowed beam, the radiation diaphragm comprising:at least two radiation defining devices,wherein the at least two radiation defining devices are mounted on different central axis supports in a displaceable manner in planes perpendicular to the x-ray beam, and each of the at least two radiation defining devices includes a plurality of different diaphragm apertures with different shapes for differently contoured definition of the x-ray beam,wherein the plurality of different diaphragm apertures adjust the contour of the narrowed beam, andwherein each diaphragm aperture of one of the at least two radiation defining devices is disposable within the periphery of at least one diaphragm aperture of another of the at least two radiation defining devices. 2. The radiation diaphragm as claimed in claim 1, wherein each of the at least two radiation defining devices extends in an essentially flat manner. 3. The radiation diaphragm as claimed in claim 1, wherein each of the at least two radiation defining devices is mounted in a rotatable manner in one of the planes perpendicular to the x-ray beam. 4. The radiation diaphragm as claimed in claim 1, wherein each of the at least two radiation defining devices is a perforated disk. 5. The radiation diaphragm as claimed in claim 4, wherein the perforated disk includes a round periphery. 6. The radiation diaphragm as claimed in claim 1, wherein each of the at least two radiation defining devices has positioning marks that are disposed so that the radiation defining device is positionable based on a position of the radiation defining device such that a respective diaphragm aperture is disposed in the x-ray beam. 7. The radiation diaphragm as claimed in claim 6, wherein the positioning marks are disposed on a round periphery of the perforated disk. 8. The radiation diaphragm as claimed in claim 7, wherein each of the at least two radiation defining devices is disposed so that the x-ray beam passes through one diaphragm aperture of each of the at least two radiation defining devices. 9. An x-ray facility comprising:at least two radiation diaphragms that include a plurality of different diaphragm apertures, the plurality of different diaphragm apertures operable to define a shaped beam in different contours,wherein each of the at least two radiation diaphragms is displaceable in a plane perpendicular to the shaped beam,wherein each of the at least two radiation diaphragms is operative to narrow an x-ray beam produced by an x-ray tube of the x-ray facility to form the shaped beam and to mask out regions outside the shaped beam,wherein the at least two radiation diaphragms are mounted on different central axis supports,wherein the plurality of different diaphragm apertures adjust the contour of the shaped beam, andwherein each diaphragm aperture of one of the at least two radiation diaphragms is disposable within the periphery of at least one diaphragm aperture of another of the at least two radiation diaphragms. 10. The x-ray facility as claimed in claim 9, wherein each of the at least two radiation diaphragms includes a first perforated radiation defining device that includes at least one aperture, and a second perforated radiation defining device that includes at least one aperture, andwherein the first perforated radiation defining device and the second perforated radiation defining device are operative to be moved to provide different contours of the shaped beam. 11. The x-ray facility as claimed in claim 10, comprising: a data processing facility and a diaphragm memory that includes data,wherein the data processing facility has access to the diaphragm memory and the diaphragm memory data, the data processing facility being operable to determine a position of at least one of the first perforated radiation defining device and the second perforated radiation defining device. 12. The x-ray facility as claimed in claim 11, wherein the data processing facility is connected to each of the at least two radiation diaphragms and is operable to control the positioning of at least one of the first perforated radiation defining device and the second perforated radiation defining device in a suitable position. 13. The x-ray facility as claimed in claim 10, wherein the first perforated radiation defining device and the second perforated radiation defining device include a circular periphery. 14. The x-ray facility as claimed in claim 11, wherein the data processing facility is operable to determine the position based on the diaphragm memory data. 15. The radiation diaphragm as claimed in claim 1, wherein the plurality of different diaphragm apertures comprises openings with different diameters. 16. The x-ray facility as claimed in claim 9, wherein the plurality of different diaphragm apertures comprises openings with different diameters. 17. The radiation diaphragm as claimed in claim 14, wherein the first perforated radiation defining device and the second perforated radiation defining device have different aperture patterns. 18. The radiation diaphragm as claimed in claim 1, wherein the plurality of different diaphragm apertures are open spaces that do not contain any material. |
|
061545147 | claims | 1. A structure of an upper hold-down spring of a fuel assembly for a nuclear reactor, the fuel assembly comprising: an upper and lower nozzle spaced apart form and facing each other; a plurality of hollow guide tubes each extending parallel to and spaced apart from each other between the nozzles and secured at both ends thereof to the nozzles; a plurality of fuel rod support grids each firmly mounted at the hollow guide tubes and spaced apart from each other in the lengthwise direction; and a plurality of fuel rods each extending through and supported by the fuel rod support grids, each of the fuel rods extending in parallel to and spaced apart from each other, wherein the upper hold-down spring which is mounted on an upper surface of the upper nozzle comprises one upper plate spring with plastic spring characteristics and one lower plate spring, said plate springs having base ends, said base ends of the plate springs being fastened at a common position, in which both of the plate springs are made of a precipitation hardened nickel base alloy and a thickness of the plate springs is between 4.6 to 5.2 mm in order to provide a stress value which is less sensitive to stress corrosion cracking. 2. The structure of an upper hold-down spring of a fuel assembly for a nuclear reactor as described in claim 1, wherein the lower plate spring has a slot extending in a lateral direction at a distal end portion thereof, the upper plate spring has a bent portion and a vertically oriented portion with an abutting ledge, and the upper and lower plate spring are assembled such that the vertically oriented portion extends through the slot below the abutting ledge. |
059862750 | abstract | A reusable shielded marker for nuclear medicine imaging provides a chamber of radiation-shielding material such as lead to receive the radiodnuclide such as technetium pertechnetate. The chamber is mounted on a spring which also bears a shutter provided with an aperture. When force is applied against the bias of the spring, the aperture and the shutter is brought into alignment with the chamber permitting the radionuclide to emit radiation so as to briefly mark the image to be prepared. When the force is released, the spring biases the shutter out of alignment, such that the radiation-shielding material of the shutter blocks the chamber and shields the user and patient from the emission of radiation. The device may be stored, and reused, with radionuclide being replaced in the chamber as necessary. |
abstract | The present invention pertains to an apparatus and method for medical imaging comprising rotating two X-ray source-detector pairs around an axis of rotation simultaneously to quickly acquire image data and form a computed tomography (CT) dataset. The sources can be configured to emit radiation from a plurality of discrete locations. The CT dataset can be utilized as a prior to reconstruct a three-dimensional image from subsequent bi-planar imaging with these source-detector pairs. |
|
claims | 1. A semiconductor exposure device comprising:an illumination optical system configured to direct EUV (extreme ultraviolet) light from an EUV light source to a mask; andmirrors included in the illumination optical system, one of the mirrors comprising a multilayered reflecting coating with grooves and being configured to reflect the EUV light from the EUV light source to direct the EUV light to the mask, the grooves being arranged in parallel lines, wherein:the EUV light source is configured to irradiate a target with laser light from a driver laser to turn the target into plasma from which the EUV light is emitted, andthe grooves are configured to diffract at least light at a wavelength which is the same as that of the laser light from the drive laser. 2. The semiconductor exposure device according to claim 1, wherein the one of the mirrors is a collimator mirror. 3. The semiconductor exposure device according to claim 1, further comprising:a mirror array having concave surfaces configured to reflect the EUV light reflected by the one of the mirrors to gather the EUV light at intermediate focal points;a pinhole array formed with pinholes arranged at the intermediate focal points, and configured to pass the EUV light reflected by the mirror array therethrough and intercept the at least light at the wavelength which is the same as that of the laser light from the drive laser. 4. The semiconductor exposure device according to claim 1, wherein the one of the mirrors is placed to first receive the EUV light from the EUV light source. 5. The semiconductor exposure device according to claim 1, wherein the wavelength of the light to be diffracted by the grooves is approximately 10.6 μm. 6. The semiconductor exposure device according to claim 1, wherein the driver laser is a carbon dioxide (CO2) laser. 7. The semiconductor exposure device according to claim 1, wherein a distance between a nadir of one groove and that of an adjacent groove is in a range of 1.54 μm to 400 μm. 8. The semiconductor exposure device according to claim 1, wherein a distance between a nadir of one groove and that of an adjacent groove is in a range of 400 μm to 800 μm. 9. The semiconductor exposure device according to claim 1, wherein a distance between a nadir of one groove and that of an adjacent groove is in a range of 1.54 μm to 800 μm. 10. The semiconductor exposure device according to claim 1, further comprising a dumper for light to be diffracted by the grooves. 11. The semiconductor exposure device according to claim 10, further comprising a cooling device for cooling the dumper. 12. The semiconductor exposure device according to claim 10, wherein the wavelength of the light to be diffracted by the grooves is approximately 10.6 μm. 13. The semiconductor exposure device according to claim 10, wherein the light to be diffracted by the grooves is laser light from a carbon dioxide (CO2) laser for generating the EUV light. 14. The semiconductor exposure device according to claim 1, wherein the multilayered reflecting coating comprises 100 to 1000 pairs of stacked Mo/Si layers. 15. The semiconductor exposure device according to claim 1, whereinthe multilayered reflecting coating comprises pairs of stacked Mo/Si layers, anda nadir of each groove reaches approximately 250th to 300th pair of the stacked Mo/Si layers from a surface of the one of the mirrors. 16. The semiconductor exposure device according to claim 1, whereinthe one of the mirrors comprises a substrate having the multilayered reflecting coating thereon,the multilayered reflecting coating comprises pairs of stacked Mo/Si layers, andthe multilayered reflecting coating includes approximately 50 pairs of the stacked Mo/Si layers between the nadir and the substrate. 17. The semiconductor exposure device according to claim 1, further comprising a surface coating on a surface of the multilayered reflecting coating. 18. The semiconductor exposure device according to claim 17, wherein a material of the surface coating includes ruthenium. 19. The semiconductor exposure device according to claim 1, wherein the multilayered reflecting coating is formed with grooves having triangular roof shapes in a sectional view of the one of the mirrors. 20. The semiconductor exposure device according to claim 1, wherein the multilayered reflecting coating is formed with grooves having wave-like shapes in a sectional view of the one of the mirrors. |
|
051735199 | abstract | A conductive metal-filled substrate is formed by intermingling copper or nickel particles into the substrate, contacting the metal particles with a specified developing agent, and heating the metal particles and the developing agent. The filled substrates are electrically conductive and are useful for a variety of uses such as EMI shielding. |
052788792 | abstract | A grid crusher apparatus and method are provided for a nuclear fuel rod consolidation system. Spacer grids are crushed within a basket which is then placed in a storage canister. The grid crusher apparatus has a ram assembly and a basket driving mechanism. The ram assembly has a sleeve ram and a central ram. The sleeve ram surrounds the central ram which is longitudinally movable within the sleeve ram. The central ram protrudes from the sleeve ram at a ram contact end and is retractable upon application of a preselected force to the central ram so that the central ram is flush with the sleeve ram at the ram contact end. The basket driving mechanism is configured to move the basket containing a spacer grid towards the ram contact end so that the spacer grid is crushed within the basket. The spacer grid is crushed by the combination of successive forces from the central ram and the sleeve ram, respectively. Essentially, the central portion of the spacer grid is crushed first, and then the remaining outer portion of the spacer grid is crushed to complete the crushing action of the spacer grid. The foregoing process is repeated for other spacer grids until the basket reaches a predetermined allowable capacity, and then the basket is stored in a storage canister. |
048851272 | summary | BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates generally to nuclear fuel assemblies for nuclear reactors and, more particularly, is concerned with a nuclear fuel rod support grid employing separate attachable spring and dimple support spacers and a method of assembling a nuclear fuel assembly. 2. Description of the Prior Art In most nuclear reactors, the reactor core is comprised of a large number of elongated nuclear fuel assemblies. Conventional designs of these fuel assemblies include a plurality of nuclear fuel rods held in an organized array by a plurality of grids spaced axially along the fuel assembly length and attached to a plurality of elongated control rod guide thimbles of the fuel assembly. Top and bottom nozzles on opposite ends of the fuel assembly are secured to the guide thimbles which extend slightly above and below the ends of the fuel rods. The grids of the fuel assembly as well know in the art are used to precisely maintain the spacing between the nuclear fuel rods in the reactor core, prevent rod vibration, provide lateral support for the fuel rods, and, to some extent, frictionally clamp and retain the rods against longitudinal movement. One popular conventional fuel rod support grid design, being illustrated and described in U.S. Pat. No. 4,492,844 to Kobuck et al, includes a multiplicity of interleaved inner and outer belt-shaped straps having an egg-crate configuration forming a multiplicity of cells which individually accept the nuclear fuel rods and control rod guide thimbles. The cells of each grid which accept and support the fuel rods at a given axial location therealong typically use relatively resilient springs and/or relatively rigid protrusions (called dimples) formed directly into the metal of the interleaved straps. The springs and dimples of each grid cell frictionally engage or contact the respective fuel rod extending through the cell and together apply a clamping force directed generally perpendicular to the axial direction of the fuel rod. Additionally, the outer straps are attached together and peripherally enclose the inner straps to impart strength and rigidity to the grid. However, several drawbacks arise from this particular grid design. Scratches are generated in the axial direction on the outer surfaces of the fuel rods by the springs and dimples when the fuel rods are inserted into the grid cells at the time of assembling of the fuel assembly. Also, when the fuel rods are inserted through the grid cells in assembling the fuel assembly, considerable pushing (or pulling) force is required since the fuel rods are being moved while clamped between the springs and dimples. Further, the fabrication of the straps for the grid with springs and dimples formed thereon is complicated. Consequently, a need exists for an alternative approach to constructing the fuel rod support grid and assembling the nuclear fuel assembly which will avoid the above drawbacks without presenting new ones in their place. SUMMARY OF THE INVENTION The present invention provides a fuel rod support grid with separate attachable spring and dimple support spacers and a method of assembling the fuel assembly designed to satisfy the aforementioned needs. The occurrence of scratches experienced with prior art grids is substantially avoided by the provision of the separate attachable spring and dimple support spacers of the present invention since the fuel rods can now be inserted through the grid cells before the spring and dimple support spacers are installed into the grid cells and attachably mounted to portions of the interleaved grid straps defining the walls of the grid cells. Accordingly, the present invention is directed to a nuclear fuel rod support grid which comprises: (a) a plurality of straps being interleaved with one another to form a matrix of hollow cells, each cell for receiving one fuel rod and being defined by intersecting pairs of opposing wall portions of the straps which wall portions are shared with adjacent cells; (b) a plurality of fuel rod clamping springs and dimples being associated with the wall portions of the straps defining each cell and protruding into the cell so as to clamp a fuel rod therebetween; and (c) at least one spacer supporting some of springs and dimples being separate from and detachably mounted on some of the wall portions of the straps defining each cell. More particularly, the spacer is L-shaped in cross section being made from a metal plate that is bent into a ninety-degree configuration. Thus, the spacer is formed of a pair of parts being disposed generally perpendicular to each other and integrally connected together at their inner edges. Each spacer part has a height approximately identical to the height of each of the strap wall portions defining each, cell and a width about equal to one-half of the width of each of the strap wall portions defining each cell. The spacer is lodged in a corner of each cell formed by one intersecting pair of the strap wall portions. Still further, the spacer at a central region of the parts thereof adjacent to opposite unconnected outer edges of the parts has one of either one spring or a pair of the dimples formed in the spacer part so as to protrude therefrom into each cell. Further, if the spacer has the dimples formed therein, they are formed at upper and lower corner regions of each part thereof adjacent to its outer unconnected edge. Also, the spacer at a central region of each of the parts thereof adjacent to respective upper and lower opposite edges of the parts has attachment tabs of a pair thereof formed thereon so as to project outwardly therefrom. The upper tabs extend generally perpendicular to the spacer parts, whereas the lower tabs extend generally within a plane of the spacer parts. The present invention also is directed to a nuclear fuel rod support grid which comprises: (a) a plurality of straps being interleaved with one another to form a matrix of hollow cells, each cell for receiving one fuel rod and being defined by intersecting pairs of opposing wall portions of the straps which wall portions are shared with adjacent cells; (b) a plurality of fuel rod clamping springs and dimples being associated with the wall portions of the straps defining each cell and protruding into the cell so as to clamp a fuel rod therebetween; and (c) a pair of spacers inserted in each cell along the strap walls portions defining each cell, one of the spacers supporting the springs and the other of the spacers supporting the dimples, the spacers being separate from and detachably mounted on the wall portions of the straps defining each cell. Further, the present invention is directed to a method of assembling a fuel assembly which comprises the steps of: (a) positioning, relative to one another and separated by a set distance, support grids of a plurality thereof being composed of interleaved straps defining a multiplicity of cells, the grids being positioned with their cells in longitudinal alignment; (b) inserting guide thimbles of a plurality thereof through a plurality of predetermined spaced ones of the aligned grid cells; (c) attaching a bottom nozzle to lower ends of the guide thimbles; (d) inserting fuel rods in a plurality thereof in a predetermined sequential manner through the grids cells unoccupied by guide thimbles; (e) alternately with the inserting of the fuel rods, installing spacers having fuel rod engaging and clamping elements thereon in the grid cells which have fuel rods inserted therethrough; and (f) once the inserting of fuel rods and installing of fuel rod clamping spacers is completed, attaching a top nozzle to upper ends of the guide thimbles. These and other advantages and attainments of the present invention will become apparent to those skilled in the art upon a reading of the following detailed description when taken in conjunction with the drawings wherein there is shown and described an illustrative embodiment of the invention. |
claims | 1. A radiation detector system comprising an integrated scintillation detector (ISD), said ISD comprising:(a) alpha scintillator plate (ASP);(b) beta scintillator plate (BSP);(c) beta particle shield plate (BPP);(d) photomultiplier tube (PMT); and(e) radiation detector case (RDC);wherein:said RDC is configured as a rectangular open face box structure (RBS) with an interior detector surface (IDS) comprising interior side walls (ISW) of said RBS and interior bottom face (IBF) of said RBS;said RBS has a length dimension and a width dimension associated with said rectangular open face of said RBS;said RBS has a depth dimension defining a thickness of said RBS;said length dimension is larger than said depth dimension;said width dimension is larger than said depth dimension;said IDS comprises material that is sensitive to gamma radiation and forms a gamma scintillation detector (GSD);said ASP, said BSP, and said BPP are planar rectangular structures stacked in order to form a scintillation array stack (SAS);said ASP comprises a foil covered with alpha radiation sensitive material;said BSP comprises a foil covered with beta radiation sensitive material;said ASP is optically bonded to said BSP;said SAS is configured with no inter-layer gaps between said ASP, said BSP, and said BPP;said SAS comprises an ASP external surface corresponding to a surface of said ASP not facing said BSP;said SAS comprises a BPP internal surface corresponding to a surface of said BPP not facing said BSP;said photomultiplier tube is positioned within said RBS and between said IBF and said SAS;said SAS is configured in a planar rectangular form factor conforming to said open face of said RBS;said SAS is configured to cover said open face of said RBS with said BPP internal surface directed toward said IBF and said ASP external surface directed outward from said IBF;said RBS is configured to contain said SAS and said PMT;said SAS is in proximity to said PMT within said RDC; andsaid PMT is oriented to receive excitation input from said SAS and said IDS. 2. The radiation detector system of claim 1 wherein said ASP comprises a scintillation material having a medium time constant. 3. The radiation detector system of claim 1 wherein said BSP comprises a scintillation material having a short time constant. 4. The radiation detector system of claim 1 wherein said GSD comprises a scintillation material having a long time constant. 5. The radiation detector system of claim 1 wherein said ISD is constructed in an array, said array incorporated into a whole body radiation contamination scanner. 6. The radiation detector system of claim 1 wherein said ISD is incorporated into a laundry radiation scanner. 7. The radiation detector system of claim 1 wherein said ISD is incorporated into a tool/article radiation scanner. 8. The radiation detector system of claim 1 wherein radiation impinging on said ASP surface results in emissions from said SAS that are input to said PMT, said emissions simultaneously detecting any impinging alpha and beta radiation by said PMT. 9. The radiation detector system of claim 1 wherein radiation impinging on said ASP surface results in emissions from said SAS that are input to said PMT, said emissions simultaneously detecting any impinging beta and gamma radiation by said PMT. 10. The radiation detector system of claim 1 wherein radiation impinging on said SAS results in emissions from said SAS that are input to said PMT, said emissions simultaneously detecting any impinging alpha, beta, and gamma radiation by said PMT. 11. The radiation detector system of claim 1 wherein said SAS further comprises a gamma scintillator plate (GSP) stacked on said BPP surface. 12. The radiation detector system of claim 11 wherein said GSP is positioned within 50 mm of said BPP surface. |
|
claims | 1. An apparatus for inspecting a nuclear reactor, the apparatus comprising:a first track;an arm including one or more second tracks;a fixing device; andan effector;wherein the arm is operatively connected to the first track,wherein at least one of the one or more second tracks includes at least three track sections,wherein the fixing device is operatively connected to the first track,wherein the effector is operatively connected to the arm,wherein the arm has a contracted length,wherein the arm has an expanded length, andwherein the expanded length is greater than two times the contracted length. 2. The apparatus of claim 1, wherein the first track comprises one or more motors adapted to move the arm relative to the first track. 3. The apparatus of claim 1, wherein the first track comprises one or more motors adapted to move the arm along the first track. 4. The apparatus of claim 1, wherein the first track comprises one or more motors adapted to move the arm relative to the operative connection of the arm to the first track. 5. The apparatus of claim 1, wherein the first track comprises one or more motors adapted to rotate the arm relative to the first track. 6. The apparatus of claim 1, wherein the first track comprises first, second, and third motors,wherein the first motor is adapted to move the arm relative to the operative connection of the arm to the first track,wherein the second motor is adapted to move the arm along the first track, andwherein the third motor is adapted to rotate the arm relative to the first track. 7. The apparatus of claim 1, further comprising:a cable management system. 8. The apparatus of claim 7, wherein the first track comprises at least a portion of the cable management system. 9. The apparatus of claim 7, wherein the arm comprises at least a portion of the cable management system. 10. The apparatus of claim 1, wherein the arm is adapted to move relative to the first track. 11. The apparatus of claim 1, wherein the arm is adapted to move along the first track. 12. The apparatus of claim 1, wherein the arm is adapted to move relative to the operative connection of the arm to the first track. 13. The apparatus of claim 1, wherein the arm is adapted to rotate relative to the first track. 14. The apparatus of claim 1, wherein at least one of the one or more second tracks is a curved track. 15. The apparatus of claim 1, wherein at least one of the one or more second tracks is a substantially straight track. 16. The apparatus of claim 1, wherein the at least three sections are adapted to contract the arm to the contracted length,wherein the at least three sections are adapted to expand the arm to the expanded length, orwherein the at least three sections are adapted to contract the arm to the contracted length and the at least three sections are adapted to expand the arm to the expanded length. 17. The apparatus of claim 1, wherein the fixing device comprises one or more scissor jacks. 18. The apparatus of claim 1, wherein the fixing device comprises one or more hydraulic cylinders, one or more pneumatic cylinders, or one or more hydraulic cylinders and one or more pneumatic cylinders. 19. The apparatus of claim 1, wherein the fixing device comprises one or more hydraulic pistons, one or more pneumatic pistons, or one or more hydraulic pistons and one or more pneumatic pistons. 20. The apparatus of claim 1, wherein the operative connection of the effector to the arm comprises a bracket. 21. The apparatus of claim 20, wherein the bracket is spring-loaded. 22. The apparatus of claim 1, wherein the operative connection of the effector to the arm comprises a gimbal. 23. The apparatus of claim 1, wherein the effector comprises one or more sensors. 24. The apparatus of claim 23, wherein the one or more sensors comprise at least one camera. 25. The apparatus of claim 23, wherein the one or more sensors comprise at least one video camera. 26. The apparatus of claim 23, wherein the one or more sensors comprise at least one transducer. 27. The apparatus of claim 23, wherein the one or more sensors comprise at least one ultrasonic transducer. 28. An apparatus for inspecting a nuclear reactor, the apparatus comprising:a first track;an arm including one or more second tracks;a fixing device; andan effector;wherein the arm is operatively connected to the first track,wherein at least one of the one or more second tracks includes at least three track sections,wherein the fixing device is operatively connected to the first track,wherein the effector is operatively connected to the arm, andwherein the first track includes one or more motors adapted to move the arm relative to the first track. 29. The apparatus of claim 28, wherein the first track comprises one or more motors adapted to move the arm along the first track. 30. The apparatus of claim 28, wherein the first track comprises one or more motors adapted to move the arm relative to the operative connection of the arm to the first track. 31. The apparatus of claim 28, wherein the first track comprises one or more motors adapted to rotate the arm relative to the first track. 32. The apparatus of claim 28, wherein the first track comprises first, second, and third motors,wherein the first motor is adapted to move the arm relative to the operative connection of the arm to the first track,wherein the second motor is adapted to move the arm along the first track, andwherein the third motor is adapted to rotate the arm relative to the first track. 33. An apparatus for performing maintenance on or repairing a nuclear reactor, the apparatus comprising:a first track;an arm including one or more second tracks;a fixing device;one or more sensors; andone or more tools;wherein the arm is operatively connected to the first track,wherein at least one of the one or more second tracks includes at least three track sections,wherein the fixing device is operatively connected to the first track,wherein the one or more sensors, the one or more tools, or the one or more sensors and the one or more tools are operatively connected to the arm,wherein the arm has a contracted length,wherein the arm has an expanded length, andwherein the expanded length is greater than two times the contracted length. 34. An apparatus for performing maintenance on or repairing a nuclear reactor, the apparatus comprising:a first track;an arm including one or more second tracks;a fixing device;one or more sensors; andone or more tools;wherein the arm is operatively connected to the first track,wherein at least one of the one or more second tracks includes at least three track sections,wherein the fixing device is operatively connected to the first track,wherein the one or more sensors, the one or more tools, or the one or more sensors and the one or more tools are operatively connected to the arm, andwherein the first track includes one or more motors adapted to move the arm relative to the first track. 35. The apparatus of claim 28, wherein the arm is adapted to move relative to the first track. 36. The apparatus of claim 28, wherein the at least three sections are adapted to contract the arm to the contracted length,wherein the at least three sections are adapted to expand the arm to the expanded length, orwherein the at least three sections are adapted to contract the arm to the contracted length and the at least three sections are adapted to expand the arm to the expanded length. |
|
056339046 | claims | 1. A transfer container for the dry transfer of spent nuclear fuel assemblies comprising; an elongated hollow body; a sleeve for sliding within said body; said sleeve including a plurality of compartments, each of said compartments for receiving a fuel assembly; and means for lowering said sleeve at least partially from said body to a position over said fuel assemblies. 2. A transfer container as in claim 1 wherein said container body includes a plurality of guide rails on which said sleeve slides. 3. A transfer container as in claim 2 wherein said sleeve includes a plurality of runners for riding on said guide rails. 4. A transfer container as in claim 1 wherein said sleeve includes a central passageway for passage of a portion of a grapple assembly. 5. A transfer container as in claim 1 wherein said sleeve includes at least one lifting plate for engagement with a portion of a grapple assembly. 6. A transfer container as in claim 5 further including a grapple assembly and wherein said means for lowering said sleeve includes means for lowering and raising said grapple assembly vertically within said body and whereby engagement of said grapple assembly with said sleeve lifting plate effects lowering and raising of said sleeve vertically within and outside of said body. 7. A transfer container as in claim 6 wherein said grapple assembly includes a plurality of grapples for latching onto a corresponding plurality of fuel assemblies. 8. A transfer container as in claim 7 further including means for actuating said grapples independently whereby selected fuel assemblies can be latched or unlatched. 9. A transfer container as in claim 7 further including remote control means for actuating said grapples. 10. A transfer container as in claim 1 further including a gate connected to the bottom of said container body, said gate having an opening to allow passage of said sleeve through said gate. 11. A transfer container as in claim 10 wherein said gate includes two semicircular doors and means for translating said doors horizontally to open and close said opening. 12. A transfer container as in claim 11 wherein each said semicircular door includes a double stepped mating interface for creating a double seal when said doors are closed together. 13. A transfer container as in claim 11 wherein said means for translating includes a motor and a pair of screws passing through said doors and driven by said motor. 14. A transfer container as in claim 13 further including remote control means for actuating said motor to open and close said gate doors. 15. A transfer container as in claim 1 including four compartments for receiving up to four fuel assemblies. 16. A transfer container as in claim 1 including eight compartments for receiving up to eight fuel assemblies. 17. A transfer container as in claim 1 wherein said hollow body includes at least one removable vertical section whereby said body is adjustable in height to accommodate varying length fuel assemblies. 18. A transfer container as in claim 1 wherein said sliding sleeve compartments are configured to provide a separation distance between fuel assemblies contained within said sliding sleeve, whereby said separation distance aids in maintaining subcriticality of said fuel assemblies. 19. A transfer container as in claim 1 wherein said sliding sleeve is constructed of a material containing a neutron absorbing material as a component to aid in maintaining subcriticality of fuel assemblies contained in said sliding sleeve. 20. A transfer container as in claim 19 wherein said neutron absorbing material is boron. 21. A transfer container as in claim 1 wherein said sliding sleeve is removable from said body to allow interchange of said sliding sleeve with another sliding sleeve to accommodate varying size fuel assemblies. 22. A transfer container as in claim 1 wherein said sliding sleeve provides lateral guidance of fuel assemblies at all times during hoisting of spent fuel assemblies. 23. A transfer container as in claim 7 wherein said grapple assembly includes a common grapple bracket for connecting said plurality of grapples to a hoisting cable. 24. A transfer container as in claim 23 further including quick-release fittings for connecting said grapples to said common grapple bracket to facilitate changing of said grapples to accommodate varying types of fuel assemblies. |
abstract | The present invention is directed to an inspection system that has a radiation source, a detector array, an inspection region, and a processing unit, where the processing unit a) obtains a radiographic image, b) segments the radiographic image based on radiation attenuation or transmission, c) identifies at least one segmented area on the radiographic image, d) filters the at least one segmented area using at least one geometric filter, e) generates feature vectors using the filtered segmented area; and f) compares the feature vectors against predefined values to determine whether a high-atomic-number object is present. |
|
claims | 1. A shipping container for a first nuclear fuel assembly comprising: an elongated, tubular container having an inner and an outer coaxial, tubular shell with a close cell polyurethane core sandwiched between the inner and shells and an axial dimension at least as long as the fuel assembly and an internal cross-section larger than the first nuclear fuel assembly; an elongated, inner, tubular liner having an axial dimension at least as long as the fuel assembly and an external circumference that is designed to be received and slidably positioned within the interior of the tubular container, the liner having an internal cross-section that substantially matches an external cross-section of the first nuclear fuel assembly; an elongated, external frame having an axial dimension at least as long as the tubular container, sized to receive the tubular container within the external frame in spaced relationship from the frame; and shock absorbing means for attaching the tubular container to the frame so the tubular container is suspended substantially within the frame in a manner so shock absorbing means isolates the tubular container from a substantial amount of any impact energy experienced by the frame should the external frame be impacted. 2. The shipping container of claim 1 including means for reducing friction resistance as the inner, tubular liner is slid axially into or out of the tubular container, the means for reducing friction resistance being supported on both or on one or the other of the inner, tubular liner and the tubular container. claim 1 3. The shipping container of claim 2 wherein the means for reducing friction resistance comprises axially spaced ball bearings. claim 2 4. The shipping container of claim 1 wherein the tubular container includes at least one wing nut fastener end cap release. claim 1 5. The shipping container of claim 1 wherein either said inner or outer shell includes boron. claim 1 6. The shipping container of claim 5 wherein either said inner or outer shell is constructed boron stainless steel. claim 5 7. The shipping container of claim 1 wherein the external frame comprises a plurality spaced, circumferential straps that are respectively connected to circumferentially spaced axially extending members that are positioned substantially parallel to the axis of the tubular in a birdcage configuration. claim 1 8. The shipping container of claim 7 wherein the shock absorbing means comprises at least two shock absorbers respectively connected to one of the circumferential straps and the tubular container. claim 7 9. The shipping container of claim 8 wherein the shock absorbers are respectively connected to one of the circumferential straps and a circumferential rib on the tubular container. claim 8 10. The shipping container of claim including a boron-silicate core interposed between an inner and an outer surface of the elongated liner. 11. The shipping container of claim 1 including lining an inner surface of the elongated liner with plates comprising borated stainless steel or boron-silicate. claim 1 |
|
summary | ||
claims | 1. A method for calculating area values of a pattern written by using a charged particle beam, comprising:storing data of a pattern in a data storing device;inputting the data of the pattern from the data storing device;virtually dividing the pattern into a plurality of mesh-like first square regions surrounded by first grids defined at intervals of a predetermined size;virtually dividing the pattern into a plurality of mesh-like second square regions surrounded by second grids defined at intervals of the predetermined size, the second grids being positionally deviated from the first grids by a half of the predetermined size;distributing an area value of a sub-pattern in each of the second square regions to a plurality of apexes of each of the second square regions such that a center-of-gravity position of the sub-pattern does not change, the sub-pattern being a part of the pattern; andoutputting the distributed area values as area values, for correcting a proximity effect, defined at the center position of each of the first square regions. 2. The method according to claim 1, whereinwhen, in distribution of the area value of a sub-pattern of one second square region, an area value of a sub-pattern in another second square region is distributed to at least one apex of the one second square region, and the distributed area values of the at least one apex are cumulatively added to each other with respect to the at least one apex. 3. The method according to claim 1, further comprising:calculating an area value of the sub-pattern in each of the second square regions;calculating a center-of-gravity position of the sub-pattern;calculating a center-of-gravity moment of the sub-pattern in each of the second square regions on the basis of the calculated area value and the calculated center-of-gravity position; andwherein, in distribution of the area value, the calculated area value of the sub-pattern is distributed by using the calculated area value and the calculated center-of-gravity moment. 4. The method according to claim 1, whereinthe outputting the distributed area values as area values is performed by a computer. 5. The method according to claim 4, whereinthe inputting the data of the pattern from the data storing device is performed by the computer. 6. The method according to claim 1, whereinthe area values are calculated by a computer. 7. The method according to claim 1, further comprisingwriting the pattern on a target object using the charged particle beam. 8. A proximity effect correcting method comprising:storing data of a pattern in a data storing device;inputting the data of the pattern from the data storing device;virtually dividing the pattern which is to be written by using a charged particle beam, into a plurality of mesh-like square regions surrounded by grids defined at intervals of a predetermined size;distributing a part of an area value of a sub-pattern in each of the square regions to a center position of another square region such that a center-of-gravity position of the sub-pattern does not change, the part of the area value being defined by the center position of the another square region and the sub-pattern being a part of the pattern; andcalculating an amount of proximity effect correction in each square region by use of an area value of each square region obtained by adding a remaining area value which is not distributed to another square region and an area value distributed from another square region to output the amount of proximity effect correction. 9. The proximity effect correcting method according to claim 8, further comprising:virtually dividing the pattern into a plurality of mesh-like second square regions surrounded by second grids defined at intervals of the predetermined size, the second grids being positionally deviated from the first grids by a half of the predetermined size,wherein, when distributing part of the area value, an area value of a sub-pattern in each of the second square regions is distributed to a plurality of apexes of each of the second square regions such that a center-of-gravity position of the sub-pattern in each of the second square regions does not change. 10. The method according to claim 8, whereinthe inputting the data of the pattern from the data storing device is performed by a computer. 11. The method according to claim 8, whereinthe calculating an amount of proximity effect correction in each square region is calculated by a computer. 12. The method according to claim 8, further comprisingwriting the pattern on a target object using a charged particle beam. 13. A method for writing a pattern using a charged particle beam, the method comprising:virtually dividing a pattern into a plurality of mesh-like square regions surrounded by grids defined at intervals of a predetermined size;distributing an area value of a sub-pattern in each of the square regions to positions where the distributed area values are defined by a center position of the square region and a center position of another square region, such that a center-of-gravity position of the sub-pattern in each of the square regions does not change;after the area values are distributed, calculating an exposure dose of the charged particle beam corrected with respect to proximity effect by using the area values defined by the center positions of the square regions; andwriting the pattern on a target object at the exposure dose. 14. A charged particle beam writing apparatus for writing a pattern using a charged particle beam, comprising:a dividing unit configured to virtually divide a pattern into a plurality of mesh-like first square regions surrounded by first grids defined at intervals of a predetermined size and a plurality of mesh-like second square regions surrounded by second grids defined at intervals of the predetermined size, the second grids being positionally deviated from the first grids by a half of the predetermined size;a distributing unit configured to distribute an area value of a sub-pattern in each of the second square regions to a plurality of apexes of each of the second square regions such that a center-of-gravity position of the sub-pattern in each of the second square regions does not change, the sub-pattern being a part of the pattern;a calculating unit configured to calculate an amount of proximity effect correction for correcting proximity effect in each of the first square regions by using area values distributed; anda pattern writing unit configured to write the pattern on a target object at an exposure dose of the charged particle beam corrected with respect to proximity effect by using the amount of proximity effect correction. 15. The charged particle beam writing apparatus according to claim 14, further comprisingan area calculating unit configured to calculate an area value of the sub-pattern in each of the second square regions. 16. The charged particle beam writing apparatus according to claim 15, further comprising:a center-of-gravity calculating unit configured to calculate a center-of-gravity position of the sub-pattern. 17. The charged particle beam writing apparatus according to claim 16, further comprising:a moment calculating unit configured to calculate a center-of-gravity moment of the sub-pattern on the basis of the area value calculated and the center-of-gravity position calculated. 18. The charged particle beam writing apparatus according to claim 17, whereinthe distributing unit distributes the area value of the sub-pattern by using the area values calculated and the center-of-gravity moment calculated. |
|
description | The present invention relates to a method and apparatus for vitrifying wastes. More particularly, the present invention relates to a glass melting furnace having an impeller to mix and foam vitrifiable materials and waste materials which are fed to the melter, melted and recovered to form a solid vitrified mass. Disposal of hazardous waste is a pressing environmental problem. Hazardous waste such as radio-active materials from nuclear reactors have long term radioactivity which makes conventional methods of solid waste disposal inappropriate. There is significant danger of such materials leaching from a solid waste disposal facility and entering the water supply. Vitrification of hazardous solid wastes has been proposed and implemented on a relatively small scale. Problems associated with vitrification processes developed previously include low throughput resulting in a high disposal cost per unit of hazardous waste material. As used herein, radioactive wastes, hazardous wastes and toxic chemical waste shall be referred to as “hazardous waste”. The United States Department of Energy, working in conjunction with six other countries in a cooperative technical exchange, has developed a method of vitrifying radioactive waste material in boro-silicate glass. The U.S. Department of Energy project resulted in the development of an electric glass furnace. The glass furnace developed was electrically powered and operated on an aqueous waste stream having 40 percent solids content. The solids in the waste stream were approximately 60 percent boro-silicate glass frit and 40 percent radioactive waste sludge. A primary problem with the resultant static glass furnace was that its output was limited to a rate of 4 pounds of vitrified waste per hour per square foot of furnace surface area. Boro-silicate glass was selected for its chemical durability and low melting point. The special boro-silicate glass combines with the waste material to form a vitrified output that will be referred to herein as “waste glass”. The furnace included the use of Inconel 690 as electrodes and other parts of the furnace. (Inconel is a trademark of International Nickel Corporation). In addition to the low throughput of the electric furnace, the cost of melters developed in the cooperative project was considerable. In addition, start-up and shut-down procedures require considerable time. Soda lime glass melters having a mixing element and electrical heating have been developed for the purpose of improving productivity of soda lime glass manufacturing processes. An example of such a melter is disclosed in U.S. Pat. No. 3,850,606 to Rough and U.S. Pat. No. 3,819,350 to Pellett, et al. Such melters were tried by Owens-Illinois but were abandoned due to the inability of the melters to produce high quality glass having an acceptable level of gaseous occlusions. The intended electrical flow path in the melters was between the electrodes extending upwardly from the floor of the melter and the mixing element. This general arrangement resulted in problems including high electrical charge concentration at the tips of the impeller which resulted in excessive wear and consumption of the impeller. Further, high volume waste processing is adversely effected by the use of components which must be replaced. These and other problems are overcome by the glass vitrification melter of the present invention as summarized below. The present invention relates to a waste vitrification method performed in a vessel having a rotatable impeller. The process comprises the steps of introducing a feed stream into the vessel. The feed stream is then mixed into a glass melt in the vessel by the impeller to form a foamy mass. The glass melt is electrically heated in the vessel by application of electrical energy between the sides of the vessel and the impeller to melt the glass batch material and form a molten vitrified output. Finally, the molten vitrified output is recovered from the vessel. The method may also include the step of densifying the foam material by passing the foam material into a quiescent zone where the foam material is separated into a densified material and gaseous materials. The mixing and heating steps are preferably performed simultaneously in a mixing zone in the vessel. Heating is diminished through the densifying step while maintaining the residual heat in the densified material to keep it in a pourable state until after the recovery step. The quiescent zone may be in the same vessel as the mixing zone or, alternatively, the quiescent zone may be a separate vessel. If the quiescent zone is a separate vessel, the mixing zone and quiescent zone would be in fluid flow communication so that the foam material may pass by fluid flow from the mixing zone to the quiescent zone. According to another aspect of the present invention, an apparatus for waste vitrification is disclosed. The waste vitrification apparatus vitrifies an aqueous stream having vitrifiable materials and waste materials to be disposed of in a suspension. The waste vitrification apparatus comprises a vessel formed of an electrically conductive material in which a mixing impeller is disposed which is also formed of an electrically conductive material. A drive shaft extends into the vessel and is connected to the mixing impeller. Means for rotating the drive shaft and impeller are provided for dispersing the constituents of the waste stream into the glass melt in the vessel. An electrical power circuit supplies sufficient voltage and current between the vessel and the impeller sufficient to heat the waste stream until the vitrifiable material melts to a liquid state and forms a solidifiable output including the waste material and the melted vitrifiable material. The apparatus includes an opening through which the solidifiable output is poured into a container for cooling and solidification. The vessel preferably has a mixing zone located above a settling zone. As the aqueous stream is fed into the apparatus, it is mixed by the impeller and simultaneously heated by the electrical current between the impeller and the walls of the vessel. As the materials are mixed and heated, water in the system is vaporized and other constituents release gases resulting in the creation of a foamed mass. As the process proceeds, the foam mass moves by gravity into a settling zone located below the mixing zone within the vessel where a portion of the gaseous material contained in the foam mass is eliminated leaving a densified solidifiable output. According to one aspect of the invention, the drive shaft and impeller are positionable at various heights within the vessel. The mixing impeller may then be positionable at various heights within the container to control the density of the solidifiable output. While it is not necessary to completely eliminate gaseous occlusions in the solidifiable output, an acceptable density level would be 70 percent and preferably more than 90 percent of the true density of the waste glass. It is an object of the present invention to provide a high throughput hazardous waste vitrifying method and apparatus which quickly melts vitrifiable batch materials. Another object of the present invention is to provide a waste vitrification method and apparatus which is capable of converting an aqueous, 40 percent solid feed stock, including 60 percent boro-silicate glass batch and 40 percent wastes and produce a dense vitrified solidifiable output. It is another object of the present invention to provide a small waste vitrification apparatus which can be constructed as a portable or local hazardous waste processing unit. It is another object of the present invention to provide a waste vitrification apparatus suitable for continuous or intermittent use depending on waste processing demands. These and other objects of the present invention are achieved by the method and apparatus of the present invention as will be more fully understood upon review of the attached drawings in light of the following description of the best mode for carrying out the invention. Referring now to FIG. 1, the waste vitrification apparatus 10 of the present invention is shown receiving a feed stream 12 preferably comprising an aqueous slurry of glass batch and waste materials. The feed stream 12 could also be dry glass batch or melted glass batch and waste materials fed into the apparatus 10. The glass batch is preferably boro-silicate batch selected for its low melting point in the range of 950° C. to 1050° C. Other compositions may be used depending upon the thermal and chemical limitations of the vessel. As used herein, the term glass batch is intended to encompass both raw materials for making glass and fused and partially fused materials used in making glass known as frit or cullet. The wastes to be disposed of are either radioactive wastes, hazardous chemical wastes, or other wastes which require a durable disposal medium. A vessel 14 is provided to receive the feed stream 12 in a glass melt 13. A mixing impeller 16 is disposed in the vessel 14 on a drive shaft 18. The vessel 14 is preferably formed of an electrically conductive, high temperature nickel alloy such as Inconel 690 (Inconel is a trademark of International Nickel Corporation). The mixing impeller and drive shaft 18 are also preferably formed of Inconel 690. Other suitable high temperature, electrically conductive materials, such as molybdenum, platinum or other high temperature alloys can be used depending on the materials processed. A mixing zone 20 is defined in the upper portion of the vessel 14. A settling zone 22 is provided below the mixing zone 20 in the vessel 14. Alternatively, a settling zone 22 could be provided in a separate vessel (not shown) in fluid communication with the mixing zone 20 of the vessel 14. A spout 24 of the “tea pot” type is preferably provided on the vessel 14 for pouring off the output of the waste vitrification apparatus 10. An opening 26 is formed in the vessel 14 at the base of the settling zone 22. The output is recovered through the opening 26 and passed in a molten state to the spout 24. Referring now to FIGS. 1 and 2, the waste vitrification apparatus 10 will be described in greater detail. The vessel 14 is surrounded by walls 30. The walls 30 are formed of refractory bricks or other insulation material. An Inconel layer 34 is provided on top of the vessel 14. Layer 34 is also insulated by a refractory lid 38. A shaft opening 40 is provided through the layer 34 and the refractory lid 38 which receives the drive shaft 18. An inlet 42 is provided in the layer 34 and lid 38 through which the aqueous feed stream 12 enters the vessel 14. An outlet 36 is also provided in the vessel 14 or layer 34 and lid 38 for release of gases and vapors from the melt 13. The gases and vapors released are further treated by appropriate means depending on their composition. An electrically non-conductive bushing 41 lines the hole 40 to prevent the drive shaft 18 from contacting or short circuiting to the layer 34. An outlet passage 44 is provided between the opening 26 and spout 24. The outlet passage 44 is preferably located within the walls 30 and is maintained at an elevated temperature to keep the solidifiable output of the apparatus 10 in a molten state during its passage from the opening 26 to the spout 24. The mixing impeller 16 preferably has blades 46 which are oriented approximately 45 degrees to set up an axial mixing flow within the mixing zone 20. A flow induced by the mixing impeller 16 is shown by arrows A in FIG. 1. The axial mixing flow fully disperses the constituents of the aqueous feed stream 12 upon entry into the glass melt 13. Other impeller designs can also be used to provided that adequate mixing of the feed stream in the glass melt occurs. The location of the mixing impeller 16 within the vessel 14 is adjustable within a predetermined range. The adjustment of the location of the mixing impeller 16 changes the location of the mixing zone 20, and also allows expansion or contraction of the settling zone 22 to control the degree of densification of the solidifiable output of the apparatus 10. The drive shaft 18 is mounted on upper and lower insulative shaft mounts 48 and 50. Drive shaft 18 can be raised or lowered with the shaft mounts 48 and 50. A non-conductive mechanical seal 51 is preferably provided in the top 38 to seal the drive shaft 18. Arrows D illustrate the degree of displacement available within the predetermined range of adjustment of the mixing impeller 16 in the illustrated embodiment. A drive motor 52 comprising a conventional electric motor is operatively connected to the drive shaft 18 by a drive belt 54. The drive belt 54 drives a shaft pulley 56 when rotated by a motor pulley 58. The drive shaft 18 is preferably rotated at speeds to provide the intensive mixing required by the present invention. The mixing step of the present invention is different from prior art stirrers which at their outer perimeter rotate on the order of 50 feet per minute, or less, while the impeller 16 of the present invention is intended to rotate so that its outer perimeter moves at a speed of more than 250 feet per minute, and more preferably at more than 500 feet per minute. The waste vitrification apparatus 10 electrically heats the glass melt 13 by electrical discharge through the melt 13 while in the vessel 14. A shaft electrical contact 60, preferably of the brush, or rotatable contact, type is connected to the drive shaft 18. The vessel 14 includes a vessel lead 62. A shaft cable 64 and vessel cable 66 are connected to the shaft electrical contact 60 and vessel lead 62, respectively, and are connected in an electrical circuit. The voltage and current must supply sufficient heat to convert the feed stream into a glass melt. The drive shaft 18 is preferably a hollow shaft having coolant circulation. A cooling inlet 68 through which coolant water is introduced into a central tube of the drive shaft 18 and a coolant outlet 70 in communication with an outer tube portion of the drive shaft 18 is provided for flow of coolant fluid. Coolant fluid enters the coolant inlet 68 and exits the coolant outlet 70 after circulation through the drive shaft 18, preferably to a point below the layer 34 and above the level of the glass melt. As shown in FIG. 2, auxiliary preheating coil 72 may be provided for preheating the apparatus 10. Auxiliary preheating coils are intended for initial start-up of the apparatus 10. After the process is operational, the auxiliary preheating coil 72 will be turned off as sufficient heat is developed. Outlet heating coils 74 are provided to guard against any freeze-up of the outlet port 24. A gas burner could also be used for heating the outlet port 24 depending upon the type of waste being processed. Other auxiliary heaters, not shown, may be provided as needed to keep the opening 26 or base of the apparatus 10 above the melting temperature of the waste glass. Referring now to FIG. 3, the method of the present invention will be explained. The method commences with introduction of an feed stream at 80 into the apparatus 10. As the feed stream is received in the vessel 14, it is mixed into the glass melt 13 in the mixing zone 20 by the impeller 16 as indicated by reference numeral 82. Preferably simultaneously, the glass melt 13 is heated at reference numeral 80 to form a molten foam material by the combined action of the electrical discharge between the walls of the vessel 14 and the impeller 16 and the rapid rotation of the impeller 16. After mixing and heating, the foam material passes to a settling zone where a densifying step 86 is performed wherein the foam material is separated into a densified material and in gaseous constituents. The densified material preferably is densified to between 70 and 90 percent (or preferably more than 95 percent) of theoretical density of the waste glass. The deviation from theoretical density results from bubbles caused by the gaseous occlusions contained in the vitrified waste glass. The densified material is then recovered from the vessel as a solidifiable output at reference numeral 88. The output is then poured into containers at reference numeral 90, and cooled to form a solidified vitrified mass, thereby permanently encasing the waste materials to preclude leaching of the waste materials from a storage facility. The composition of the aqueous feed stream in a test run with non-radioactive materials to simulate radioactive wastes was nominally 60 percent water and 40percent solids by weight. The solids content was approximately 28 percent particulate sludge, 8 percent dissolved solids and 64 percent boro-silicate rich glass frit.The composition in percent by weight of a vitrified output sample of the test run is shown below as analyzed by two analysis methods: ConstituentMethod AMethod BCaO1.51.5CuO0.20.2MgO1.01.0MnO2.32.4ZnO0.10.1Al2O33.73.8Fe2O312.112.0Li2O3.93.7Na2O11.2—NiO0.91.0TiO20.80.8K2O1.7—SiO248.9—B2O38.1—SrO—0.02Cr2O3—0.08P2O5—0.05It is expected that actual radioactive waste glass would be of comparable composition but would also include radioactive materials. The preceding description is of the best mode of practicing the invention. Modifications of the method and apparatus described will be apparent to those skilled in the art. The scope of the invention should be construed by reference of the following claims. |
|
description | A preferred embodiment of the present invention will be described in detail with reference to the accompanying drawings. FIG. 1 shows a control system of a nuclear power plant according to a preferred embodiment of the present invention. A core 2 inside a reactor pressure vessel I comprises hundreds of fuel bundles. The output of a reactor is controlled by a core flow rate that depends on an insertion ratio of control rods 3 into the core and on the number of revolutions of an internal pump B. The control rods 3 are driven by a control rod drive 5, a motor 6 for activating the control rod drive 5 and a motor control circuit 7 for controlling the motor 6. The number of revolution of the internal pump 8 is controlled by a motor 9 and a motor speed control circuit 10. A local power range monitor 4 (hereinafter called the xe2x80x9cLPRMxe2x80x9d 4) for detecting the neutron bundles inside the reactor and a flow rate detector 17 for detecting the core flow rate are disposed inside the core 2 and their detection results are outputted to an automatic thermal limit monitor 11, respectively. The-control rod drive 5 is provided with a control rod position detector 18, and the detection result of this detector 18 is outputted to the automatic thermal limit monitor 11. The LPRMs 4 are disposed inside LPRM strings 40 as shown in FIG. 2. Generally, four LPRMs 4 are disposed inside one LPRM string 40. The portions of each LPRM 4 are called xe2x80x9cA, xe2x80x9cBxe2x80x9d, xe2x80x9cCxe2x80x9d and xe2x80x9cDOxe2x80x9d levels from the bottom in an axial direction. The LPRM strings 40 are uniformly disposed inside the core and four LPRM strings 40 encompass sixteen fuel bundles. Referring to FIG. 1, the core monitoring system 12 calculates every ten minutes the maximum linear heat generation rate and the minimum critical power ratio and outputs them to the automatic thermal limit monitor 11. Though the maximum linear heat generation rate and the minimum critical power ratio are calculated every ten minutes in this embodiment, the calculation cycle is not particularly limited and may be an hour, for example. Needless to say, however, the shorter the calculation cycle, the higher becomes reliability of the thermal limit monitor value. The automatic thermal limit monitor 11 will be explained hereby in detail. FIG. 3 is a flowchart showing the processing content in this automatic thermal limit monitor 11. At the first step 301, the automatic thermal limit monitor 11 judges whether or not both maximum linear heat generation rate and minimum critical power ratio are calculated afresh by the core monitoring system 12. The flow then proceeds to the step 302 when the monitor 11 judges that the calculation is done afresh, and to the step 305 when it judges that the calculation is not done afresh. The maximum linear heat generation rate and the minimum critical power ratio as the calculation results of the core monitoring system 12 are fetched from the core monitoring system 12 at the step 302. At the next step 303, the maximum linear heat generation rate and the minimum critical power ratio are compared respectively with the thermal limit values that are set in advance for them, and whether or not the maximum linear heat generation rate and the minimum critical power ratio exceed the thermal limit values is judged. The flow proceeds to the step 304 when at least one of the maximum linear heat generation rate and the minimum critical power ratio exceeds the corresponding thermal limit value, and to the step 305 if neither of them is judged as exceeding the respective thermal limit value. An automatic operation exclusion instruction is outputted to the automatic power regulator system 13 at the step 304. The detection result of the LPRM 4 and plant data such as the control rod position information are fetched at the step 305. At the next step 306 is made a brief calculation of another maximum linear heat generation rate and another minimum critical power ratio on the basis of the plant data, the maximum linear heat generation rate and the minimum critical power ratio that are so fetched. The another maximum linear heat generation rate and the another minimum critical power ratio, that are determined by the brief calculation at the step 306, are then compared with the thermal limit values that are set beforehand for them, respectively, and whether or not these another maximum linear heat generation rate and minimum critical power ratio exceed the respective thermal limit values is judged at the next step 307. The flow proceeds to the step 308 if at least one of the another maximum linear heat generation rate and the minimum critical power ratio is judged as exceeding the thermal limit value, and to the step 309 if neither of them is judged as exceeding the thermal limit values. At the step 308, an operation hold instruction is outputted to the automatic power regulator system 13. Incidentally, the automatic power regulator system 13 enters the operation hold mode when the operation hold instruction is inputted thereto. Whether or not the automatic power regulator system 13 is in the operation hold mode is judged at the step 309. The flow proceeds to the step 310 if the automatic power regulator system 13 is judged as being in the operation hold mode. After the operation hold release instruction is outputted to the automatic power regulator system 13 at the step 310, the flow then returns to the step 301. If the automatic power regulator system 13 is not judged as being in the operation hold mode, the flow returns as such to the step 301. Next, the calculation method of the maximum linear heat generation rate and the minimum critical power ratio at the step 306 will be explained in detail. To calculate the another maximum linear heat generation rate, the area comprising the sixteen fuel bundles (with the index being defined as xe2x80x9cMxe2x80x9d) is divided into four segments (with the index being defined as xe2x80x9cKxe2x80x9d) in the A, B, C and D levels of the LPRM 4 in the axial direction, and the another maximum linear heat generation rate ALHGR (K, M) inside each divided area is calculated. The another maximum linear heat generation rate of the core is the greatest value among the another maximum linear heat generation rates ALHGR (K, M) determined for each area. The another maximum linear heat generation rate ALHGR (K, M) in the area (K, M) is obtained by correcting the maximum linear heat generation rate CLHGR (K, M) inside the area (K, M) calculated by the core monitoring system, on the basis of the mean value ALPRM (K, M) of the present LPRM of the area (K, M) and on the basis of the CLPRM (K, M) of the mean value of the LPRM, when the core monitoring system calculates the area (K, M), and also by executing the correction B (K, M) in accordance with the change of the control rod position from the start of the calculation by the core monitoring system. The calculation formula of the another maximum linear heat generation rate by the automatic thermal limit monitor 11 is given by the following expression (1): xe2x80x83ALHGR(K, M)=CLHGR(K, M)xc2x7ALPRM(K, M)/CLPRM(K, M)/B(K, M)xe2x80x83xe2x80x83(1) Here, the LPRM mean values ALPRM (K, M) and CLPRM (K, M) are the mean of the four LPRM indication values of each level of the height A, B, C and D in the LPRM axial direction as shown in FIG. 2. When the another minimum critical power ratio is calculated, the minimum critical power ratio inside each area comprising the sixteen fuel bundles is calculated, and the another minimum critical power ratio of the core is the smallest value among the another minimum critical power ratios ACPR(M) calculated for each area. The another minimum critical power ratio inside the area M is calculated by correcting the minimum critical output ratio CCPR(M) of the area M, that is calculated by the core monitoring system, by the mean value ALPRM(M) of the present LPRM of the area M and the LPRM mean value CLPRM(M) at the time of calculation of the area M by the core monitoring system, and by executing also the correction AC(M) in accordance with the change of the control rod position from the start of the calculation of the core monitoring system as well as the change in the core flow rate. The calculation formula of the another minimum critical power ratio by the automatic thermal limit monitor is represented by the following expression (2): ACPR(M)=CCPR(M)xc2x7CLPRM(M)/ALPRM(M)xc2x7AC(M)xc2x7AF(M)xe2x80x83xe2x80x83(2) Here, the LPRM mean values ALPRM(M) and CLPRM(M) are the mean values of twelve LPRMs other than the LPRM of the A level as shown in FIG. 4. FIG. 5 shows an example of the changes, with time, of the maximum linear heat generation rate calculated by the core monitoring system 12 and the another maximum linear heat generation rate calculated by the automatic thermal limit monitor 11. Though the maximum linear heat generation rate will be explained hereinafter, the explanation holds true of the minimum critical power ratio. In FIG. 5, the core monitoring system 12 calculates the maximum linear heat generation rate in the 10-minute cycle, and the automatic thermal limit monitor 11 executes the brief calculation of the another maximum linear heat generation rate between the calculations by the core monitoring system 12. As can be understood from FIG. 5, calculation accuracy of the another maximum linear heat generation rate, that is briefly calculated by the automatic thermal limit monitor 11, gets gradually deteriorated immediately after the calculation by the core monitoring system 12. The calculation error reaches the maximum immediately before the next calculation by the core monitoring system 12 and calculation accuracy is again improved immediately after this calculation. As shown in FIG. 5, the automatic thermal limit monitor 11 is designed in such a fashion that the calculation value of the another maximum linear heat generation rate becomes conservative. Therefore, a smaller value is set to the automatic thermal limit monitor 11 so as o secure a certain margin with respect to the practical thermal limit value. For this reason, the another maximum linear heat generation, rate calculated by the automatic thermal limit monitor 11 is likely to exceed the thermal limit value when the core condition exists in the proximity of the thermal limit value, or when the operation quantity of the fuel rods is great during the calculation by the core monitoring system 12. When the maximum linear heat generation rate reaches the thermal limit value as indicated by the point A in the drawing, the automatic thermal limit monitor 11 judges that the maximum linear heat generation rate exceeds the thermal limit value and outputs the operation hold instruction to the automatic power regulator system 13 (steps 307 and 308 in FIG. 3). Thereafter, when the calculation result by the core monitoring system 12 does not exceed the thermal limit value as indicated by the point B, the automatic thermal limit monitor 11 outputs the operation hold release instruction to the automatic power regulator system 13 (steps 303, 309 and 310). Incidentally, when the calculation result by the core monitoring system 12 exceeds the thermal limit value, the automatic thermal 1 imit monitor 11 outputs the automatic operation exclusion instruction to the automatic power regulator system 13 (steps 303 and 304). As described above, the operation hold instruction, the operation hold release instruction and the automatic operation exclusion instruction outputted from the automatic thermal limit monitor 11 are all outputted to the automatic power regulator system 13. Incidentally, a plant activation collective monitoring system 14 instructs at each break point a target electric output and an electric output change ratio to the automatic power regulator system 13 as shown in FIG. 1. FIG. 6 is a flowchart showing the processing content of the automatic power regulator system 13. At the first step 601, whether or not the automatic operation exclusion instruction is inputted from the automatic thermal limit monitor 11 is judged, and the flow proceeds to the step 602 when the automatic operation exclusion instruction is inputted, and to the step 603 when it is not inputted. The link of the automatic power regulator system 13 with the control rod control system 15 and with the re-circulation flow control system 16 is cut off at the step 602. when the link is cut off, the control rod control system 15 and the re-circulation flow control system 16 cannot be controlled any longer from the automatic power regulator system 13. To execute again the automatic power regulation by the automatic power regulator system 13, the link of the automatic power regulator system 13 with the control rod control system 15 and with the re-circulation flow control system 16 must be established once again, and the intervention of the operators becomes necessary. At the step 603, whether or not the operation hold instruction is inputted from the automatic thermal limit monitor 11 is judged, and the flow proceeds to the step 605 when the operation hold instruction is inputted and to the step 604 when it is not. When the flow proceeds from the step 603 to the step 604, the present electric output data are fetched from the plant. Next, a PI process is executed at the next step 606 by using the error between the present electric output so fetched and the target electric output inputted from the plant activation collective monitor system 14. The control rod operation or the re-circulation flow rate operation is carried out at the next step 607 on the basis of the result of the PI process of the step 606 so that the output can rise from the present electric output to the target electric output at a given electric output change ratio. The control rod operation is carried out by outputting the rod pull-out instruction/rod insertion instruction to the control rod control system 15, while the re-circulation flow rate operation is carried out by outputting a flow rate set value to the re-circulation flow control system 16. When the flow proceeds from the step 603 to the step 605, the instructions from the automatic power regulator system 13 to the control rod control system 15 and to the re-circulation flow control system 16 are held. In this holding state, the link of the automatic power regulator system 13 with the control rod control system 15 and with the re-circulation flow control system 16 remains established. At the next step 608, whether or not the operation hold release instruction is inputted from the automatic thermal limit monitor 11 is judged. The flow proceeds to the step 609 when the operation hold release instruction is inputted, and the hold state is released at this step 609. When the operation hold release instruction is not judged as being inputted at the step 608, on the other hand, the process of the step 608 is repeated until the operation hold release instruction is inputted. After the hold state is released at the step 609, the present electric output data is fetched from the plant at the step 610. A tie-back process (initialization process), is executed at the next step 611. Because the process returns to the normal PI control by the tie-back process, the control of the control rod and the recirculation flow rate is started once again. The control rod control system 15 controls the control rods by controlling the motor drive control circuit 7 in accordance with the rod pull-out instruction/insertion instruction that is inputted, and the re-circulation flow control system 16 controls the motor speed control circuit 10 on the basis of the inputted flow rate set value. The motor drive control circuit 7 and the motor speed control circuit 10 control the control rods 3 and the internal pump 8, respectively. In the embodiment described above, when at least one of the maximum linear heat generation rate and the minimum critical power ratio determined by the brief calculation exceeds the thermal limit value, the control signal is held while the link of the automatic power regulator system 13 with the control rod control system 15 and the re-circulation flow control system 16 remains established. Therefore, this embodiment can prevent the increase of the reactor output and can smoothly start once again the control of the control rods and the recirculation flow rate without the necessity for reestablishing the link when the calculation result of the maximum linear heat generation rate and the minimum critical power ratio obtained subsequently by the core monitoring system 12 is below the thermal limit values. In other words, this embodiment can easily execute the automatic output regulation by the automatic power regulator system 13 even when the maximum linear heat generation rate and the minimum critical power ratio are determined by the brief calculation in a short cycle. Particularly when the core condition is in the proximity of the limit values, the possibility of the occurrence of the phenomena described above is high, and this embodiment is effective for continuation of the automatic operation. If the maximum linear heat generation rate and the minimum critical power ratio that are determined accurately by the core monitoring system 12 are below the thermal limit values while the automatic power regulator system 13 is holding the control signal, automatic output regulation can be continued by stopping the holding operation of the control signal by the automatic power regulator system 13 if the actual maximum linear heat generation rate and the actual minimum critical power ratio are below the set values. Furthermore, if at least one of the maximum linear heat generation rate and the minimum critical power ratio that are accurately determined by the core monitoring system 12 exceeds the thermal limit value while the automatic power regulator system 13 is holding the control signal, automatic output regulation can be stopped by stopping the output of the control signal in the automatic power regulator system 13 when the actual maximum linear heat generation rate and the actual minimum critical power ratio exceed the thermal limit values. To quickly stop the control of the control rod and the core flow rate when exclusion of the automatic operation is required, the automatic thermal limit monitor 11 may directly output the operation stop signal to the control rod control system 15 and to the re-circulation flow control system 16. When the LPRM 4 as one of the means for detecting the plant data gets out of order such as short-circuit, the automatic thermal limit monitor 11 cannot calculate the maximum linear heat generation rate and the minimum critical power ratio. Therefore, the operation hold instruction may be given to the automatic power regulator system 13 in this case, too. If the calculation result by the core monitoring system 12 does not exceed the thermal limit value after bypassing the LPRM 4 which is out of order, the operation hold release instruction may be given to the automatic power regulator system 13. In this embodiment, the calculation of the maximum linear heat generation rate and the minimum critical power ratio in the core monitoring system 12 is made periodically (every 10 minutes). However, it is also possible to output a signal that requests the calculation of the maximum linear heat generation rate and the minimum critical power ratio to the core monitoring system 12 when the automatic thermal limit monitor 11 outputs the operation hold instruction to the automatic power regulator system 13, and to calculate the maximum linear heat generation rate and the minimum critical power ratio by the core monitoring system 12 upon receiving this request signal. Since it becomes possible by so doing to immediately judge whether or not the automatic output regulation is to be continued in the hold state of the automatic power regulator system 13, the automatic output regulation can be executed more smoothly. |
|
abstract | A first working process performs a deposition working or an etching working to a workpiece by face-irradiating a focused ion beam to the workpiece, and a second working process then performs a deposition working or an etching working to the workpiece by edge-irradiating a focused ion beam to an edge of the workpiece. During the first working process, the deposition working or the etching working is performed to add the missing portion or remove the excess portion to a point slightly short of the edge boundary of the workpiece, i.e., to a point that is less than the irradiation width of the focused ion beam. The remaining missing portion or the remaining excess portion is eliminated in the second working process by edge-irradiating the focused ion beam to the edge of the workpiece. |
|
abstract | The invention relates to a device for system diagnosis of an aircraft. The device includes: means for the monitoring of equipment of the system, the means for emitting messages of observations on the basis of effects produced by equipment; means for the determination of a set of observations on the basis of the messages of observations arising from the monitoring of a log of the messages of observations and of a model representing a current state of the system; means for the determination of indictments on the basis of observations of the set of observations and of a behavioral model of the system, the indictments being logical relations between operating modes of equipment having produced effects; and means for the determination of maintenance operations on the basis of the indictments. |
|
claims | 1. A method for generating a cathodoluminescence map comprising the steps of:generating an intensity modulated charged particle beam;focusing said charged particle beam on a specimen;gating temporally the cathodoluminescence emitted by said specimen to provide time-gated cathodoluminescence;measuring the time-gated cathodoluminescence for different charged particle beam positions on the specimen to generate a cathodoluminescence map;deconvoluting the cathodoluminescence map to improve the resolution of said cathodoluminescence map. 2. A method according to claim 1 wherein the step of deconvoluting the cathodoluminescence map comprises the steps of, discretizing at least part of a volume of the specimen into a region of elementary volumes; and determining the cathodoluminescence emitted by different elementary volumes within the specimen. 3. The method according to claim 1 wherein the step of deconvoluting the cathodoluminescence map further comprises the step of defining a set of parameters which describe an excitation state of the elementary volumes. 4. A method according to claim 1 wherein the step of deconvoluting the cathodoluminescence map further comprises the step of, removing from the cathodoluminescence map the contribution of cathodoluminescence made by elementary volumes of the specimen which are located lateral of a position on the specimen which the electron beam was focused. 5. A method according to claim 1 wherein the step of deconvoluting the measured time-gated cathodoluminescence to improve the resolution to said cathodoluminescence map, comprises the step of deconvoluting the measured time-gated cathodoluminescence to improve the spatial lateral resolution and/or depth resolution of said cathodoluminescence map. 6. A method according to claim 1 further comprising the step of, deconvoluting the measured time-gated cathodoluminescence to provide two or more 2D cathodoluminescence maps each of which represents cathodoluminescence emitted from planes which are at different depths of the specimen. 7. A method according to claim 1 further comprising the step of, varying the energy of said charged particle beam so that particles within the charged particle beam are made to penetrate the specimen to varying depths. 8. A method according to claim 7 further comprising the step of, measuring time-gated cathodoluminescence for different energies of said charged particle beam. 9. A method according to claim 1 further comprising the step of, resolving cathodoluminescence maps spectrally. 10. The method according to claim 1 further comprising the steps of: measuring, after a delay period, one or more other time-gated cathodoluminescence; and comparing, the one or more other measured time-gated cathodoluminescence to the cathodoluminescence map, to determine if the charged particles have moved within the specimen. 11. A cathodoluminescence map generating device comprising: a beam generator for generating an intensity modulated charged particle beam; a focusing element for focusing said charged particle beam on a specimen;means for gating temporally the cathodoluminescence emitted by said specimen;means for measuring the time-gated cathodoluminescence for different electron beam positions on the specimen; andmeans for forming a cathodoluminescence map using the measured time-gated cathodoluminescence; andmeans for deconvoluting the time cathodoluminescence map to improve the resolution of said cathodoluminescence map. 12. A cathodoluminescence map generating device according to claim 11 wherein the means for deconvoluting the cathodoluminescence map to improve the resolution of said cathodoluminescence map, is a means configured to deconvolute the cathodoluminescence map to improve the lateral spatial resolution and/or depth resolution of said cathodoluminescence map. 13. A cathodoluminescence map generating device according to claim 11 further comprising, means for deconvoluting the measured time-gated cathodoluminescence to provide two or more 2D cathodoluminescence maps each of which represent cathodoluminescence emitted from planes which are at different depths of the specimen. 14. A cathodoluminescence map generating device according to claim 11 wherein, the beam generator comprises a photocathode. |
|
claims | 1. A radiation protection device for a system which is configured to perform safety inspection of a cargo or a vehicle by a ray, the radiation protection device comprising:a plurality of containers, anda radiation protection part disposed within each of the container,wherein:the radiation protection part comprises a protection wall, and the protection wall has a protection wall body and a flange portion extending from at least a portion of an edge of the protection wall body, andthe plurality of containers comprises a first container and a second container adjacent to each other, and the flange portion of the radiation protection part within the first container is configured to be adjacent to a first wall of the first container, and the first wall abuts a second wall of the second container, so that the flange portion lies in the path of at least one ray which would otherwise pass obliquely through the abutting first wall of the first container and second wall of the second container. 2. The radiation protection device of claim 1, wherein:the flange portion forms a predetermined angle with the protection wall body. 3. The radiation protection device of claim 2, wherein:the predetermined angle ranges from about 45 degrees to about 90 degrees. 4. The radiation protection device of claim 2, wherein:the predetermined angle comprises about 90 degrees. 5. The radiation protection device of claim 4, wherein:the protection wall body has a substantially rectangular shape, and the flange portion protrudes towards at least one of opposite sides, in a direction perpendicular to the protection wall body, of the protection wall body from at least one of four side edges of the protection wall body. 6. The radiation protection device of claim 1, wherein:the flange portion protrudes towards a side, in a direction perpendicular to the protection wall body, of the protection wall body from at least one of an upper edge and a lower edge of the protection wall body. 7. The radiation protection device of claim 6, wherein:the flange portion further protrudes towards opposite sides, in the direction perpendicular to the protection wall body, of the protection wall body from at least one of a left edge and a right edge of the protection wall body. 8. The radiation protection device of claim 1, wherein:the flange portion protrudes towards opposite sides, in a direction perpendicular to the protection wall body, of the protection wall body from at least one of a left edge and a right edge of the protection wall body. 9. The radiation protection device of claim 1, wherein:the protection wall body has a substantially rectangular shape, and the flange portion protrudes towards at least one of opposite sides, in a direction perpendicular to the protection wall body, of the protection wall body from at least one of four side edges of the protection wall body. 10. The radiation protection device of claim 1, wherein:the flange portion has a substantially flat shape. 11. The radiation protection device of claim 1, wherein:the flange portion has a substantially plate shape. 12. The radiation protection device of claim 1, wherein:the protection wall body has a substantially flat shape. 13. The radiation protection device of claim 1, wherein:the protection wall body has a substantially plate shape. 14. The radiation protection device of claim 1, wherein:the protection wall is integral or a one-piece wall, or composed of a plurality of separate elements. |
|
abstract | A position measuring system detects an extreme position of a guide rod which extends along a path that is rectilinear relative to a guide system and can be moved along the rectilinear path, wherein the system operates reliably, and requires a minimum of electrical lines. The position measuring system is equipped with a plurality of sensor elements and a magnetic element, wherein the magnetic element is configured to form a magnetic field. The magnetic element is connected to the guide rod. Each sensor element is configured to detect a magnetic field within a detection region, a field strength of the magnetic field being greater at the location of the sensor element than a predefined threshold value. At least one sensor element is connected to the guide system and is arranged in an environment of the path. A corresponding measuring method uses the position measuring system to detect the extreme positions. |
|
claims | 1. A water-cooled reactor system for generating electricity, which includes:a water-cooled nuclear reactor that uses water as a coolant and a moderator and generates thermal energy through nuclear fission, the reactor including a reactor vessel, and a reactor core, the reactor core comprising a plurality of nuclear fuel assemblies, and one or more core baffles,the reactor core being of a shape having a hollow center portion and concentric with the reactor vessel so as to permit decay-heat removal from the plurality of nuclear fuel assemblies in the absence of the coolant;a power conversion system that is connected to the water-cooled nuclear reactor so as to indirectly receive the thermal energy generated by the reactor core of the water-cooled nuclear reactor via a heat exchanger or directly receive the thermal energy without passing through the heat exchanger, and generates electricity;a refueling water storage tank that is used when the water-cooled reactor is refueled with a nuclear fuel; anda containment that surrounds the water-cooled reactor, and the refueling water storage tank. 2. The water-cooled reactor system according to claim 1, wherein the water-cooled reactor is operated under the pressure of 1-30 MPa and has the exit temperature of 200-700° C. 3. The water-cooled reactor system according to claim 1, wherein the water-cooled nuclear reactor includes fuel rod cladding, control rod cladding, and control rod guide tube, wherein, at least one of the fuel rod cladding, control rod cladding, control rod guide tube and the core baffle is made of silicon carbide or zirconium carbide. 4. The water-cooled reactor system according to claim 1, wherein the water-cooled nuclear reactor is located in the underground. 5. The water-cooled reactor system according to claim 1, wherein the water-cooled nuclear reactor is equipped with a reactor cavity. 6. The water-cooled reactor system according to claim 5, wherein the reactor cavity is supplied with water from the refueling water storage tank actively by a pump and an AC powered valve or passively by gravity and a DC powered supply valve. 7. The water-cooled reactor system according to claim 1, wherein the refueling water storage tank is connected to the water-cooled reactor via a reactor pressure reducing line equipped with a pressure reducing valve. 8. The water-cooled reactor system according to claim 7, wherein the pressure reducing valve is opened by AC power or DC power when the pressure of the reactor reaches a set point or passively pushed open by an inner pressure and once the pressure reducing valve is opened, the pressure reducing valve is not closed again even when the pressure of the reactor drops down under the set point. 9. The water-cooled reactor system according to claim 1, wherein the refueling water storage tank supplies cooling water to the reactor through a cooling water supply line equipped with a supply valve in order to cool the reactor core. 10. The water-cooled reactor system according to claim 9, wherein the reactor core is supplied with water from the refueling water storage tank actively by a pump and an AC powered supply valve or passively by gravity and a DC powered supply valve. 11. The water-cooled reactor system according to claim 1, wherein the reactor system includes a flow path to gather water which is condensed at an inner surface of the containment into the refueling water storage tank. 12. The water-cooled reactor system according to claim 1, further comprising a reactor coolant pump (RCP) powered by AC power to circulate the coolant. 13. The water-cooled reactor system according to claim 1, wherein the refueling water storage tank comprises an opening on its side wall. 14. The water-cooled reactor system according to claim 1, wherein the containment is made of steel. 15. The water-cooled reactor system of claim 1, wherein the reactor core is square-shaped, wherein the hollow center portion is a square-shaped hollow. |
|
051665310 | abstract | A multileaf collimator for use in therapeutic radiology treatment systems for producing irregular shaped radiation fields in order to shield normal tissue or critical organs. A multileaf collimator following the present invention includes leaves designed such that the collimator exhibits penumbra which is minimized and generally uniform for positions that are equidistant from the central axis of the radiation field. The shape of each leaf end includes an asymmetric central portion and a flat portion on either side of the central portion. The central portion is designed such that geometric penumbra and transmission penumbra are equalized. The flat portions on either side of the central portion are coincident with a tangent drawn from the edge of the radiation source to a reference plane when the leaf is in the fully retracted or fully extended positions. |
summary | ||
claims | 1. A filter device for a collimator of an irradiation device, comprising:a plurality of filter disks, arranged on a disk rotatable around an axis, each respective filter disk, of the plurality of filter disks, being mounted so as to be movable in a radial direction and being tensioned via a respective spring element radially away from the axis or toward the axis against a guide contour, to effect a radial movement of at least one filter disk of the plurality of filter disks. 2. The filter device as claimed in claim 1, wherein at least the filter disks, arranged adjacent to the axis, overlap in sections. 3. The filter device of claim 1, wherein the guide contour, to guide the plurality of filter disks tensioned away from the axis, is embodied as a path-shaped, rounded guide contour surrounding the plurality of filter disks. 4. The filter device of claim 3, wherein the guide contour is curved convexly outward in at least one section. 5. The filter device of claim 4, wherein the plurality of filter disks include three filter disks, and wherein the guide contour is embodied in an oval shape. 6. The filter device of claim 1, wherein the guide contour, to guide the plurality of filter disks tensioned toward the axis, is embodied as a disk-shaped, rounded guide contour arranged inside the filter disks arranged around the guide contour. 7. The filter device of claim 6, wherein the guide contour is curved convexly outward in at least one section. 8. The filter device of claim 7, wherein the plurality of filter disks include three filter disks, and wherein the guide contour is embodied in an oval shape. 9. The filter device of claim 1, wherein each filter disk, of the plurality of filter disks, is accommodated in a linear, radially directed guide arranged on the disk. 10. The filter device of claim 1, wherein compression springs are provided as spring elements. 11. The filter device of claim 1, wherein each filter disk, of the plurality of filter disks, consists of a frame and a disk filter inserted into the frame. 12. A collimator, comprising:the filter device of claim 1. 13. An irradiation device, comprising:a radiation source;a radiation receiver; andthe collimator of claim 12. 14. The filter device of claim 1, wherein the guide contour, to guide the plurality of filter disks tensioned away from the axis, is embodied as a path-shaped, rounded guide contour surrounding the plurality of filter disks. 15. The filter device of claim 14, wherein the guide contour is curved convexly outward in at least one section. 16. The filter device of claim 15, wherein the plurality of filter disks include three filter disks, and wherein the guide contour is embodied in an oval shape. 17. The filter device of claim 2, wherein the guide contour, to guide the plurality of filter disks tensioned toward the axis, is embodied as a disk-shaped, rounded guide contour arranged inside the filter disks arranged around the guide contour. 18. The filter device of claim 17, wherein the guide contour is curved convexly outward in at least one section. 19. The filter device of claim 18, wherein the plurality of filter disks include three filter disks, and wherein the guide contour is embodied in an oval shape. 20. A collimator, comprising:the filter device of claim 2. |
|
abstract | This invention relates to the vitrification of radioactive waste products. According to this invention, a glass composition, which is suitable for flammable waste products, such as gloves, working clothes, plastic waste, and rubber, and a method of vitrifying the flammable waste products using the same are provided to significantly reduce the volume of radioactive waste products and to vitrify the flammable waste products using the glass composition, which is suitable for vitrifying the flammable waste products, thereby maximally delaying or completely preventing the leakage of radioactive materials from a molten solidified body. |
|
summary | ||
description | An ITP network for a nuclear power PPS is shown in FIG. 1. As shown, the network 10 topology includes four monitoring and control sub-systems designated, respectively, as Channel A, Channel B, Channel C, and Channel D. The various channels are separated by vertical dashed lines L1, L2 and L3 to symbolically indicate that the channels are physically separated from one another within the nuclear power plant. Each channel includes a bi-stable processor, a trip signal distributor, a coincidence logic processor, and initiation logic. The various channels communicate with two engineered safety feature trains, designated, respectively, as EST-1 and EST-2. As indicated symbolically by the horizontally dashed line L4, the engineered safety systems are physically separated from the various channels from which they receive their control signals and also separated from one another. The physical separation of the various sub-systems enhances the probability of functional integrity being maintained in the event of damage to one part of the overall system. Each of the channels, as described in the above-incorporated patent applications, include one or more sensors and digital processors that evaluate the sensed parameters. The various channels communicate with one another to provide two-out-of-four voting to determine whether or not a reactor trip command is appropriate. In the event a reactor trip command is issued by two or more of the channels, and engineered safety feature actuations are required, then the safety feature trains EST-1, EST-2 are actuated to provide reactor safeguard in attention to tripping the reactor. Each of the engineered safety feature trains EST-1, EST-2 implements a sequential series of events that effects reactor trip and engineered safety feature actuations, these events including various pre-programmed functions that control the timing and sequence of those tasks that must be accomplished to effect reactor safeguards, including operation and control of various valves, pumps, fluid levels, power levels, temperatures, pressures, and the like. The monitoring and control system (Channels A, B, C, and D) and the two engineered safety feature trains (EST-1 and EST-2) communicate through fiber optic paths with electro-optic modems at the path terminals. In FIG. 1, three fiber optic modems are associated with each digital plant protection system channel and four fiber optic modems are associated with each engineered safety feature train. More specifically, fiber optic modems A-1, A-2, and A-3 are associated with Channel A; as shown, each of these modems is connected to a transceiver-type communications card in the Channel A functional block. In a similar manner, fiber optic modems B-1, B-2, and B-3 are associated with Channel B; fiber optic modems C-1, C-2, and C-3 are associated with Channel C; and fiber optic modems D-11, D-2, and D-3 are associated with Channel D. As in the case of Channel A, the modems associated with a particular channel are connected to a transceiver-type communications card within the respective channel function block. Each of the engineered safety feature trains EST1, EST-2 are likewise serviced by modems. As shown in the FIG. 1, four fiber optic modems EST-1-1, EST-1-2, EST-1-3, and EST-1-4 are associated with the engineered safety train EST-1. Each of these modems is connected to a transceiver-type communications card in the engineered safety train EST-1 functional block. In a similar manner, fiber optic modems EST-2-1, EST-2-2, EST-2-3, and EST-2-4 are associated with the engineered safety train EST-2. The network topology of the FIG. 1 is such that both Channel A and Channel B are paired with and connected to engineered safety train EST-1, and both Channel C and Channel D are paired with and connected to engineered safety train EST-2 with additional paths provided between the various channels and between both engineered safety trains EST-1 and EST-2. In the FIG. 1, the network paths are designated in a generic and representative manner by the designator NP. More specifically and as shown in the FIG. 1, A-1 connect to EST-1-1, A-2 connects to EST-1-3, A-3 connects to B-3, B-1 connects to EST-1-2, B-2 connects to C-1, B-3 connects to A-3, C-1 connects to B-2, C-2 connects to EST-2-1, C-3 connects to D-2, D-1 connects to EST-2-2, D-2 -connects to C-3, D-3 connects to EST-2-4, and lastly, EST-1-4 connects to EST-2-3. Power to the four channels is provided through a system of vital power sources or busses. A vital power source is a high-reliability source that services a single channel. More specifically, and as shown, vital bus VB-A provides power to Channel A, vital bus VB-B provides power to Channel B, vital bus VB-C provides power to Channel C, and vital bus VB-D provides power to Channel D. The use of individual vital power sources for each of the channels of the overall system increases the probability that functionality will be maintained in the event of a failure of a part of the network. For instance, communication can be maintained in the network despite a postulated failure of a shared communication cable in the network path, failure of a modem, failure of a communication module in a channel or in a train, failure of a processor that controls the communication modules, or failure of a power source. In order to further increase overall functionality, the present invention powers each of the engineered safety feature trains and their related fiber optic modems from two of the vital power buses and, more specifically, from the two vital power buses that power the channels that provide any reactor safeguard command into the engineered safety feature train. Thus, engineered safety feature train EST-1 and its associated modems are powered by vital power bus VB-A and vital power bus VB-B, as indicated in FIG. 1. In a similar manner, engineered safety feature train EST-2 and its associated modems are powered by vital power bus VB-C and vital power bus VB-D, as indicated in FIG. 1. Power sourcing each engineered safety feature train and their associated modems from the power sources of the two channels that provide any reactor safeguard command into the engineered safety feature train thus assures operation of and communication to and from that engineered safety feature train and its modems in the event that one of the vital power busses becomes inoperative or otherwise unavailable. For example, in the event that power to vital power bus VB-A is lost, all components in Channel A (including the modems associated with Channel A, i.e., A-1, A-2, A-3) will be rendered inoperable. However, the engineered safety feature train EST-1 associated with Channel A will still be powered from vital power bus B and retain functionality along with the fiber optic modems associated with engineered safety train EST-1. While the modems associated with Channel A will be rendered inoperative, communication with engineered safety train EST-1 will be maintained by its associated modems EST-1-1, EST-1-2, EST-1-3, and EST-1-4 that will continue to receive power from vital power bus VB-B. The following is a description of the environment in which the communication structure shown in FIG. 1 sits in a preferred embodiment of the invention. U.S. Provisional Application No. 60/075,849, the disclosure of which is incorporated herein by reference, shows in FIG. 1 and FIG. 2 a DPPS communications structure and a DESFAS-AC configuration block diagram, respectively. The DPPS communications structure consists of three independent networks. Since all four channels are identical, only one channel will be explained and any differences will be described outside the general description. The DPPS programmable logic controller (PLC) network is a propriety high performance (1.5 Mbit/sec) serial communications network. Process data between the application programs in different PLC stations is exchanged in real-time deterministic performance and has the capacity to connect eighty stations. This network is based around the IEEE 802-4 standard, which utilizes a token bus master and supports both dual media and dual fiber optic networks. The PLC internal network connects all of the stations in channel A and allows status and testing information to be provided from each station. The maintenance and test panel is also connected to the internal network for the purpose of insertion of bypasses, setpoint changes, and general system status. Failure of this internal network does not prevent the operation of the safety channel from performing its intended safety function. The bistable processor (BPS) trip outputs are directly hardwired to the local coincidence logic processor (LCL). The PLC internal network has no interconnection to any of the other three safety channels. The cross channel network originates in the LCL processor from an independent communications interface card which forms a network which is capable of supporting an additional eighty stations. This network employs coax cable, three fiber optic transmitters, and three independent fiber optic cables to each three safety cross channel communication processors (CCCP). The cross channel processors, including one from each safety channel, form a complete independent communications network outside of the originating safety channel. The CCCP""s purpose is to provide the trip information from the associated channel to the LCI, to support a two-out-of-three logic. Failure of this network is limited in its affect (to the originating network channel) which will automatically set its outputs to a conservative trip state upon network failure. The LCL processor also monitors a combination of BSP watchdog input counters every execution cycle. If these counters have not incremented, the LCL processor, based on the selected logic combination, sets all channel bistables to their in tripped condition. p The ITP network of the present invention, shown in FIG. 1, is another network employing an independent communications interface card and is capable of supporting an additional eighty stations. The ITP network is connected to the other safety channels""ITP""s through the use of dual redundant fiber optics cables NP, which also connects to the digital engineered safety features actuation system auxiliary cabinet (DESFAS-AC) EST-1 and EST-2. The ITP network provides communication interface for information exchange between the PLC internal network, and the ITP""s of the other three safety channels. The ITP thus supports the following interfaces: ITP""s in the other three channels; plant Annunciator System (PAS); reactor Trip Switchgear (RTSG); and channel test feedback for all four channels. The data exchange on the ITP network from the DESFAS-AC EST-1 and EST-2 provides status/actuation information and provides feedback during surveillance testing of the DPPS. Complete failure of this network would not prevent the DPPS/DESFAS-AC safety systems from performing its safety function. Common-mode-failure of the complete data communication structure does not prevent the DPPS/DESFAS-AC safety system from performing its safety function. In addition, the use of independent systems (i.e., diverse protection systems) and manual devices, would accommodate a common-mode-failure of the entire hardware and software DPPS and DESFAC-AC system. The DESFAC-AC communications structure consists of two independent networks. Since both EST-1 and EST-2 are identical, only EST-1 will be explained. The PLC internal network connects all stations in EST-1 and allows status and testing information to be provided from each station. The maintenance and test panel, whose purpose is to provide testing capability and overall system status, is also connected to this network. Failure of this network does not prevent the operation of the safety channel from performing its intended safety function. The DPPS engineered safety features actuations are directly wired over individual fiber optic cables, which are then electrically isolated, where the primary and secondary stations perform a selective two-out-of-four coincidence logic. As explained above, the interface and test processor network of the present invention, as shown in FIG. 1, provides fault tolerance to credible single failures which includes loss of AC power from a single vital bus power source. The network is designed with dual cable redundancy and designated ITP network 2-1 and ITP 2-2 for discussion purposes. PLC internal networks and cross channel networks are all independent of the ITP network 1 and ITP network 2. In the event of any single failure including a loss of single vital bus power, the remaining active nodes on the network continue to communicate over the network by alternate network paths. For example, a postulated failure of vital bus A power would render DPPS channel A inoperable as well as render the FOM""s in channel A inoperable. This failure would effectively render ITP network 2-2 inoperable. Under this condition, ITP network 2-1 would remain operable and communications would continue between DPPS channels B, C, and D nodes and DESFAC-AC train A and B nodes. The present invention best is suited for use in nuclear power plant control systems of the type having redundant monitoring and control channels that vector into engineered safety trains and advantageously provides an enhanced reliability power sourcing system for digital plant protection systems by effecting dual power sourcing of each engineered safety train from the vital power buses that power the channels that vector into the engineered safety train. As will be apparent to those skilled in the art, various changes and modifications may be made to the illustrated enhanced reliability power sourcing system of the present invention without departing from the spirit and scope of the invention as determined in the appended claims and their legal equivalent. |
|
summary | ||
summary | ||
056617666 | summary | INTRODUCTION 1. Field of the Invention The present invention relates generally to fuel assemblies for nuclear reactors and, more particularly to an apparatus for measuring fuel assembly bow and twist. 2. Background In nuclear power reactors, the reactor core is comprised of a number of fuel assemblies. Depending on the size of the reactor, there can be 200 or more fuel assemblies in the core at any time. Since the fuel assemblies are densely packed in predetermined positions in the reactor core, dimensional standards of each fuel assembly must be met within very close tolerances. Pre-service quality assurance inspections are performed to ascertain any deviations in the formation and assembly of new fuel assemblies from accepted tolerances. The fuel assembly is also inspected to determine whether it is straight, unbowed, and without any twist. During reactor operation, the fuel assemblies become irradiated and can become bowed and twisted due to differential growth resulting from thermal gradients and neutron flux gradients. During each reactor refueling cycle, fuel assemblies are moved to different locations in the reactor core, with some of the fuel assemblies being replaced by new fuel assemblies. Certain fuel assemblies become spent and are removed from the reactor. Since at least a portion of the irradiated fuel assemblies are moved from one location in the reactor core and eventually to another location in the core during refueling operations, there is a need to verify the continued serviceability of these fuel assemblies. Thus, the accurate and efficient determination of deviations from dimensional standards of the fuel assembly as well as whether the fuel assembly is straight, unbowed and without twist is particularly important for irradiated fuel assemblies which have to be inspected and remotely manipulated to protect personnel against exposure. Pressurized water reactor nuclear fuel assemblies are positioned at their lower ends in predetermined positions in the lower core support plate of the reactor. Depending upon the particular design, each fuel assembly typically has two alignment pins extending downward from the lower tie plate and which are received in alignment holes in the lower core support plate. The upper core support plate which is positioned on top of all the fuel assemblies serves to align the upper portion of the fuel assemblies. Extending downward from the underside of the upper core plate are fuel assembly alignment pins. Typically, two alignment pins insert into two alignment holes in the upper tie plate of each of the fuel assemblies. As the upper core support plate is aligned over the top of the reactor core support and lowered onto the fuel assemblies, the alignment pins slide into their respective alignment holes and align the upper portion of the fuel assemblies into their predetermined positions. Bowing or twisting of the fuel assembly can prevent the accurate alignment of the fuel assembly with the upper and/or lower core support plate, cause interference with adjacent components, and in extreme cases, significantly increase the insertion force of control rods. Moreover, the bow and twist of the fuel assembly in its freestanding or unconstrained condition usually bears little resemblance to its constrained position within the reactor core between the upper and lower core support plates. In addition, the bow and twist of the fuel assembly is frequently not perceived until the fuel assembly is attempted to be placed within its constrained position within the reactor core between the upper and lower core support plates. In some prior art designs, assembly bow is measured by very simple measurement techniques which however have the disadvantage of not being accurate. The most common places a long straight edge suspended from the upper tie plate of the fuel assembly to the bottom tie plate and the distance from the straight edge to the assembly body is measured. This type of device and all such similar devices require viewing and thereby suffers from errors of observation such as parallax, which is exacerbated for irradiated fuel assemblies which are inspected underwater usually with a television camera. Other prior art designs involve devices which position a component in direct physical contact with the fuel assembly. Some of such prior art designs utilize linear variable differential transformer (LVDT) which is an electromechanical transducer which produces an electric output which is proportional to the movement or displacement of an interrelated component positioned to physically engage or contact a portion of the fuel assembly. However, LVDT systems have several disadvantages which impact the accuracy of the measurements. First, LVDT devices must be in constant contact with the fuel assembly with the possibility that the measuring device affects the position of the measured feature (e.g. a fuel rod) of the fuel assembly. Secondly, the surface area of the measuring device (i.e. the end of the LVDT) must be sufficiently large to always measure the same feature of the fuel assembly irrespective of the amount of bow and twist, otherwise the LVDT may cause interaction with a feature or features adjacent to the measured feature. These errors introduced by measurement system interaction with the fuel assembly by the use of LVDT's can therefore be significant. Some of the prior art designs utilize proximity sensors which produce an inductive field which generates eddy currents in the portion of the fuel assembly within its range. These eddy currents change the state of the field which can be translated into an output signal that is proportional to the distance from the sensor to the portion of the fuel assembly being examined or measured. Such eddy current sensors suffer from the disadvantage of having very limited range and must therefore be close to the fuel assembly. In addition, with large bowing or large twisting, there is the possibility of interaction with an adjacent feature. Furthermore, the accuracy of eddy current sensors is limited by material conductivity changes as well as oxide and crud build-up. The prior art discloses devices in which the fuel assembly which is to be measured forstraightness, bow and twist, is simply supported and held in a position in such a way as not to impart any loads to it by twisting or tilting. Thus, the fuel assembly is not rigidly constrained as if the assembly was actually positioned within the reactor core. In one prior art design, the fuel assembly is suspended from its upper end on a support having a profile similar to the fuel assembly, and a measuring device is moved along the support. The measuring devices are typically moved on a carriage along the support at a predetermined distance from the fuel assembly by a guide system. Even if the guide system, typically guide rails of some sort, is made to be as straight as possible, the guide system or guide rails which is not perfectly straight will usually develop further imperfections or flaws during operating which will cause them not to remain straight. Since these guide systems do not generally compensate for any deviation from its correct path of travel, the error introduced by the deviation produces inaccuracies in the measurements of the fuel assembly. Efforts of the prior art to correct this error include the use of positioning sensing apparatus which detects when the guide system moves beyond an acceptable tolerance relative to its correct position. However, the complexities of these positioning sensing apparatus can introduce further errors or inaccuracies in addition to the inaccuracies introduced by the guidance system. As stated above, further or other errors can be introduced in the determination of the amount of bow and twist of the fuel assembly as positioned within the core by not placing the fuel assembly in a rigid fixture to constrain the fuel assembly as if it was actually positioned within the reactor core. Thus, the prior art devices are inaccurate in the measurement of bow and twist due to errors of observation (such as parallax), measurement system/fuel assembly interaction errors, guiding system inaccuracies, positioning/sensing systems inaccuracies, and inaccuracies due to the failure to measure the fuel assembly when constrained in a rigid fixture as if the fuel assembly was actually positioned within the reactor core. OBJECT OF THE INVENTION It is a general object of the invention to provide a system for measuring nuclear fuel assembly bow and twist which avoids the disadvantages of the prior art teachings while affording greater accuracy and facility of operation. SUMMARY OF THE INVENTION In accordance with one aspect of the invention, an apparatus is provided for measuring dimensional characteristics of a nuclear fuel assembly having at its lower end a lower alignment pin being extending downward from a lower tie plate, the lower alignment pin being positioned and oriented for engaging a corresponding alignment hole in a lower core support plate of a nuclear reactor, the fuel assembly having at its upper end an upper alignment hole located in the upper tie plate, the upper alignment hole being positioned and oriented for receiving an alignment pin extending downward from the underside of an upper core support plate. The apparatus comprises: (a) an elongated fixture defining an internal volume for a nuclear fuel assembly, the fixture mounted in an upright position and having an opening disposed towards an upper end of the fixture, the opening adapted to receive the fuel assembly therethrough, the fixture further including a removable top adapted to fit into the opening and having a locating pin with a longitudinal axis extending from its underside for engaging the upper alignment hole in the upper tie plate of the fuel assembly, the fixture further including at a lower end a bottom reference plate adapted to form a locating hole having a second longitudinal axis for receiving the lower alignment pin of the fuel assembly, the locating pin and the locating hole being adapted to engage the fuel assembly lower alignment pin and the fuel assembly upper alignment hole so as to constrain the fuel assembly as if the fuel assembly was positioned in the reactor, and at least one of the first longitudinal axis and the second longitudinal axis defines a predetermined longitudinal axis of the fixture. The apparatus further includes (b) at least one reference wire extending from the upper end of the fixture to the lower end of the fixture, the at least one reference wire being disposed parallel to the predetermined longitudinal axis of the fixture, and (c) an ultrasonic measuring device comprising: a transponder for (I) producing an ultrasonic signal toward the at least one reference wire and the fuel assembly, and (II) receiving a first reflected wave from the ultrasonic signal reflected from the at least one reference wire back to the transponder and (III) receiving a second reflected wave from the ultrasonic signal reflected from the fuel assembly back to the transponder. The ultrasonic measuring device further includes an ultrasonic flaw detector for receiving a signal from the transponder of the first reflected wave and the second reflected wave where the time difference between the first reflected wave and the second reflected wave is a measure of the distance from the reference wire to the fuel assembly. The apparatus further includes (d) transmission means which transmits from the transponder the signal of the first reflected wave and signal of the second reflected wave to the ultrasonic flaw detector. |
summary | ||
abstract | A shield has a detector installing hole having a central axis orthogonal to a central axis of a detection tube. A radiation sensor of a columnar scintillation detector to which a low concentration side measurement range within all required measurement ranges is allocated is arranged inside the detector installing hole. An ionization chamber to which a high concentration side measurement range is allocated is arranged side by side in parallel with the detection tube. The measurement range of the columnar scintillation detector and the measurement range of the ionization chamber are adjusted so as to be overlapped with each other. |
|
abstract | An electrochemical corrosion potential sensor (ECP sensor) has an electrode cap, an electrode fixing body, a tubular insulator, a tubular metallic housing, and a conductive wire. Both ends of the tubular insulator are connected to the electrode fixing body and the tubular metallic housing respectively. A conductive wire passes through the tubular insulator and the tubular metallic housing, and is connected to an inner surface of the electrode fixing body. The electrode cap 11 disposed to cover an outer surface of the electrode fixing body. The ECP sensor with such a construction can lengthen the lifetime. |
|
abstract | An EMI and RFI shield mounting system includes a compartmented EMI shield constructed of a vacuum metallized thermoform having upright hollow walls separating and surrounding the compartments. The shield conforms to the interior of a housing for electronic equipment, with the upright walls overlying ridges formed in the interior of the housing. A compressible gasket is placed between the ridges of the housing and the inner reaches of the hollow walls of the shield. The free sides of the walls of the shield may be abutted against ground traces on a printed circuit board on which the shield and housing are placed. The gasket urges the metallized free edges of the walls of the shield against the ground trace of the printed circuit board to provide electrical conductivity between the printed circuit board and the shield. Dimples, tabs or protruding punctures may be formed in the free sides of the walls to ensure conductive contact with the ground trace. |
|
summary | ||
summary | ||
abstract | A vehicle diagnostic system monitors user-selected analog diagnostic data that is received from a vehicle to determine whether it meets a user-specified trigger characteristic and records user-selected digital diagnostic data that is received from the vehicle in response to a determination that the trigger characteristic has been met. The user-selected monitored diagnostic data may instead be digital and the user-selected recorded diagnostic data may instead be analog. The user may make both selections from a set of analog and digital diagnostic data types. Other features, objects, benefits, components, methods and variations are also disclosed. |
|
description | The present invention claims all rights of priority to German Patent Application No. 10 2005 026 038.1, filed on Jun. 3, 2005, which is hereby incorporated by reference. The invention concerns a process for the marking of object surfaces. In the state of the art, marking of objects is known in a variety of forms. Known processes (DE 4126626, DE 4407547, DE 19855623) for the marking of glass utilize, for example, the creation of micro-fissures inside the glass, taking advantage of non-linear processes within the focal range of laser beams to which the glass is transparent. Such micro-fissures scatter and absorb light from the visible spectral range and are therefore visible to man. Due to the formation of local cracks, these processes weaken mechanical stability and are for this reason not advantageous, especially with very thin glass. No such mechanical damage occurs with the method of ionic color lettering, by which thanks to a locally circumscribed heating of the glass due to the absorption of laser beams, nano-particles of gold, silver or copper are produced within the glass, lending the glass a red color (from gold and copper) or a yellow color in the case of silver. The drawback of these processes lies in the fact that they can only be employed with glass already embedded with gold, silver or copper ions in the melting process (patent disclosure DE 19841547 A1) or in which in a supplemental stage of the process prior to exposure to laser radiation, Na ions of the glass surface are substituted by way of an ion exchange with silver or copper ions from a molten salt bath in contact with the surface of the glass. In either case, the glass must in addition contain ions which when exposed to thermal action reduce the ionic gold, silver or copper to atoms, before the same are separated as nano-particles on account of their limited solubility in glass. Patent disclosure DE 10119302 A1 describes how the supplemental process prior to exposure to laser radiation can be obviated by placing the portion of the glass surface in need of lettering during the exposure to laser radiation in contact with a silver or copper ion donor medium. In such a case, the processes required for the production of metallic nano-particles lending the color to the glass, the ion exchange and diffusion of silver or copper ions in the glass, their reduction to atoms and aggregation into nano-particles now take place almost simultaneously during the exposure to laser radiation. Patent disclosure DE 102 50 408 A1 suggests coatings as donor media for silver ions, along with their composition, the processes for the production of such coating compositions and the coating process. The compositions described therein contain at least one silver compound soluble in an aqueous and/or organic solvent and at least one bonding agent. The application of the coating and the required rinse upon completion of the laser radiation are still a drawback, though a less weighty one compared to the complete ion exchange process phase with the molten salt bath. Patent DE 101 62 119 describes a process wherein, save for the laser radiation, no other steps are needed to apply a durable marking onto a transparent structure. The marking is spaced away from the surface and consists in the mechanically undamaged material solely of a region with a complex refractive index altered vis-à-vis the original state and detectable by optical processes including the naked eye. The changes in the complex refractive index are produced by non-linear optic effects of excitation in elevated power density ranges within the focus of the laser beam, consisting of ultra-short pulses, using for example a Ti:Saphire laser. The task of the invention is to make available a process for marking any and all object surfaces, including non-transparent ones, placing no further special requirements on the material to be so marked. The task is resolved in that in contact with or spaced away from the object surface a carrier element is arranged featuring on the side facing the object surface at least one metal coating embedded in other coatings, whereby such coatings in particular form a Low-E system of layers, in that a focused laser beam, in particular, is directed onto the system of coatings and that thanks to the radiation of the laser beam, material is deposited from the system of coatings onto the object surface to be marked, bonding there as a matrix with the metal nano-particles, whereby the matrix is formed of the substances originally embedded in the layers of the stratified system, whereby it is not imperative for the matrix to incorporate all substances present in the coatings surrounding at least one metallic layer. In the irradiation of a system of coatings wherein a metallic layer is embedded, a color marking is produced in the stratified layer occasioned by a process in which an aggregation of metallic nano-particles is produced in the system of coatings, embedded in a matrix from the substances surrounding the original metal layer. Apart from this configuration of such a marking by aggregation within the system of coatings, this marking and/or at least a portion of such aggregation can also be transferred upon any other surface at will in contact with the system of coatings or spaced immediately ahead of it. The carrier element may, for example, feature a so-called Low-E coating. Such coatings are ideally suited to the implementation of the process since they feature metal layers embedded in other coatings, whereby the other coatings essentially serve the purpose of protection and suppression of reflections. Suitable for such applications are, for example, tin dioxide, zinc oxide, bismuth dioxide and indium (III) oxide. Accordingly, the matrix materials may be formed from these substances. With an elevated transmission rate in the visible portion of the spectrum within the infrared spectral range, these Low-E coatings and coating systems possess high reflexivity and the correlated low emissivity (Low-E). Therefore, they act as good reflectors of thermal radiation at ambient temperatures, lending glass and transparent polymer foils very good heat insulation, which they would not possess in the absence of such a coating. Typical representatives are layers of In2O3:Sn(ITO) and silver-based multiple coating systems. Coating systems are dominant in the area of architectural glass. In most cases, a silver coating barely 10 nm thick forms a functional base and to preserve the transparency of the glass in the visible spectral range, the silver is made anti-reflective by embedding in oxides highly refractive at these wavelengths. To this end, use is mostly made of tin dioxide, but also of zinc oxide, bismuth dioxide and indium (III) oxide. Beyond that, there is need for so-called blocker coatings to prevent corrosion of the silver layer and in virtually every application, external cover coatings are provided to enhance scratch resistance of the coating system. The system of coatings is vacuum-produced by magnetron sputter, whereby float glass in the format of 3.21 m width by 6 m length is coated on the so-called fire or atmosphere face. Similar coating processes are used for polyethylene terephthalate (PET) foil in thicknesses between 25 and 100 μm and sizes for ex. 2.15 m width by 9 m length. In terms of the Low-E coating as the preferred variant of the invented process, only the Low-E layers must be brought in contact with the substrate or in the immediate proximity thereof, after which the laser beam is directed onto the system of coatings, in particular through the carrier material of the Low-E coating system, as for example float glass or PET foil. In the event that the object to be coated is absorption-free at this wave length of the laser, or sufficiently low in absorption, the laser beam may also be directed onto the Low-E coating system through the object. In a number of applications, it may also be valuable that there is no need for the Low-E system and the substrate to be in direct contact. Even at a distance of 150 μm, the transferred structures exhibit no material loss of sharpness as compared to the “originals” on the Low-E coating system. In this respect, when the contact is direct, there is virtually no detectable difference between the “original” and the transferred structure. A special advantage accrues from the use of the coating system on PET foil carrier material, for example, in thicknesses of just 25 to 100 μm, since these structures can then be transferred even onto warped solid surfaces. The cause for the creation of colored structures on Low-E coating systems goes back to the absorption of laser radiation in at least one metallic coating, for example a silver coating, which is thereby heated to such an extent as to cause a change in the coating system inside the irradiated region. As a result of the alteration, the metal, for example silver, is now embedded in the form of nano-particles in a matrix, created at least in part from the substances originally present in the coating system. Such embedded nano-particles of metal, for example silver, display a color depending on the size, concentration and distribution of the particles as well as the refraction index of the resulting matrix material. In the presence of high particle concentrations, it is also possible to observe a supplemental selective reflection in the visible range of the spectrum. In this case, the cited parameters are all a function of the radiation conditions and the concrete build-up of the coating system. In this manner, the coloring of the irradiated ranges can be varied in transmission between light yellow and dark brown. The reflection effect is dominant when the irradiated areas are observed at an angle, where they gain the appearance of vaporized metal coatings. Up until now, there has been no mention in the literature of metallic nano-particles produced from at least one coherent metal coating, let alone in a single very brief procedural step utilizing laser radiations, nor could the same be anticipated on the basis of known manufacturing processes. What emerges from the process here is that in parallel with the formation of color-yielding particles, the material in the Low-E coating system is “directionally” evaporated, depositing lastingly even upon highly differentiated substrates, such as glass, ceramics or aluminum, a matrix containing metal particles of virtually the same composition as the one retained on the carrier material of the Low-E coating system. The coating thickness of these colored structures or markings depends on the conditions of radiation, lying on the order of 30 nm. Just as in the case of the silver-based Low-E system, it is possible by varying the conditions of radiation to produce on transparent substrates colors ranging from light yellow to dark brown, whereby reflection is again dominant when the irradiated areas are observed at an angle, so that they give the appearance of vaporized metal coatings. In the case of non-transparent substrates, the impression given by colored structures is essentially co-determined by the color and the roughness of the substrate itself. The colored structures imparted by laser radiation on solid surfaces are stable to water, common household chemicals and solvents as well as UV radiation, even after very long exposures, and resistant to temperatures up to 550 deg. C. Above that, color changes set in, without altering the shape of the structures. Thus, in an advantageous embodiment of the invention, the color of a marking transferred onto a marking object and/or its surface, may be changed even after transfer, in that the marking object is subjected to a temperature treatment. In a preferred embodiment, a beam having a Gaussian intensity profile of a pulsed Nd:YAG laser is focused onto a Low-E system of coatings in immediate contact with a solid surface. With even just one single pulse of 200 ns duration and an energy of 0.4 mJ it is possible to produce a colored circular surface (pixel), in particular one delimited by a circle of a color shade deviating from the one of the surface. Its diameter may be varied for example from less than 10 μm up to 100 μm depending on the degree of focusing of the laser beam. The color may be changed by the effect of repeated further pulses upon the same surface. By way of their relative movement between the laser beam and the coating system and/or object, the colored pixels may be composed at will into markings, lettering, decorative structures and half-tone images, whereby these structures may also be color-structured within themselves. If surfaces are composed of individual pixels of a macroscopically uniform appearance, it is possible to vary the appearance by a reciprocally variable arrangement of the pixels. The color impression made by a surface composed of non-overlapping pixels is different from the one created by overlapping pixels. Similarly, it is possible to construct surfaces of a macroscopically uniform appearance from lines of a greater or lesser degree of overlap and thereby a different appearance. The microscopically observable color of the lines is in turn affected by the degree of the pixel overlap, in other words the relative velocity between the solid surface and the laser beam, as well as the pulse frequency and most substantially the intensity of the beam. There are coating systems of a composition very similar to that of the silver-based Low-E coating systems, but not uniformly named as such, even though they do feature a very high reflection in the infrared spectral range. They are more or less strongly tinted and are not primarily designed for thermal insulation, but rather as a sun screen and are for this reason often given different designations. For example, the firm of Southwall Europe markets such stronger-tinted coating systems on PET foil, calling them for example Solar-Control Foil Products. Such coating systems and/or the carrier elements containing the same may also be used for color structuring of solid surfaces. Basically, it should be noted that the use of Low-E coating systems on suitable carriers such as foil or glass constitutes a possibility within the framework of the invented process, in that such coating systems are readily available in commerce. Nevertheless, the usable coating systems for the invention, particularly on rigid or flexible carrier elements, are those which feature at least one metal layer embedded in additional coatings, such as for example tin dioxide, zinc oxide, bismuth oxide etc. out of which the matrix material is then constructed under laser beam treatment, wherein it is also possible to make provision for several coatings of different metals within a coating system, lending themselves in particular to additional color shades. Thus, it is possible especially for color structuring to provide foil or glass with coating systems containing metal layers other than silver, for example copper layers, which on a par with gold coatings are capable of producing a red tint on the surface, for example of transparent solids. An exemplified embodiment of the invention is illustrated in the following FIG. 1a. FIG. 1a shows a glass 1 to be provided with a marking, upon which there is arranged a carrier element 2, for example a foil bearing on the side facing the glass a coating system 3, featuring at least one metal layer. Concretely, what is involved is a Low-E coating system with a silver layer. The laser beam 4 is directed through the carrier element onto the coating system where it is absorbed in the silver layer, creating silver nano-particles embedded into a matrix formed of the remaining coating materials. Along with the formation of such an aggregation in the coating system, at least a portion of the aggregation is transferred by laser radiation onto the glass surface to be marked. Materials or objects suitable for marking are in particular, for example, aluminum objects, ceramics, and curvilinear objects as for example glass ampoules. Additional examples of embodiment, essentially related to just one carrier with a Low-E coating system, are illustrated in additional FIGS. 1 to 5. The further data given therein are also applicable to markings obtained when the marking transfer is effected from such a coating system onto any surface at will according to the invention. In the initial exemplified embodiment, a Low-E coating system is utilized as the starting material applied onto the atmospheric side of a 4 mm thick float glass plate. Starting from the glass surface, there follow in sequence the materials listed hereunder, the number in parentheses being the thickness of the coating expressed in mm: SnO2 (30), ZnO (2), Ag (13), TiO2 (2.6), SnO2 (40). Focused upon the coating system was a laser beam of the wavelength of 1064 nm of a Q-switched Nd:YAG laser, to which end the original beam having a diameter of 1 mm and a Gaussian intensity profile traversed in sequence a 1:4 beam expander and a convergent lens of a focal length of 30 mm. In this manner, distinctly separate sites were exposed to a single pulse of a duration of 200 ns and energy varying between 0.3 mJ and 12 mJ. As a result, pixels were formed with a diameter of some 100 μm. FIG. 1 represents the microscopically measured spectrum photometer optical density in the center of the pixel as a function of the wavelength, whereby the consecutive numeration of the curves corresponds to the heightened energy of the individual pulses. According to the invention, pixels possessing these characteristics may be transferred onto any surface at will. In another exemplified embodiment, a color pixel was produced on a Low-E plate of the type described in Example 1, as explained in Exemplified embodiment 1, by means of a single pulse. Thereafter the plate was exposed to heat treatment of the duration of one hour at 600° C. Thereby the pixel undergoes a color change documented in FIG. 2 by the microscopically measured spectral photometric optical density at the center of the pixel before (Curve 1) and after (Curve 2) heat treatment. Such a color change also occurs with a pixel transferred according to the invention to any surface at will, when such a surface is subsequently heat-treated as described. According to the third exemplified embodiment, colored surfaces were produced of non-overlapping parallel lines onto the Low-E coating system described in Example 1 by the laser similarly described in the same example. With the laser held fixed, the lines were produced by the movement of the coating system along the focal plane at a speed of 2 mm/s and a pulse frequency of 1 kHz. In this instance, different from the first example, for the focusing of the laser beam a lens was used with a focal length of 70 mm. FIG. 3 illustrates a choice of optical densities measured on different surfaces as a function of the wavelength, whereby the sequential numbering of the curves again reflects the heightened energy of the pulses, varying between 0.3 mJ and 12 mJ. The dotted Curve a was measured in the untreated coating system. FIG. 4 represents an example of the wavelength dependence of the degree of reflection of one of the colored surfaces (Curve 1) along with the one of the untreated coating system (Curve 2). The measurement was effected with light impacting the coated side of the glass at an angle below 6°, meaning a virtually perpendicular incidence. These properties apply also to the transfer of pixels. The base material for the fourth exemplified embodiment is a commercial Low-E plastic foil (PET) of the type of Heat Mirror® HM 55 of the firm of Southwall Europe GmbH, wherein the functional silver coating is embedded in indium (III) oxide for the purpose of eliminating reflections in the visible spectral range. The coating system was in contact with the surface of a conventional object carrier for microscopy and was outfitted with a commercial laser lettering device StarMark® SMC 65 (Rofin Co., Baasel Laseertech) with a lamp-pumped Nd:YAG laser rated at 65 W as the source of the beam, with a ten-digit 600 dpi resolution within 12s. The individual readings have a magnitude of 5.2 mm and a graduation of 0.6 mm. FIG. 5 shows the optical density of a number transferred as a numeral onto the object carrier and measured with a microscopic spectral photometer as a function of the wavelength. |
|
042971705 | summary | The present invention relates to a device for transversely holding the fuel rods of a nuclear reactor assembly. In a nuclear reactor assembly, the fuel bars, or rods, are arranged parallel to one another in the form of a bundle, within which they are held in a uniform arrangement in order to permit the passage of cooling fluid. In operation, the fuel rods are subjected to vibrations due to the flow of the coolant, to thermal expansion and to swelling due to irradiation. The fuel rods are held in uniform bundles by means of a number of devices providing transverse support, which devices consist of cross-pieces and are produced in the form of grids spaced more or less uniformly along the bundle. The main functions which a grid of this kind must fulfill are: to hold the fuel rods in a precise and uniform transverse network, even under the action of transverse forces, to permit the axial lengthening of the rods, and to hold the rods with a sufficient transverse force to restrict the vibrations of the rods under the effect of the coolant which flows around the rods. Additionally, the grids must oppose flow of the coolant with minimum resistance and, in order to do this, they must present a minimum cross-section in the direction of flow of the coolant. Furthermore, in order to disturb the neutron flux as little as possible, it is necessary to avoid the use of materials with high neutron absorption in the construction of the grids. For this purpose, grids have been envisaged, for holding the fuel rods in nuclear reactor assemblies, comprising pieces for holding or steadying the fuel bars, these pieces being added inside the cells of the grid or cut out of the material constituting the walls of the cells of the grid. The use of different metals for forming the frame of the grid and elements for elastically holding the fuel rods has also been envisaged. However, these devices are of complicated construction and do not make it possible to exert transverse forces, of exactly controlled magnitude, on the rods in order to restrict the vibrations of the rods. According to the invention there is provided a device for transversely holding the fuel rods of a bundle of fuel rods for a nuclear reactor assembly, which rods are arranged parallel to one another, in a uniform arrangement which comprises support means for exerting forces on the rods in transverse directions to restrict vibrations thereof, while permitting axial lengthening of the rods, said support means comprising three subunits comprising grids arranged adjacent one another in the longitudinal direction of the assembly, said subunits comprising: two end grids each formed by small metal plates which are assembled in a uniform network delimiting cells inside which the rods pass, and whose side walls, parallel to the longitudinal axis of the assembly, are formed by said small metal plates arranged transversely, relative to the assembly, so that their width constitutes the thickness of said grid in the longitudinal direction, said end grids being identical and made integral with one another with a longitudinal spacing along the fuel rods, and an intermediate grid comprising small, elastic metal plates, the width of which is less than the width of said plates of said end grids, and which are assembled in a uniform network delimiting cells inside which the rods pass and arranged between said end grids in said longitudinal space provided therebetween, in a staggered manner in a transverse direction relative to said end grids, so that said elastic walls of the cells of said intermediate grid exert on the fuel rods transverse forces which press the rods against some of said walls of said cells of said end grids, said intermediate grid resting on at least one of said end grids. In order to provide a clear understanding of the invention, an embodiment of a device according to the invention will now be described, by way of example only, with reference to the accompanying drawings. |
summary | ||
052992527 | summary | BACKGROUND OF THE INVENTION The present invention relates to a film thickness measuring apparatus utilizing fluorescent X-rays. In order to measure the thickness of a minute area using fluorescent X-rays, collimators have been used as means for illuminating a minute area of an object with primary X-rays for excitation. Among various kinds of collimators, a suitable one is selected according to the size of the object to be measured. Collimators are made generally in a circular or rectangular form. However since a collimator with a small aperture cannot pass an X-ray beam of sufficient intensity, a rectangular collimator has been used in order to obtain higher X-ray intensity for an elongated measuring portion. When a rectangular collimator is used for a measurement, a sample object is arranged so as to orient perfectly a long and narrow portion to be measured with the long dimension of the collimator aperture. A prior art rectangular collimator can obtain stronger X-ray strength. However there has been a disadvantage in that the workability is very bad in actual measurement. For example, a sample must be arranged in such a manner that a longer side of its measuring portion is matched perfectly with the long side of the collimator aperture, or if a sample has measuring portions oriented in different longer directions, a procedure must be repeated to match rotatably the longer side of a measuring portion with the long side of the collimator aperture. SUMMARY OF THE INVENTION It is an object of the present invention to overcome the above conventionally inferior workability and to provide a fluorescent X-ray film thickness measuring apparatus which is capable of easily positioning a measuring portion with its longer direction having any orientation. In order to solve the above problem, a fluorescent X-ray film thickness measuring apparatus according to the present invention can freely rotate a rectangular type primary X-ray collimator through 180.degree. around the axis thereof and stop it at a desired angle, whereby its oriented direction can be confirmed by a sample observing device. |
054323533 | description | DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT A nuclear gauge 7, as shown in FIG. 2, generally includes a housing enclosure 20 and a wheel-like member 10. The wheel-like member is mounted on a rotary shaft 13 for rotation within a cylindrical cavity 15 in the enclosure 20. Further, the nuclear gauge 7 includes a capsule 28 which is carried by the wheel-like member 10. Still further, the nuclear gauge includes an aperture 16 which is formed through the enclosure 20 to intersect the cylindrical cavity 15. The aperture 16, as will be explained further below, provides a window through which the capsule 28 can emit radiation onto a web that travels past the window. In practice, the housing enclosure 20 is made from a material having a high atomic number, typically a tungsten alloy. Also in practice, the capsule 28 contains a nuclear source, such as radioactive krypton gas. As further shown in FIG. 2, the rotary shaft 13 extends axially through the cylindrical cavity 15. One end of the rotary shaft 13 is shaped to be driven by a rotary actuator such as a pneumatic actuator 71. In use of such an actuator, the air release rate can be controlled by, for example, adjusting the size of the release vent. (This is important for driving the wheel-like member 10 smoothly between its terminal positions.) The other end 31 of the rotary shaft 13 is supported by bearings in a closure member 24. The closure member 24, as its name implies, serves as a closure across one end of the cylindrical cavity 15. The other end of the cylindrical cavity is closed by a rear wall of the enclosure 20. Still further with regard to FIG. 2, it should be noted that the outer diameter of the wheel-like member 10 closely approximates the inside diameter of the cylindrical cavity 15. Accordingly, as the wheel-like member is rotated, the spacing between the periphery of the wheel-like member 10 and the interior wall of the cylindrical cavity 15 remains essentially constant (and small), thereby reducing the quantity of stray radiation which is emitted from the nuclear gauge 7. As also shown in FIG. 2, the rotary shaft 13 has an end 31 for connecting to a coil spring member (not shown) whose other end is seated in a cover member 37. The cover, in turn, is fixed to the closure member 24. The function of the coil spring member is to reduce backlash of the wheel-like member 10 at its terminal position. The reduction of backlash is important for assuring that the wheel-like member 10 is accurately positioned at its terminal position. The spring member also acts as a fail-safe means for rotating the wheel-like member to the closed position in the event of power failure. Referring now to FIG. 2A, it can be seen that the rotary shaft 13 also has a medial section 33. As shown, the medial section 33 has a shape that keys into an axial bore 34 which is formed through the wheel-like member 10; as a result, the wheel-like member 10 rotates with the rotary shaft. The medial section also has a radial flange 32 and a spring member 39 which seats against the flange. The function of the spring member 39 is to pre-load the bearings which journal the rotary shaft 13 and thereby to assist in preventing wobbling of the wheel-like member as the nuclear gauge is carried to scan across a web of sheet material. The capsule 28, as also shown in FIG. 2A, is connected to the wheel-like member 10 by means of a cradle member 29. In the illustrated embodiment, the cradle member has an arcuate periphery 30 of generally the same radius as the wheel-like member 10. The cradle member is secured to the wheel-like member 10 as by threaded members 50. With the cradle member so secured, the overall cross-sectional profile of the wheel-like member is generally circular. This profile, as mentioned above, is important for maintaining constant spacing between the periphery of the wheel-like member 10 and the interior wall of the cylindrical cavity 15. As still further shown in FIG. 2A, the capsule 28 has a generally cylindrical body 41 and a radially-extending flange 44. The body 41 is accepted within a circular recess 43 which is formed in the cradle member 29. A circular opening 40 is formed centrally of the recess 43. It may be noted that the centerline of the recess 43 and the centerline of the opening 40 are generally aligned with a radius of the wheel-like member 10. In the illustrated embodiment, the radially-extending flange 44 is secured to the cradle member 29 as by screw members 48. FIG. 3 shows the nuclear gauge 7 positioned for making measurements of a web 11 of sheet material traveling past the gauge. More particularly, the nuclear gauge 7 is fixed to a head 9 such that the aperture 16 directly faces the traveling web 11. Then, for making measurements of the web, the wheel-like member 10 is angularly positioned so that the capsule 28 is aligned with the aperture 16. (More particularly, the opening 40 in the cradle member 29 is aligned with the aperture 16.) With the capsule 28 so located, the aperture 16 serves as a window through which the capsule emits radiation onto the web 11. A radiation detector, generally indicated by block 35, is located on the opposite side of the web 11 for detecting the quantity of radiation that passes through the web 11. With regard to FIG. 3, it should be noted that the air column between the capsule 28 and the web 11 is relatively thin. (In the drawing, the air column is indicated by the dimension "a".) This dimension is important because it has been found that disturbances in the air column can affect measurements that are made by the nuclear gauge. For example, disturbances to the air column arising from environmental factors, such as temperature changes and barometric pressure changes, can alter measurements that are made by the nuclear gauge. Thus, by minimizing the length of the air column, the effect of the environmental changes is reduced. In operation of the above-described nuclear gauge 7, the wheel-like member 10 is selectively rotated to carry the capsule 28 between two angularly-displaced positions within the cavity 15. The two positions are referred to herein as the open and closed positions, respectively. In the open position, as shown in FIGS. 3 and 4, the capsule 28 is positioned in alignment with the aperture 16 and, therefore, is positioned for making measurements of a web that travels past the gauge. In the closed position, as shown in FIG. 5, the wheel-like member 10 is rotated to a position where the capsule 28 faces the sidewall of the cylindrical cavity 15 at a location remote from the aperture 16. Thus, in the closed position, the sidewall of the cavity 15 functions to reduce radiation emissions from the nuclear gauge. This is one of the reasons that the enclosure 20 is made from a material having a high atomic number. Normally, the wheel-like member 10 is rotated to the closed position when measurements are not being made--e.g., during storage. The open and closed positions normally are angularly displaced from each other by about ninety degrees. As mentioned above, the nuclear gauge 7 is designed such that the air column distance (designated as dimension "a" in FIG. 3) between the capsule 28 and the web 11 is relatively small. It was also mentioned that this was done for purposes of reducing the probability that disturbances in the air column will affect measurements that are made by the nuclear gauge. In the illustrated embodiment, this is accomplished by mounting the wheel-like member 10 at an off-center or "eccentric" position relative to the housing enclosure 20 such that the housing wall adjacent the periphery of the member 10 in the open position is relatively thin whereas the housing wall adjacent the periphery of the member 10 in the closed position is relatively thick. Not only does the above-discussed positioning of the wheel-like member 10 at an off-center position relative to the housing enclosure 20 reduce the air column length, but such positioning also maintains a relatively small diameter beam at the point where the beam strikes the surface of the sheet to be measured. That is, the beam spot size is kept relatively small at the point of incidence on the sheet. This is important for making efficient use of the particles in the beam in the sense of maximizing the signal-to-noise ratio of measurements made with the beam. As also shown in FIG. 5, a plug 42 of material having a low atomic number is mounted in an aperture 45 in the sidewall of the cavity 15. The aperture 45 is in alignment with the capsule 28 at the closed position of the wheel-like member 10. One purpose of the plug 42 is to absorb beta rays that are emitted from the capsule 28 which might otherwise scatter off the tungsten housing and escape as stray radiation from the gauge 7. Another purpose of the plug 42 is to reduce bremsstrahlung, or secondary radiation, which is generated when the beta ray emissions encounter the tungsten housing. In practice, the plug 42 is formed from aluminum, beryllium, carbon or other low atomic number materials. In practice, the nuclear gauge 7 is designed such that the plug 42 cannot be removed easily from the aperture 45. To this end, as shown in FIG. 5, a retainer plug 47 is mounted in aperture 45, and a retainer bar 46 is mounted to the exterior of the enclosure 20 for blocking the open end of the aperture 45. Accordingly, the plug 42 cannot be removed from the aperture 45 unless first, the retainer plug 47 is removed, and then, the retainer bar 46 is detached from the enclosure 20. In practice, the retainer bar 46 is fastened to the head by a means that discourages unauthorized disassembly. In the drawings, it can be seen that the wheel-like member 10 has a generally V-shaped slot formed in its periphery. The two faces of the V-shaped slot are referred to herein as stop faces 51 and 53, respectively. An adjustable stop member 58 is mounted within a threaded aperture 57 in stop face 51. The stop member 58 normally is made of hardened steel or a similar wear-resistant material. Referring again to FIG. 4, it can be seen that a stop pin member 59 is mounted in the enclosure 20 such that its end abuts the adjustable stop member 58 in stop face 51 when the wheel-like member 10 is at its open position. The stop pin member normally is fixed (i.e., stationary). Thus, by threading the stop member 58 into, or out of, the threaded aperture 57, the stopping position of the wheel-like member 10 at its open position can be adjusted. Similarly, as shown in FIG. 5, a stop member 63 is mounted in enclosure 20 to abut stop face 53. The function of the stop member 63 is to abut the stop face 53 when the wheel-like member 10 is rotated to its closed position. Preferably, the stop member 63 is located such that it cannot be adjusted without first removing a retainer bar 67. This is done to discourage adjustments that might cause misalignment of the source in the closed position. The retainer bar 67 is attached to enclosure 20 by a bolt or similar means. A fire pin, generally designated by the number 80 will be described in connection with FIGS. 6 and 7. In particular, FIG. 6 shows the fire pin 80 in its ready position, and FIG. 7 shows the pin in its released position. The fire pin 80 is so named because, in the event of a fire or excessive heat in the vicinity of the nuclear gauge, the fire pin operates to force the wheel-like member 10 to rotate to its closed position. The fire pin 80, as best shown in FIG. 6A, includes a pin member 81 which is biased by a spring 84 and attached within a housing 82 by a means 83 that has a low-temperature melting point. For example, the meltable means 83 can be solder. The fire pin 80 is attached to the enclosure 20 at a location such that, in its ready position, the end of the pin member 81 is positioned adjacent the face 51 of the V-shaped slot. Thus, in the event of excessive heat, the meltable means 83 releases the pin member, allowing that member to extend under the urging of the spring 84. As shown in FIG. 7, as the spring-loaded member extends, its pressure against the face 51 of wheel-like member 10 forces the wheel-like member to rotate to its closed position. Thus, in the event of excessive heat, the spring-loaded member forces the wheel-like member to rotate to a position whereat the radiation from the capsule 28 is shielded by the body of the enclosure 20, thereby reducing the chance that radiation will be emitted from the nuclear gauge in a harmful manner. As shown in FIG. 8, step 91 is formed in the mounting face of the closure member 24. The step 91 functions to prevent the closure member 24 from being moved from side to side. The foregoing has described the principles, preferred embodiment and modes of operation of the present invention. However, the invention should not be construed as being limited to the particular embodiment discussed. Instead, the above described embodiment should be regarded as being illustrative rather than restrictive, and it should be appreciated that variations may be made in the embodiment by workers skilled in the art without departing from the scope of the present invention as defined by the following claims. |
description | This a Divisional application of U.S. application Ser. No. 11/466,500 filed Aug. 23, 2006, which claims priority from Korean Patent Application No. 10-2006-0054405, filed Jun. 16, 2006, in the Korean Intellectual Property Office, the entire contents of which is incorporated herein by reference. 1. Field of the Invention The present invention relates to an apparatus for and method of performing an automatic cleaning of nuclear fuel-cladding tubes, more specifically to an apparatus for and a method of automatically cleaning nuclear fuel-cladding tubes, in which a cleaning material is automatically prepared and sprayed to efficiently clean the inside of the nuclear fuel-cladding tube. 2. Background of the Related Art Nuclear fuel is manufactured under high temperature and pressure conditions using uranium compounds. The nuclear fuel is enclosed by aluminum or magnesium cladding such nuclear waste generated from nuclear fission is prevented from being mixed with coolant and leaked to the outside. In case of a PWR (pressurized water reactor), a low-enriched uranium dioxide powder is compacted and sintered into cylindrical yellowish brown pallets, which are stacked one on another inside a thin tube made of zircaloy (Zr—Sn alloy containing a light amount of Cr and Fe) and both ends of the tube are sealed. This metallic tube containing fuel pallets stacked thereinside is called a “fuel rod”, and the tube is called a fuel cladding tube. The fuel rod is loaded into a structure to form a fuel bundle assembly. In case of the fuel for the Korean Standard Nuclear Power Pant, about 177 fuel bundle assemblies are loaded into the reactor and used for around 4 years. This fuel rod is a core component of the nuclear fuel, and the cladding tube for this fuel rod must be treated and maintained under very clean environment. However, before nuclear fuel pellets are put into the cladding tube, the cladding tube may contain dust, stain, moisture or the like thereinside. In this case, these may affect operation of the nuclear reactor. In particular, in case of moisture, it is decomposed into hydrogen, which may affect the nuclear fission reaction, and thus the moisture must be removed. Therefore, a cladding tube cleaning must be performed during the manufacturing process for nuclear fuel rods. In the conventional cleaning process, an appropriate amount of cladding tubes are put on a working station and a worker inserts manually a wool plug wet with ethyl alcohol into the inside of a cladding tube using tweezers and moves up and down the plug. Alternatively, an air gun is used to blow a compressed air to carry out a primary internal cleaning, and then a dry sponge of hexagonal shape is inserted and manually moved back and forth or a compressed air is blown using an air gun to thereby perform a secondary internal cleaning. In addition, even after the cladding tube cleaning is completed, if a cleaning material remains inside the cladding tube, an accident may be caused. Thus, a visual inspection must be required for the cleaned cleaning tube. On the other hand, Korean Patent No. 10-0446351 discloses an apparatus for cleaning a cladding tube after use. In this patent, a rotating brush is inserted into the inside of a cladding tube to clean the inside thereof. However, the above technology is not directed to cleaning a cladding tube before use. In addition, this technology is not automated and thus cannot perform a rapid cleaning of a large amount of cladding tubes. Considering the productivity of nuclear fuel, as many as about 1200 cladding tubes must be cleaned a day. Therefore, in case where a cleaning material is manually pushed into the inside of a cladding tube and an air gun is used to clean the tube, a large quantity of time is required to thereby unable to clean a massive amount of tube and degrade the productivity, and also cause a risk of occurrence of musculoskeletal disease due to the repeated manual work. The above conventional method of manually cleaning a cladding tube embraces many disadvantages and problems as follows. Firstly, during the process, a cleaning material charge and discharge consumes a lot of time to thereby extend the process time and degrade the efficiency and productivity. Secondly, the tube inspection process after cleaning must be performed one by one for every single cladding tube. Thirdly, the cladding tube must be manually transferred to each working place and the above jobs all must be manually carried out, thereby leading to excessive use of muscles and joints of workers, which may cause diseases in the musculoskeletal systems. Therefore, the present invention has been made in order to solve the above problems in the conventional fuel-cladding tube cleaning process, i.e., a decrease in productivity and diseases in the musculoskeletal systems due to manual operation, and it is an object of the present invention to provide an apparatus for and a method of automatically cleaning nuclear fuel-cladding tubes, in which a cleaning material is prepared, automatically injected into and discharged from the fuel-cladding tube, thereby significantly reducing the work time and providing an automation of cleaning. Another object of the invention is to provide an apparatus for and a method of automatically cleaning nuclear fuel-cladding tubes, in which the cleaned fuel-cladding tube is automatically inspected, thereby significantly reducing the time required for inspection of tubes and maintaining the degree of cleaning to above a certain level. Still another object of the invention is to provide an apparatus for and a method of automatically cleaning nuclear fuel-cladding tubes, in which the nuclear fuel-cladding tubes are automatically transferred during the cleaning process thereof, thereby preventing diseases in the musculoskeletal systems due to manual operation. Yet another object of the invention is to provide an apparatus for and a method of automatically cleaning nuclear fuel-cladding tubes, in which multiple processes can be carried out in a single step. To accomplish the above object, according to one aspect of the present invention, there is provided an apparatus for performing an automated cleaning of nuclear fuel cladding-tubes, the apparatus comprising: a pre-cleaning holding section disposed at one side of a transferring section, the pre-cleaning holding section being composed of a cladding-tube guide where the cladding-tube is loaded before cleaning and waits for cleaning; a primary cleaning-material supplying section and a primary cleaning section disposed at a front side of the transferring section; a secondary cleaning section and a secondary cleaning material supplying section disposed at a front side of the transferring section and at a lateral side of the primary cleaning section; an inspection section disposed at a front side of the transferring section and a lateral side of the secondary cleaning section; and a post-cleaning holding section disposed at the other side of the transferring section, the post-cleaning holding section being composed of a cladding-tube guide where the cladding tube is loaded after cleaning and waits for unloading, wherein the pre-cleaning holding section, the primary cleaning-material supplying section, the primary cleaning section, the secondary cleaning section, the secondary cleaning material supplying section, the inspection section, and the post-cleaning holding section disposed are arranged in the described order, and a primary cleaning material supply section is separately connected to and disposed at a lateral side of the primary cleaning section and a secondary cleaning material supplying section is separately connected to and disposed at a lateral side of the secondary cleaning section. The primary cleaning section and the primary cleaning material supplying section includes: a cleaning material supplying section having a cleaning material automatic supplying device for automatically aligning and supplying a cleaning material, a cleaning material transferring device connected to the cleaning material automatic supplying device at its terminal end and transferring a cleaning material into a fixed frame of a cleaning section, and a cleaning solution supplying device for spraying a cleaning material combined with the upper side of the fixed frame connected with an end portion of the transferring device; and the cleaning section having an air gun for ejecting a cleaning material by blasting pneumatic pressure from a nozzle, a pneumatic cylinder combined integrally with the air gun and supplying air pressure to the air gun, a pneumatic cylinder combined with the air gun at a lateral side thereof and for advancing and back-warding the air gun, and a fixed frame facing the terminal end of the air gun and having an accommodation space for receiving a cleaning material and a cladding-tube. The secondary cleaning section and the secondary cleaning material supplying section includes: a secondary cleaning material supplying section having a cleaning material automatic supplying device for automatically aligning and supplying a cleaning material, a cleaning material transferring device connected to the cleaning material automatic supplying device at its terminal end and transferring a cleaning material into a cleaning section; and a secondary cleaning section having an air gun for ejecting a cleaning material by blasting pneumatic pressure from a nozzle, a pneumatic cylinder combined integrally with the air gun and supplying air pressure to the air gun, a pneumatic cylinder combined with the air gun at a lateral side thereof and for advancing and back-warding the air gun, and a fixed frame facing the terminal end of the air gun and having an accommodation space for receiving a cleaning material and a cladding-tube. The inspection includes a stopper for fixing a cladding-tube so as to be inspected by an optical sensor, and an optical sensor disposed at a lateral side of the cleaning section and inspecting whether a remaining cleaning material exists. The inspection section interrupts operation of the cladding-tube automatic cleaning apparatus if a cleaning material remains inside of the inspected cladding tube, and transfers the cladding tube to the next process if no cleaning material remains inside of the cladding tube. The transferring section includes a lateral transferring means in charge of lateral transferring of a cladding-tube and an axial transferring means in charge of axial transferring of a cladding-tube; the lateral transferring means includes a cam device having a cam for moving a cladding-tube to a next process one by one and a follower interlocked with a motor and the cam, and a tube guide disposed in a stepped fashion in an advancing direction of cladding tube, the tube guide being made of a plurality of parallel plates inclined in one direction and serving as a path of the cladding tube; the axial transferring means including a gripper for holding a cladding tube and forwarding and back-warding to a cleaning device and an inspection device, a pneumatic cylinder for forwarding and back-warding the gripper, and a pneumatic cylinder allowing the gripper to hold the cladding tube; the lateral transferring means is disposed respectively between the pre-cleaning holding section and the primary cleaning section, the primary cleaning section and the secondary cleaning section, the secondary cleaning section and the inspection section, and the inspection section and the post-cleaning holding section; and the axial transferring means is disposed so as to face the primary cleaning section and the secondary cleaning section. According to another aspect of the invention, there is provided an apparatus for performing an automated cleaning of nuclear fuel cladding-tubes, the apparatus comprising: a cleaning material supplying section having a cleaning material automatic supplying device for automatically aligning and supplying a cleaning material, a cleaning material transferring device connected to the cleaning material automatic supplying device at its terminal end and transferring a cleaning material into a fixed frame of a cleaning section, and a cleaning solution supplying device for spraying a cleaning material combined with the upper side of the fixed frame connected with an end portion of the transferring device; and the cleaning section having an air gun for ejecting a cleaning material by blasting pneumatic pressure from a nozzle, a pneumatic cylinder combined integrally with the air gun and supplying air pressure to the air gun, a pneumatic cylinder combined with the air gun at a lateral side thereof and for advancing and back-warding the air gun, and a fixed frame facing the terminal end of the air gun and having an accommodation space for receiving a cleaning material and a cladding-tube. The apparatus may further comprise a controller disposed between the above elements and controlling the respective elements, the controller including a sensor for detecting approaching of a cladding tube when transferring the cladding tube and sending a signal, and a PLC equipped with a control program. In the apparatus, a cylindrical cleaning material made of polyurethane is used. The cleaning material automatic supply device includes a storage container, a piezoelectric element attached to the bottom of the storage container, an aligning passageway formed in an inclined spiral form along the inner wall face of the storage container, and an one-line supply device connected with the aligning passageway to supply in one row a cleaning material coming from the storage container. The cleaning material transferring device includes: an advancer connected with an end portion of the cleaning material automatic supplying device and having an internal accommodation space for transferring an ink foam one by one into an injection position; and a pneumatic cylinder connected to rear end of the advancer to forwarding and back-warding the advancer. The cleaning solution supplying device includes a cleaning solution storage barrel, a flow tube connected to the lower portion of the cleaning solution storage barrel to spray cleaning solution from the storage barrel to a cleaning material, and a control valve installed in the intermediate of the flow tube and for controlling the amount of cleaning solution supplied. According to another aspect of the invention, there is provided a method of automatically cleaning nuclear fuel cladding-tubes, the method comprising: a cleaning material preparation process being carried out in a cleaning material supplying section where a cleaning material is automatically aligned and transferred; and a cleaning process being carried out in a cleaning section where the automatically transferred cleaning material is moved into a cleaning position and the cleaning material is ejected by means of an air gun to clean a cladding tube, wherein the cleaning material preparation process and the cleaning process are performed in the described order. The method may further comprise an inspection process for inspecting the cleaned state of cladding tube and whether a cleaning material remains in the cladding tube, the inspection process being carried out after the cladding-tube cleaning process. The cleaning material preparation process and the cleaning process include respectively a primary cleaning material preparation process and a secondary cleaning material preparation process, and a primary cleaning process and a secondary cleaning process, wherein the primary cleaning material preparation process, the primary cleaning process, the secondary cleaning material preparation process, and the secondary cleaning process are carried out in sequence. The primary cleaning material preparation process includes the steps of aligning ink foam, transferring the ink foam into a cleaning solution supplying device, and soaking the ink foam into the cleaning solution to prepare a wet ink foam; and the secondary cleaning material preparation process includes the steps of aligning ink foam, transferring the ink foam into a cleaning solution supplying device, and not soaking the ink form into the cleaning solution to prepare a dry ink foam. The inspection process includes the step of transferring the cladding tube to next process if no cleaning material remains inside of the cladding tube, and if a cleaning material remains inside of the cladding tube, interrupting the operation of the cladding-tube automatic cleaning apparatus, removing the remaining cleaning material, and then re-starting the apparatus to transfer the cladding tube to next process. 500: Pre-cleaning holding section 100: Primary cleaning material supplying section 200: Primary cleaning section 420: Axial transferring means 150: Secondary cleaning material supplying section 250: Secondary cleaning section 410: Lateral transferring means 300: Inspection section 600: Post-cleaning holding section Hereinafter, an embodiment of the present invention will be described in detail with reference to the accompanying drawings. FIGS. 1-5 show the construction of an apparatus of automatically cleaning nuclear fuel-cladding tubes according to an embodiment of the invention. The automated cleaning apparatus of this embodiment includes a pre-cleaning holding section 500 disposed at one side of a transferring section and made of a cladding-tube guide 414. Before cleaning, the cladding-tubes are loaded in the pre-cleaning holding section 500 and wait cleaning. The apparatus includes a primary cleaning section 200 disposed at the front side of the transferring section and a secondary cleaning section 250 disposed at the front side of the transferring section and also at the lateral side of the primary cleaning section 200, and an inspecting section 300 disposed at the front side of the transferring section and also at the lateral side of the secondary cleaning section. The apparatus includes a post-cleaning holding section 600 disposed at the other side of the transferring section and made of a cladding-tube guide 414. After cleaning, the cladding-tubes are loaded into the post-cleaning holding section 600 and wait unloading. In the apparatus of this embodiment, the pre-cleaning holding section 500, the primary cleaning section 200, the secondary cleaning section 250, the inspecting section 300, and the post-cleaning holding section 600 are arranged in the described order. In addition, separately from the above arrangement, a primary cleaning material supplying section 100 is disposed at the lateral side of the primary cleaning section 200, and a secondary cleaning material supplying section 150 is disposed at the lateral side of the secondary cleaning section 250. In particular, the cleaning material supply section 100 includes a cleaning material automatic supplying device 110 for automatically aligning and supplying a cleaning material 10, a cleaning-material transferring device 120 connected with the cleaning material automatic supplying device at the end portion thereof to transfer the cleaning material into the fixed frame 204 of the cleaning section 200, and a cleaning solution supplying device 130 for spraying a cleaning solution to the cleaning material. The cleaning section 200 includes an air gun 201 ejecting a cleaning material by pneumatic pressure from a nozzle, a pneumatic cylinder 202 supplying pneumatic pressure to the air gun, a pneumatic cylinder 203 for forwarding and back-warding the air gun, and a fixed frame 204 having an accommodation space 208, 209 for receiving a cleaning material and cladding-tubes. In addition, the automated cleaning apparatus of the invention further includes a control section, which includes a sensor for detecting approaching of a cladding-tube when transferring the cladding-tube and sending a signal, and a PLC embedded with a control program. Referring to FIGS. 2a to 2e, the cleaning-material supplying section 100 is configured to automatically supply a cleaning material 10, which is used in cleaning of a cladding-tube. The cleaning-material supplying section 100 includes a cleaning-material automatic supplying device 110, a cleaning-material transferring device 120, and a cleaning solution supplying device 130. In FIGS. 2a and 2b, the cleaning-material automatic supplying device 110 functions to align and supply the loaded cleaning material 100 for easy use in the cleaning work. The cleaning-material automatic supplying device 110 includes a cylindrical storage container 111, a piezoelectric element 112 attached to underneath of the storage container, an aligning passageway 113 formed in a way to be protruded in a slant spiral form along the inner wall of the cylindrical storage container, and a one-ling supplying device 114 connected with the aligning passageway at the outside of the storage container. The one-line supplying device 114 is a straight passageway and provided with a guide wall 115 installed to the right and left sides and to the upper side of the straight passageway so as to the passing cleaning material. According to the invention, preferably, the cleaning material 10 is made of a material and shape suitable for an automated process. Thus, the cleaning material is standardized preferably into a cylindrical form (diameter: about 8.5 mm, length: about 15 mm) for easy alignment and transfer, and is made of an ink foam plug of polyurethane so as to absorb a cleaning solution well and provide a good cleaning characteristic. However, the invention is not limited to the shape and material of the above cleaning material. In FIG. 2b, the reason why the piezoelectric element 112 is employed is that the piezoelectric element is made of fine ceramics using titanate and zirconate as main constituents, and has a piezoelectric effect where voltage and frequency change causes bending of a material. Thus, when applying a change in voltage and frequency, the piezoelectric element 112 oscillates and the storage container 111 also vibrates to cause vibration to the cleaning material 10 stored in the storage container. Thus, the cleaning material 10 moves into the aligning passageway and transfers along the passageway. Referring to FIG. 2c, the aligning passageway 113 is formed in an inclined spiral form along the inner wall of the storage container. The cleaning material bounces up by means of vibration of the storage container and comes up onto the aligning passageway 113, and slides down in the down slope of the inclined spiral passageway by means of gravity, and, in the upward slope, ascends along the slope by means of the slow slope of the inclined spiral passageway, the pushing force of the following cleaning material 19, the bouncing force when vibrating, and the frictional force on the aligning passageway. In the one-line supplying device of FIG. 2b, the guide wall 115 blocks the upper side and the right and left side of the cleaning material, which is then forced to advance in one row along the bottom floor. In FIGS. 2a and 2d, the cleaning material transferring device 120 functions to transfer the cleaning material 10 supplied from the cleaning material automatic supplying device 110 to the cleaning solution supplying device and the cleaning section 200. It includes an advancer 121 having a circular accommodation space with a through-hole formed in its central area and a pneumatic cylinder 122 connected to the advancer. The accommodation space of the advancer lies on the extended line from the terminal end of the one-line supplying device 114 and has a size and shape such that the cleaning material supplied from the one-line supplying device in a single row can be accommodated one at a time. In FIG. 2e, the cleaning solution supplying device 130 functions to supply a cleaning solution of ethyl alcohol to the cleaning material 10. Formed at the lower portion, which is fixed to a support shaft raised from the working face of the working die, is a discharging hole. The cleaning solution supplying device 130 includes a cylindrical solution storage barrel 131 having a narrowed lower portion, a flow tube 132 one end of which is connected to the lower portion of the storage barrel and the other end of which is connected to a solution injection hole formed in the fixed frame 204 having an accommodation space 208, 209 enable to receive a cleaning material and a cladding-tube, and a control valve 133 connected onto the path of the flow tube. In FIGS. 3a and 3b, the cleaning section 200 functions to eject a cleaning material 10 using a pneumatic pressure and is formed in such a way that the air inlet hole 205 and air outlet hole 206 are interconnected to each other through a capillary tube. The cleaning section 200 includes an air gun 201 having an outlet hole of an elongated nozzle shape, an ejecting pneumatic cylinder 202 fixed to the air gun and connected to the inlet hole 205 of the air gun by means of a tube, a pneumatic cylinder 203 connected with the ejecting pneumatic cylinder 202 and transferring the ejecting pneumatic cylinder 202 and the air gun 210, and a fixed frame 204 disposed at the terminal side of the air gun nozzle to hold a cladding-tube at the cleaning position and having an accommodation space 208, 209 of the cleaning material and the cladding-tube. The ejecting pneumatic cylinder 202 supplies an air pressure to the air gun such that the air pressure through the nozzle of the air gun can be sufficient to eject the cleaning material 10 to get through the cladding-tube. In addition, the fixed frame 204 is provided with an accommodation space 208, 209 for the cleaning material and the cladding-tube. The accommodation spaces 208 and 209 are co-axially connected to each other such that the ejected cleaning material is guided to the inside of the cladding-tube and the cladding-tube and the cleaning material are fixed. More preferably, the cleaning section 200 may include an air gun 202 at the terminal portion of the cladding-tube and an ink foam plug recovery barrel 207 disposed co-axially with the cladding-tube as shown in FIG. 1. Thus, the cleaning material, i.e., the ink foam plug, can be discharged from the cladding-tube and enter the recovery barrel 207. The cleaning-material supplying section 100 and the cleaning section 200 are divided respectively into a primary cleaning-material supply section 1 and a primary cleaning section 200, and a secondary cleaning-material supplying section 150 and a secondary cleaning section 250, thereby performing a two-pronged cleaning process. The primary cleaning-material supplying section 100 is different from the secondary one in terms of their configurations. The primary cleaning-material supplying section 100 includes a cleaning solution supplying device 130 for supplying the cleaning material wet with a cleaning solution. On the contrary, the secondary cleaning material supplying section 150 is to supply a dry cleaning material and thus does not include a cleaning solution supplying device 130. The primary cleaning section 200 and the secondary cleaning section 250 has a similar configuration and respectively includes an air gun 201, two pneumatic cylinders 202 and 203, and a fixed frame 204. In FIG. 1, the inspection section 300 functions to inspect the cleaned cladding tube as to the remaining cleaning material. The inspection section 300 includes a stopper, which can ascent and descent at the side area of the transferring cladding tube by means of a pneumatic device fixing the cladding tube, and an optical sensor fixed at a place facing both axial ends of the cladding tube, which has gone through the secondary cleaning section. Sine the stopper only has to function to stop the cladding tube rolling down on the inclined surface, it does not need any particular shape, i.e. may be a rectangular block. Thus, it is not illustrated in the figures. The optical sensor is connected to the control section such that inspection results are sent to the control section by means of sending a signal. Such an optical sensor is well known and thus not illustrated. In the inspection section 300, if no cleaning material remains inside of the cladding tube, the cladding tube is transferred to the next step. If a cleaning material remains, the operation of the automatic cleaning apparatus is interrupted and the cleaning material is removed. Then the apparatus is re-started to transfer the cladding tube to the next process. Thus, the cladding tube is precisely inspected during the process to maintain the high quality of cladding tube. Referring to FIGS. 4a, 4b and 5, the transferring section functions to transfer a cladding tube to each component. The transferring section includes a lateral transferring means 410 for transferring a cladding tube in lateral direction, which is perpendicular to the axial direction of the cladding tube, and an axial transferring means 420 for transferring a cladding tube in the axial direction. The lateral transferring means 410 includes a cam device having a motor 411 installed at the lower portion of a working station, a cam 412 connected to the shaft of the motor 411 and a follower 413 contacting the cam 412 and interlocked with the cam 412, and a tube guide 414 disposed in a stepped fashion along the advancing direction of the cladding tube. The tube guide 414 includes a plurality of parallel plates, which are inclined in one direction. As illustrated in FIGS. 4a and 4b, the follower contacts the cam by means of a roller so as to move upward and downward between the top dead point and the lowest dead point by rotation of the cam. The follower 413 is provided with three blocks attached thereto. These blocks move up and down according to the movement of the follower and are disposed in parallel to the lateral transferring direction of the cladding tube. Thus, the blocks are configured so as to ascent simultaneously in the lateral transferring direction. In FIG. 5, the axial transferring means 420 includes a gripper 421 having an arm 422 branched to the left and right, a pneumatic cylinder (not illustrated because it is regardless of its position) for transferring an air pressure to the arm area of the gripper, and a pneumatic cylinder 424 connected to the lower portion of the gripper body. The arm 422 is combined with the upper portion of the body by means of a hinge respectively (by means of a bearing combining two objects so as to be rotated by means of a surface contact). The left and right arms 422 of the gripper are configured so as to simultaneously move upwards and downwards. Therefore, the gripper arms, simultaneously rotated to move upwards, can be made to grip a cladding tube. In addition, the gripper arms simultaneously moves downwards to release the cladding tube. As illustrated in FIG. 6, the lateral transferring means 410 is positioned respectively between the pre-cleaning holding section 500 and the primary cleaning section 200, the primary cleaning section 200 and the secondary cleaning section 250, the secondary cleaning section 250 and the inspection section 300, the inspection section 300 and the post-cleaning holding section 600. In addition, the axial transferring means 420 is placed at the primary cleaning section 200 and the secondary cleaning section 250 respectively. In addition, the present invention further includes a pre-cleaning holding section 500 made of a cladding tube guide where the cladding tubes are loaded before cleaning and wait for cleaning, and a post-cleaning holding section 600 made of a cladding tube guide where the cladding tubes are loaded after cleaning and wait for being transferred to the next process. The sensor is positioned on the moving path of and the respective components and the cladding tube and thus detects approaching of the components and tubes to a proper position to send a signal to the control section. The sensor is well-known and commercialized and thus not illustrated in the figures. The control section functions to control the movements of each component and cladding tube, and is constituted of a programmable logic controller (PLC) programmed with a control program, which receives a signal from the approach sensor and the optical sensor and sends a signal to each component. The PLC means a control means, and functions to control the automated process through the embedded program. The control section may employ a common controller generally commercialized and thus not particularly illustrated. As described above, the individual components of the invention has been explained. Hereinafter, the operation between the components will be explained, referring to the embodiments of the invention. FIG. 6 is a flow chart explaining the operation of the components of the invention. A cladding tube to be cleaned is loaded onto the pre-cleaning holding section 500. Then, the cladding tube slides down along the plate of the inclined tube guide 414 in the transferring direction of cladding tube until it stops by the next plate. The stopped cladding tube is to be put onto the next step in order to be transferred to the cleaning section 200. At this time, if the cladding tube approaches a predetermined position, it is sensed by the approach sensor. The PLC sends a signal to start the motor 411 of the cam device 415. The cam 412 is rotated by motor rotation of the cam device 415, and then the follower 413 interlocked with the cam moves to the top dead point from the lowest dead point. Thus, the follower 413 ascends. In FIGS. 4a and 4b, the follower 413 is provided with three blocks attached to its extension. The blocks ascend simultaneously with ascending of the follower 413. The blocks are positioned under the step between the plates of the tube guide 414 and ascend to push upwardly the cladding tube stopped by the step. At this time, the area of the block is barely enough to push up a singe cladding tube. Thus, a single cladding tube is made to be loaded onto the next plate and the single cladding tube slides down along the slope of the next plate. The cladding tube slides down to the next step. At this time, the next step is located on the extension of the primary cleaning section 200 and provided with a groove formed to fit to the diameter of the cladding tube, which is then inserted to the groove. In this case, the follower 413 is not yet completely descended form the top dead point and thus the cladding tube is primarily blocked and stopped by the block connected with the follower. When the follower comes to place at the lowest dead point so that, among the three blocks, a block placed at the extension of the primary cleaning section 200 is descended, the cladding tube is configured to enter the groove. Then, the block descends not to affect the cladding tube. When a cladding tube goes into the groove and then is detected by the approach sensor, the axial transferring means 420 is operated by means of a signal from the PLC. The axial transferring means, i.e., the gripper 421 arm, holds the cladding tube by the pneumatic cylinder, which supplies an air pressure to the gripper arm 422. The gripper 421 holding the cladding tube transfers the cladding tube to the cladding tube accommodation space 209 of the fixed frame 204 by means of the pneumatic cylinder connected to the gripper body. The cladding tube stops at the accommodation space 209 of the fixed frame 204, which is the cleaning position. The cleaning material 10 is stored in the storage container 111 of the cleaning material automatic supplying device 110 of the primary cleaning material supplying section 100. The piezoelectric element, which is attached to the lower portion of the storage container 111, is made of fine ceramics using titanate and zirconate as main constituents, and has a piezoelectric effect where voltage and frequency change causes bending of a material. Thus, when applying a change in voltage and frequency, the piezoelectric element 112 oscillates and the storage container 111 also vibrates. Here, the piezoelectric element may employ a commercialized well known one. As illustrated in FIG. 2c, the cleaning material 10 is configured to move due to vibration of the storage container 111 and be loaded onto the aligning passageway, which is formed in a spiral form along the inner wall face of the storage container 111. Since the aligning passageway is also attached to the storage container, it vibrates. Due to the vibration of the aligning passageway, the cleaning material 10 ascends in the spiral form along the aligning passageway while pushing to each other. At this time, although not illustrated, a classification step is disposed at the end portion of the aligning passageway. Thus, a cleaning material 10, not aligned, is made to be blocked by the step and dropped into the storage container, thereby achieving uniform alignment. The cleaning material 10, passing through the aligning passageway 113, enters in the aligned form the one-line supply device 114 connected to the aligning passageway, and then enters the cleaning material transferring device 120 in one line. As illustrated in FIG. 2d, the cleaning material transferring device 120 is provided with an advancer 121 having an accommodation space, of which width has an appropriate size and shape to receive a single cleaning material. Thus, the cleaning material supplied from the one-line supplying device 114 is accommodated into the accommodation space and transferred to the fixed frame 204 of the cleaning section 200 by means of the advancer 121, which is advanced by air pressure supplied from the pneumatic cylinder. If a cladding tube reaches the cleaning position and is detected by the approach sensor, the cleaning material transferring device 120 transfers the cleaning material 10 according to a signal from the PLC. The cleaning solution is stored inside of the storage container 131 and flows through the flow tube 132 into the cleaning solution injection hole formed at the fixed frame 204. The amount of solution is appropriately controlled by means of a control valve 133. With the transferred cleaning material 10 received in the accommodation space of the advancer 121, if the air gun of the primary cleaning section 200 advances by 10˜20 mm by the pneumatic cylinder 203, the cleaning material 10 is pushed by the air gun and enters into the cleaning material accommodation space 208 of the fixed frame 204. Then, the cleaning material is made to be wet by the cleaning solution supplied from the cleaning solution injection hole connected to the upper portion of the accommodation space 208. As shown in FIGS. 3a and 3b, with the air gun 201 advanced by the pneumatic cylinder 203, if the pneumatic cylinder 202 supplying an ejecting air pressure injects an air pressure, the air gun ejects air through a nozzle. The cleaning material facing the air gun nozzle is ejected by the air pressure. The cleaning material accommodation space 208 of the fixed frame 204 and the cladding tube accommodation space 209 are connected to each other on the same axis. Thus, the ejected cleaning material passes through the cladding tube accommodation space and enters into the cladding tube. The air pressure supplied to the cleaning material is sufficient for the cleaning material to pass through the cladding tube. Therefore, the cleaning material can pass through the cladding tube and enter into the ink foam recovery barrel 207 facing the end portion of the cladding tube, thereby completing the primary cleaning of a cladding tube. Upon completion of the primary cleaning, the cladding tube is turned by retracting of the gripper 421 to a position of before transferring to the cladding tube accommodation space 209 of the fixed frame 204. Then, the gripper releases the cladding tube. The cladding tube, resting on the groove of the afore-mentioned step, ascends from the groove by ascending of the block connected with the follower 413 according to the operation of the cam device 415, and then goes onto the stage, which lies on the extension of the primary cleaning section 200. The cladding tube, moved onto the stage, slides down along the inclined plate, and proceeds to a stage placed on the extension line of the secondary cleaning section 250. In addition, the stage, placed on the extension line of the secondary cleaning section, is provided with a groove. As described above, the cladding tube is inserted into the block and stopped, and then, when the block drops, enters into the groove. Similarly to the primary cleaning section 200 as previously mentioned, the cladding tube is transferred to the fixed frame 204 by the gripper 421. As in the primary cleaning material supplying section 100, the cleaning material 10 is transferred along the aligning passageway 113 from the storage container 111, and then, via the one-line supplying device 114, is accommodated in the accommodation space of the cleaning material transferring device 120 one by one. The cleaning material is transferred to the fixed frame 204 by means of the cleaning material transferring device 120 and pushed into the cleaning material accommodation space 208 by advancement of the air gun 201. However, dissimilar to the primary cleaning material supplying section 100, the secondary cleaning material supplying section 150 includes no cleaning solution supplying device 130 and thus a cleaning material remains dried due to no supply of cleaning solution. Thus, the cleaning material 10 is ejected by the air gun 201 and enters into a cladding tube accommodated in the cladding tube accommodation space 209 of the fixed frame, without being wet by cleaning solution. Then, the cleaning material passes through the cladding tube to enter into the ink foam plug recovery barrel 207. The cladding tube, cleaned with the dried cleaning material, is returned by retraction of the gripper 421. If the gripper releases the cladding tube, the cam device 415 is restarted such that the cladding tube goes onto the stage by ascending the block connected to the follower 413 and slides down along the inclined plate of the tube guide 414. During transferring in lateral direction, the cladding tube is stopped by a stopper of the inspection device 300. The stopper can move up and down by means of a pneumatic device and functions to stop a cladding tube. Thus, the cladding tube, is stopped at the intermediate of the inclined path by an ascended stopper and the inside of the cladding tube, is inspected by means of an optical sensor. At this time, in case where a cleaning material remains inside of the cladding tube, the optical sensor detects it and sends a signal to interrupt the entire process. The residual cleaning material is removed manually and the whole process restarts. However, where the cladding tube passes the optical sensor inspection, the stopper descends and the cladding tube continues to slide down along the slope and is loaded onto the post-cleaning holding section 600. Thereafter, the cleaned and load cladding tube proceeds to the next process manually and/or automatically. According to the present invention, which is operated through the above apparatuses, a cladding tube is primarily cleaned using a wet cleaning material and secondarily using a dry cleaning material to completely remove stains or moisture inside of the cladding tube. In addition, these processes are automated to enable to carry out rapid cleaning of cladding tubes. In addition, a method of automatically cleaning nuclear fuel cladding tubes according to the invention includes the following processes. The automated cleaning method of the invention includes a cleaning material preparation process being carried out in a cleaning material supplying section 100 where a cleaning material is automatically aligned and transferred; and a cleaning process being carried out in a cleaning section 200 where the automatically transferred cleaning material is moved into a cleaning position and the cleaning material is ejected by means of an air gun 201 to clean a cladding tube. The method further comprises an inspection process for inspecting the cleaned state of cladding tube and whether a cleaning material remains in the cladding tube. The inspection process is carried out after the cladding-tube cleaning process. In the method of the invention, the cleaning material preparation process and the cleaning process includes respectively a primary cleaning material preparation process and a secondary cleaning material preparation process, and a primary cleaning process and a secondary cleaning process. Here, the primary cleaning material preparation process, the primary cleaning process, the secondary cleaning material preparation process, and the secondary cleaning process are carried out in sequence. The primary cleaning material preparation process includes the steps of aligning a cleaning material stored, transferring the cleaning material into a cleaning solution supplying device, and soaking the cleaning material into the cleaning solution to prepare a wet cleaning material. In the primary cleaning process, the cleaning material supplied from the primary cleaning material preparation process is ejected by air pressure from an air gun and passes through the inside of a cladding tube to thereby clean the inside of the cladding tube. This process is the most important cleaning process to remove stains or foreign matters inside of the cladding tube. The secondary cleaning material preparation process includes the steps of aligning a cleaning material, transferring the cleaning material into a cleaning solution supplying device, and not soaking the cleaning material into the cleaning solution to prepare a dry cleaning material. Therefore, this process is similar to the primary cleaning material preparation process, except for providing a drive cleaning material. In the secondary cleaning process, the cleaning material prepared in the secondary cleaning material preparation process is ejected into the cladding tube, which is transferred after being cleaned from the primary cleaning process, using an air pressure, thereby cleaning the inside of the cladding tube with a dry cleaning material. The second cleaning process is of great importance in that it performs a complete removal of moisture from inside the cladding tube. A cladding tube finished with the secondary cleaning process is transferred again to go through an inspection process. The inspection process uses an optical sensor to inspect the inside of the cleaned cladding tube to check whether a cleaning material remains inside of the tube. In the inspection process, the cladding tube is transferred to next process if no cleaning material remains inside of the cladding tube. If a cleaning material remains inside of the cladding tube, the operation of the cladding-tube automatic cleaning apparatus is interrupted and the remaining cleaning material is removed. Then, the apparatus is restarted to transfer the cladding tube to next process. Therefore, the cleanliness of a cladding tube can be maintained at a higher level through this process. This process is automated and thus inconvenience caused by manual work can be eliminated. The above process includes a primary cleaning material preparation process, a primary cleaning process, a secondary cleaning material preparation process, a secondary cleaning process, and an inspection process in the described order, all of which are automated. As described above, in the apparatus for and the method of automatically cleaning cladding tubes according to the invention, the inside of a cladding tube is automatically cleaned, without manual operation, through a cleaning material preparation process and a cleaning process using a cleaning material supplying section for automatically supplying the cleaning material and a cleaning section for automatically cleaning the cladding tube. In addition, according to the invention, after cleaning, the cladding tube is inspected through an inspection section as to whether any cleaning material remains inside of the cladding tube. Thus, the cleanliness of the tube can be maintained at a higher level. The remaining cleaning material can be easily checked out. Furthermore, during the cladding-tube cleaning process, the cladding tube is automatically transferred between the devices by means of a lateral transferring means, an axial transferring means and so on. Thus, all the cleaning work can be done through one procedural step, thereby improving the work efficiency and preventing diseases in the musculoskeletal systems due to manual operation. Consequently, the automation according to the invention reduces the process time considerably, improves the productivity, and eliminates concerns on the musculoskeletal diseases by manual operation. While the present invention has been described with reference to the particular illustrative embodiments, it is not to be restricted by the embodiments but only by the appended claims. It is to be appreciated that those skilled in the art can change or modify the embodiments without departing from the scope and spirit of the present invention. |
|
050858238 | description | MODE(S) FOR CARRYING OUT THE INVENTION Illustrated in FIG. 1 is an exemplary nuclear reactor vessel 10 having a plurality of fine motion control rod drives 12 (FMCRD), only one of which is shown. In one exemplary embodiment, there are 205 FMCRDs 12 extending into the vessel 10 through the bottom thereof. Referring also to FIG. 2, an enlarged, sectional view of one of the control rod drives 12 is illustrated. The rod drive 12 includes a tubular housing 14 extending outwardly from the vessel 10 and conventionally secured thereto. The housing 14 is conventionally connected to a flange or manifold 16 which is disposed in flow communication with a scram line or conduit 18 which is conventionally selectively provided with high-pressure water 20 from a conventional high-pressure water accumulator 22 conventionally joined to the scram line 18. Conventionally disposed inside the housing 14 is a conventional ball screw or spindle 24, which in this exemplary embodiment includes conventional right-handed threads 26. The control rod drive 12 includes a longitudinal centerline axis 28, with the housing 12 and spindle 24 being disposed coaxially therewith. A conventional ball nut 30 is positioned over the spindle 24 and is conventionally restrained from rotating therewith so that as the spindle is rotated in a clockwise direction, the ball nut is translated in a downward direction away from the vessel 10, and when the spindle is rotated in a counterclockwise direction, the ball nut 30 is translated in an upward direction toward the vessel 10. A conventional hollow, elongate piston 32 is disposed coaxially with the spindle 24 and includes a conical base end 34 which rests on the ball nut 30, and a tip end 36 extending through a central aperture 38 in the outer end of the housing 14 into the vessel 10. The tip end 36 is conventionally coupled to a respective control rod 40 by a bayonet coupling, for example. The spindle 24 extends downwardly from the manifold 16 through a conventional electrical motor 42 which selectively rotates the spindle 24 in either the clockwise direction or counterclockwise direction. The motor 42 is electrically connected to a conventional control 44 by a conventional electrical line 46 for selectively controlling operation of the motor 42. In accordance with the preferred embodiment of the present invention, the rod drive 12 further includes a latch assembly 48 joined between the manifold 16 and the motor 42, into which assembly 48 extends the spindle 24, also referred to as an input shaft 24. The latch assembly 48 is electrically joined to the control 44 by a conventional electrical line 50 for selectively locking and unlocking, or releasing, the input shaft 24. Illustrated in more particularity in FIGS. 3 and 4 is the latch assembly 48 which includes a tubular housing 52 conventionally fixedly joined to the manifold 16, and to which housing 52 is also conventionally fixedly joined the motor 42 therebelow. The housing 52 surrounds a portion of the shaft 24 which extends from the manifold 16 and to the motor 42. A gear 54 is conventionally fixedly joined to the shaft 24, by a key 56 for example, for rotation therewith. The gear 54 includes a plurality of circumferentially spaced gear teeth 58. A latch arm 60 is conventionally pivotally joined to the housing 52 which, in an exemplary embodiment, is accomplished by a conventional pin 62. The pin 62 extends from an annular flange plate 52a of the housing 52, generally parallel to the shaft 24, and into a pivot hole 64a of an intermediate portion 64 of the latch arm 60. The latch arm 64 is slidably supported on a slide plate 52b which is disposed parallel thereto and fixedly joined to the housing 52. The slide plate 52b additionally supports the latch arm 64 as the arm 64 is pivoted about the pin 62. In alternate embodiments, the intermediate portion 64 could include, for example, a pin formed integrally therewith and received in a complementary hole in the flange plate 52a or the slide plate 52b. The latch arm 60 also includes a first end 66 having at least one latch tooth 68-facing the gear teeth 58. Although one latch tooth 68 is required, a plurality of latch teeth 68 may be used for redundancy. As illustrated more particularly in FIG. 3, means designated generally 70 are provided for selectively pivoting or positioning the latch arm 60 about its intermediate portion 64 in either of an engaged position designated 60a (as shown in FIG. 3) or a disengaged position 60b (as shown in FIG. 5). In the engaged position 60a, the latch arm 60 is positioned to abut the latch tooth 68 against a first one 58a of the gear teeth 58 for preventing rotation of the shaft 24 in a first, or clockwise, direction. In the disengaged position illustrated in FIG. 5, the positioning means 70 are effective to space the latch tooth 68 away from the gear teeth 58 for allowing the shaft 24 to rotate without obstruction between the gear teeth 58 and the latch tooth 68. In the preferred embodiment of the invention, the positioning means 70 are effective for allowing rotation of the shaft 24 in a second, counterclockwise or opposite, direction to the first direction, to intermittently disengage the latch tooth 68 from the gear teeth 58 while the latch arm 60 is in the engaged position 60a. FIG. 6 illustrates the latch arm 60 in solid line in its engaged position 60a and in dashed line designated 60i at an intermediate position between the engaged position 60a and the disengaged position 60b just at the point wherein the first gear tooth 58 is being rotated past the latch tooth 68 in the second direction, and succeeded by a next adjacent, or second, gear tooth 58b. Referring again to FIG. 3, the positioning means 70 in accordance with a preferred and exemplary embodiment of the present invention includes a conventional electromagnet 72 having a conventional solenoid 74 and conventional, axially slidable plunger 76 therein, and a conventional compression spring 78 disposed inside the plunger 76 and inside the solenoid 74. The solenoid 74 is conventionally fixedly joined to the housing 52 by a solenoid base 80. The plunger 76 extends from the solenoid 74 and has a distal end 76a conventionally pivotally joined to a second end 82 of the latch arm 60, for example by a second pin 84 extending through the distal end 76a and the latch arm second end 82. The plunger 76 includes a tubular proximal end 76b inside the solenoid 74 into which is positioned the spring 78. The spring 78 is predeterminedly initially compressed in the solenoid 74 to resiliently bias the plunger 76 to an extended position when the solenoid 74 is deenergized for positioning the latch arm 60 in the engaged position 60a. The electrical line 50 is conventionally joined to the solenoid 74 for selectively energizing the solenoid 74 to draw the plunger 76 to a withdrawn position into the solenoid 74 as illustrated in FIG. 5 further compressing the spring 78 for positioning the latch arm 60 in the disengaged position 60b. In the preferred embodiment, the latch arm 60 is arcuate and includes a generally concave radially inner surface 86, as illustrated in FIG. 3 which faces the gear 54. The latch tooth 68 is disposed on the inner surface 86 at the first end 66 of the latch arm 60. The latch arm second end 82 is on the opposite end of the latch arm 60 with the intermediate portion 64 being disposed therebetween. As illustrated in FIGS. 3 and 5, when the solenoid 74 is deenergized, the compression spring 78 moves the plunger 76 to its extended position for pivoting the latch arm 60 about its intermediate portion 64 for abutting the latch tooth 68 against the first gear tooth 58a in the latch arm engaged position 60a for preventing rotation of the shaft 24 in the clockwise direction. When the solenoid 74 is energized, the plunger 76 is electromagnetically drawn into the solenoid 74 which pivots the latch arm 60 about its intermediate portion 64 for spacing the latch tooth 68 away from the gear teeth 58 for allowing unobstructed rotation of the shaft 24 in both the clockwise and counterclockwise directions. There are various embodiments of the latch arm 60 and the positioning means 70 for allowing the latch arm 60 to pivot about its intermediate portion 64 for engaging and disengaging the latch and gear teeth 68, 58. In the preferred embodiment of the present invention, the positioning means 70 are effective for also allowing rotation of the shaft 24 in the second, or counterclockwise, direction to intermittently disengage the latch tooth 68 from the gear teeth 58 while the latch arm 60 is in its engaged position 60a as illustrated in FIG. 6. This ensures that should the latch arm 60 remain engaged for any reason, the shaft 24 may nevertheless be rotated in its counterclockwise direction for inserting the control rod 40 further into the vessel 10. More specifically, and referring to FIGS. 6 and 7, a preferred embodiment for allowing the intermittent disengagement of the latch tooth 68 and the gear teeth 58 while the latch arm 60 is in its engaged position 60a is illustrated. FIG. 7 shows an enlarged view of the first gear tooth 58a engaged with the latch tooth 68. Each of the gear teeth 58 includes a first contact surface 88, and a second, opposite, contact surface 90 which extend radially outwardly from the gear 54 and are joined together at a transverse top surface 92. The latch tooth 68 has a first contact surface 94 and a second, opposite, contact surface 96 extending outwardly from the latch arm first end 66 which are joined together at a transverse top surface 98. The latch tooth 68 is preferably complementary in configuration with the gear teeth 58 so that the first gear tooth first contact surface 88 abuts the latch tooth first contact surface 94 in the latch arm engaged position 60a. In this way, the gear 54 is prevented from rotating in the clockwise direction by contact between the first contact surfaces 88 and 94. Various configurations of the latch arm 60 and the gear and latch teeth 58, 68 are within the scope of the present invention for both preventing clockwise rotation of the gear 54 while allowing intermittent counterclockwise rotation of the gear 54 while the latch arm 60 is in its engaged position 60a. The latch arm intermediate portion 64 about which the latch arm 60 is pivotable, and the latch tooth 68 are preferably positioned relative to the first gear tooth 58a so that a straight chord C defined between the intermediate portion 64 (e.g. center of pin 62) and the latch tooth first contact surface 94, as illustrated in FIGS. 6 and 7, elongates to oppose rotation of the shaft 24 in the clockwise direction when the latch arm 60 is in its engaged position 60a. This elongation is merely the conventional elastic extension of the latch arm 60 as measured along the chord C due to the application of tensile loads imposed by the first gear tooth 58a on the latch tooth 68. In the preferred embodiment of the present invention, the gear teeth 58 and the latch tooth 68 are complementary in configuration and each includes an acute angle between the respective contact surfaces thereof, A and B, respectively. The included angles A and B are about equal to 40.degree. in one embodiment. Furthermore, contact of the first gear tooth 58a with the latch tooth 68 occurs generally about a contact point 100 and the included angle D between lines drawn between the centerline 28 and the contact point 100 and the latch arm intermediate portion 64 is about 110.degree. in the preferred embodiment. Of course, various relative positions between the latch arm intermediate portion 64, gear teeth 58, and latch teeth 68 may be used to ensure effective prevention of clockwise rotation of the gear 54. In accordance with another feature of the present invention, the latch tooth second contact surface 96, as more particularly illustrated in FIG. 7, is preferably positioned relative to the second contact surface 90 of the adjacent, or second, gear tooth 58b in the latch arm engaged position 60a so that rotation of the shaft 24 in the counterclockwise direction causes the second gear tooth contact surface 90 to push the latch tooth 68 radially away from the gear 54 to intermittently disengage the latch tooth 68 from the gear teeth 58. As shown in FIG. 7, the second gear tooth 58b imposes a resultant force F against the latch tooth second contact surface 96 when the shaft 24 is rotated in the counterclockwise direction. Since the gear teeth 58 and the latch tooth 68 have inclined contact surfaces 90, 96 due to the included angles A and B, respectively, the resultant force F may be resolved into two component forces F.sub.c and F.sub.r, wherein F.sub.r is a radially outwardly directed force upon the latch tooth 68. The radial force F.sub.r pushes the latch arm first end 66 away from the gear 54 to pivot the latch arm 60 about the intermediate portion 64. However, this motion is being opposed by the compression spring 78 which is in its extended position as illustrated in FIG. 3. The spring 78 has a predetermined spring constant which allows counterclockwise rotation of the shaft 24 to push the latch tooth 68 away from the second gear tooth 58b. As shown in dashed line in FIG. 7, and designated 60i, the latch arm 60 is pushed away from the gear 54 by the counterclockwise rotation thereof until the first gear tooth 58a is allowed to rotate past the latch tooth 68 at which time, the spring 78 is effective for returning the latch arm 60 to its fully engaged position 60a abutting the next succeeding gear tooth, e.g. 58b. This process of intermittently freeing a gear tooth 58 continues until counterclockwise rotation of the shaft 24 ceases. Accordingly, the preferred cooperation between the latch arm 60 and the gear 54 results in a ratcheting action between the gear teeth 58 and the latch tooth 68 which prevents rotation of the shaft 24 in a clockwise direction while allowing rotation in the counterclockwise rotation while the latch arm 60 is in its engaged position 60a. The latch assembly 48 preferably also includes an aft surface 102 on the latch arm first end 66 as illustrated in FIG. 6. Furthermore, a stop member 104 is conventionally fixedly joined to the housing 52 and is positioned adjacent to the latch arm aft surface 102 so that rotation of the shaft 24 in the clockwise direction is opposed by wedging of the latch arm aft surface 102 against the stop member 104 in the latch arm engaged position 60a. The stop member 104 provides an additional means for ensuring that clockwise rotation of the shaft 24 is prevented when the latch arm 60 is positioned in its engaged position 60a. Over an extended period of time of operation of the latch arm 60, wear between the pin 62 and the latch arm hole 64a may occur which would allow for additional movement of the latch arm 60 relative to the pin 62. In order to ensure effective restraint of the shaft 24 when the latch arm 60 is in its engaged position 60a, an additional arcuate stop portion 106 is preferably provided on the convex outer surface 108 of the latch arm 60 at its second end 82 as illustrated in FIG. 6. The stop portion 106 is preferably disposed closely adjacent to the housing 52 in the latch arm engaged position 60a for contacting the housing 52 upon predetermined wear of the latch arm intermediate portion 64, e.g. wear of the pin 62 and hole 64a. After a predetermined amount of wear of the intermediate portion 64, the stop portion 106 will contact the housing 52 in the latch arm engaged position 60a for maintaining engagement between the latch tooth 68 and the first gear tooth 58a. Without the stop portion 106, sufficient wear of the intermediate portion 64 would allow for translation movement of the latch arm 60 which might prevent proper engagement of the latch tooth 68 and the gear teeth 58. The stop portion 106 will limit the ability of the intermediate portion 64 to move radially outwardly away from the pin 62, and relative to the centerline axis 28, and, thereby, ensure the effective engagement of the latch tooth 68 and the gear teeth 58. In the preferred embodiments of the invention disclosed above, the solenoid 74 is first energized to disengage the teeth 58 and 68, then the motor 42 is energized, e.g. at a fraction of a second later, during normal operation to allow the motor 42 to rotate the shaft 24 for positioning the control rod 40 without obstruction by the latch assembly 48. Upon completion of the desired rotation of the motor 42 and positioning of the control rod 40, the motor 42 is deenergized and stopped, and then the solenoid 74 is deenergized so that the latch arm 60 engages the gear 54. If the shaft 24 then begins to unintentionally rotate, such as for example by the backflow occurrence described above, the shaft 24 will be prevented from rotating in the clockwise direction by engagement of the latch tooth 68 and the first gear tooth 58a. The latch arm 60, therefore, provides a positive lock of the shaft 24 to prevent undesirable rotation thereof, including unintentional withdrawal of the control rod 40 from the reactor vessel 10. The latch assembly 48 as described above provides a positive lock of the shaft 24 to prevent ejection of the control rod 40 from the vessel 10 and allows for relatively simple testing of the latch assembly 48 itself. More specifically, the assembly 48 may be simply tested by deenergizing the solenoid 74 for engaging the latch arm 60 with the gear 54 and then energizing the motor 42 for rotating the shaft 24 in a clockwise direction for forcing the first gear tooth 58a against the latch tooth 68. Since the motor 42 will be unable to rotate the first gear tooth 58a past the latch tooth 68 in the clockwise direction, the motor 42 will stall, which may be conveniently observed by the control 44 for indicating the effective operation of the latch assembly 48. If the latch assembly 48 is unable to prevent clockwise rotation of the shaft 24 during testing, the control 44 can provide a suitable indication thereof, which will then result in manual inspection of the latch assembly 48 for correcting any problem that might exist. In the preferred embodiment of the invention as described above, the latch assembly 48 is positioned between the manifold 16 and the motor 42. Accordingly, the motor 42 may be removed during maintenance, and the latch assembly 48 may be deenergized to prevent clockwise rotation of the shaft 24 during this maintenance operation. Therefore, the latch assembly 48 can replace or duplicate the function of any existing anti-rotation mechanism which is located between the manifold 16 and the motor 42. While there have been described herein what are considered to be preferred embodiments of the present invention, other modifications of the invention shall be apparent to those skilled in the art from the teachings herein, and it is, therefore, desired to be secured in the appended claims all such modifications as fall within the true spirit and scope of the invention. For example, the gear teeth 58 and latch tooth 68 need not be symmetrical since they have two functions, i.e. preventing clockwise while allowing intermittent counterclockwise rotation of the shaft 24 in the latch arm engaged position 60a. Accordingly, in an alternate embodiment, the first contact surfaces 00, 94 could be aligned along a radial axis from the centerline 28, while the second contact surfaces 90, 96 could remain at an inclined angle thereto. Accordingly, what is desired to be secured by Letters Patent of the United States is the invention as defined and differentiated in the following claims: |
summary | ||
abstract | Gently heating a pyroelectric crystal in a deuterated atmosphere can generate fusion under desktop conditions. The electrostatic field of the crystal is used to generate and accelerate a deuteron beam (>100 keV and >4 nA), which, upon striking a deuterated target, produces a neutron flux over 400 times the background level. The presence of neutrons within the target is confirmed by pulse shape analysis and proton recoil spectroscopy. Several elements of the system may be modified, including the configuration of the crystal or crystals, the composition of the surrounding environment and the target, the use of multiple probe tips, and the composition of the probe tip. |
|
abstract | A scattered ray removal grid that has an overall shape of constant spherical curvature. The scattered ray removal grid has radiation absorbing portions arrayed in a lattice configuration and radiation non-absorbing portions made of thermoplastic resin disposed between the radiation absorbing portions and can therefore be easily produced to have an overall spherical shape at low cost. |
|
description | The present invention relates to an electronic beam pattern drawing method, a production method of a molding die, a production method of moldings (molded product), and an electronic beam pattern drawing apparatus. Conventionally, as an information recording medium, for example, CDs and DVDs are widely used. Then, many optical elements are used for a precision equipment such as a reading apparatus for reading these recording media. There are many cases where, for optical elements used for these equipments, for example, optical lenses, from a viewpoint of the cost reduction and size reduction, resin optical lenses rather than glass optical lenses are used. Such a resin optical lens is produced by a general injection molding, and also the molding die for the injection molding is formed by a general cutting processing. In this connection, in the optical lenses, for example, an optical lens used for the reading apparatus having the interchangeability, in order to conduct the aberration correction, a fine structure of a diffractive grating structure such as a binary pattern or a blaze shape is formed. When such a fine structure is formed, the fine structure is formed by cutting the molding die for the injection molding. On the one hand, like as a recent pick-up lens for DVD, when the high density recording medium is read, there is a necessity to make the pattern of the diffractive grating more fine, therefore, it is necessary that the diffractive gratings of the binary pattern or blaze is made fine in a sub-micron order. As a method for drawing processing such a material, there is a limitation in the conventional cutting processing or laser processing. Therefore, the present inventor considers to use a method of optical exposure by the electronic beam. In such a method, the electronic beam is irradiated by the electronic beam drawing apparatus onto the surface of the base material which is an original mold of the optical lens, and by scanning the beam in a predetermined drawing time period in a predetermined dose amount, the diffractive gratings of the binary pattern or blaze shape are drawn. However, because the minimum dose resolving power of the dose amount of the electronic beam pattern drawing apparatus is determined by the minimum time resolving power of a D/A converter, the adjustment of the dose amount in such a electronic beam pattern drawing apparatus is conspicuously step-wise, when the drawing is conducted so as not to take a long period of time particularly by a high current value, and for example, when the diffractive structure such as the blaze shape is drawn, even when it is tried to form a smooth blaze inclination surface, by the difference of the resolving power of the minimum dose amount, the shape of the blaze inclination surface obtained after the drawing/development becomes step-wise. The step-wise shape of the blaze inclination surface becomes a factor of the lowering of the optical characteristic of the optical lens, particularly a factor causing the lowering the diffraction efficiency, and further, when the quality of the products is considered, becomes a factor causing the lowering of the product value, therefore, in order together to increase the diffraction efficiency of the optical lens, with to increase also the product value, the necessity to form a smoother shape as possible is generated. In view of the above problem, the present invention is made, and the first object is to provide an electronic beam drawing method, production method of the moldings, molding die to form moldings, and an electronic beam drawing apparatus which can suppress the step difference due to the minimum dose resolving power of the electronic beam drawing apparatus determined by the minimum time resolving power of the D/A converter and can form the smooth inclination surface. Further, as an another problem, when the diffractive ring-shaped zonal structure of the binary pattern or blaze shape, is approximated by a polygon and drawn, as compared to a case where the diffractive ring-shaped zonal structure of the binary pattern or blaze shape is formed by an ideal circular pattern drawing, the lowering of optical characteristic of the optical lens, particularly, lowering of the diffraction efficiency are caused, further, because the portion of the joint of the drawing line in the apex of the polygon is conspicuous, when the quality of the products is considered, there is a problem that the products value is lowered from its appearance. Accordingly, when the diffractive ring-shaped zonal structure of a binary pattern or a blaze shape, is approximated by a polygon and drawn, in order to avoid the problem of the lowering of diffraction efficiency of the optical lens generated due to this, or the problem of lowering of the product value, this is made close to an ideal circular pattern drawing as possible, and a necessity to contrive that the joint of the drawing line is made not conspicuous is generated. In view of the above problems, the present invention is made, and the second object of the present invention is to provide an electronic beam drawing method, a production method of moldings, molding die to form the moldings, and an electronic beam drawing apparatus which can make close to a more ideal circular drawing, when the circular pattern is approximated by polygon and drawn. The above first object can be attained by the following method and structure. (1-1) An electronic beam drawing method by which the electronic beam is irradiated onto the base material, and by scanning the electronic beam by a predetermined dose amount, a desired drawing pattern is drawn on the base material, and which includes the first step by which, in order to draw the desired drawing pattern on the base material, the electronic beam is scanned by the first dose amount, and the first region of the base material is drawn, and the second step by which the electronic beam is scanned by the second dose amount and the second region of the base material is drawn, and inclination step by which the first scanning by which the electronic beam is scanned by the first dose amount, and the second scanning by which the electronic beam is scanned by the second dose amount, are mixed, and the boundary surface between the first region and the second region is made an inclination surface. (1-2) The electronic beam drawing method written in (1-1), and a groove portion is formed every predetermined pitch on the curved surface portion formed on at least one surface of the base material and in at least 1 pitch of this groove portion, and in order to form a side wall portion rising from the segmentation position of the groove portion and an inclination portion which connects the apex of the side wall portion to the lowest point of the side wall portion of adjoining another groove portion, which includes the first step by which the electronic beam is scanned by the first dose amount, and the first region of the base material is drawn, and the second step by which the electronic beam is scanned by the second dose amount and the second region of the base material is drawn, and inclination step by which the first scanning by which the electronic beam is scanned by the first dose amount, and the second scanning by which the electronic beam is scanned by the second dose amount, are mixed, and the boundary surface between the first region and the second region is made an inclination surface. (1-3) The electronic beam drawing method written in (1-1) or (1-2), and the difference between the first dose amount and the second dose amount is a dose amount of the minimum adjustment unit based on the minimum clock of the D/A converter by which an electronic gun to irradiate the electronic beam of the electronic beam drawing apparatus is driven. (1-4) The electronic beam drawing method written in any one of (1-1) to (1-3), and in the inclination step, the first scanning for scanning the electronic beam by the first dose amount, and the second scanning for scanning the electronic beam by the second dose amount, are alternately conducted in the secondary scanning direction. (1-5) The electronic beam drawing method written in (1-4), and the respective number of scanning of the first scanning and second scanning are adjusted corresponding to a desired inclination angle. (1-6) The electronic beam drawing method written in (1-4) or (1-5), and a duty ratio of the first scanning in 1 pitch of the first scanning and the second scanning is adjusted corresponding to a desired inclination angle. (1-7) The electronic beam drawing method written in any one of (1-4) to (1-6), and the respective number of scanning of the first scanning and the second scanning and the duty ratio of the first scanning in 1 pitch of the first scanning and the second scanning are adjusted corresponding to a desired inclination angle. (1-8) The electronic beam drawing method by which the electronic beam is irradiated onto the base material and by scanning the electronic beam by a predetermined dose amount, a desired drawing pattern is drawn on the base material, and onto the curved surface portion formed on at least one surface of the base material, a groove portion is formed every predetermined pitch and in order to form a side wall portion rising from a segment position of the groove portion and an inclination portion which connects the lowest point of the side wall portion of adjoining another groove portion from the apex of the side wall portion, which includes the first step by which the electronic beam is scanned by the first dose amount and the first region of the base material is drawn, and the second step by which the electronic beam is scanned by the second dose amount and the second region of the base material is drawn, and an inclination step by which the first scanning by which the electronic beam is scanned by the first dose amount, and the second scanning by which electronic beam is scanned by the second dose amount, are mixed in the primary scanning direction, and by which a boundary surface between the first region and the second region is made an inclination surface. (1-9) The electronic beam drawing method written in (1-8), and in the inclination step, the first scanning by which the electronic beam is scanned by the first dose amount and the second scanning by which the electronic beam is scanned by the second dose amount are alternately conducted on the same scanning line. (1-10) The electronic beam drawing method written in (1-9), and in the inclination step, between respective adjoining scanning lines, the second scanning is conducted at a position at which they almost coincide with each other. (1-11) The electronic beam drawing method written in (1-9), and in the inclination step, between respective adjoining scanning lines, the second scanning is conducted at a position at which they are different from each other. (1-12) The electronic beam drawing method written in (1-9), and in the inclination step, between respective adjoining scanning lines, the second scanning is conducted zigzag. (1-13) The electronic beam drawing method written in any one of (1-4) to (1-7), and (1-9) to (1-12), and in the intermediate step, the width between the first scanning and second scanning is made smaller than a beam diameter of the electronic beam. (1-14) A production method of a molding die by which the molding die is produced from the base material drawn by using the electronic beam drawing method written in any one of (1-1) to (1-13), and which includes a step by which the base material onto which the electronic beam is irradiated is developed and the electric casting is conducted on the surface of the developed base material, and the molding die for the molding is formed. (1-15) A production method of a molding die by which the molding die is produced from the base material drawn by using the electronic beam drawing method written in any one of (1-1) to (1-13), and which includes a step by which the base material onto which the electronic beam is irradiated is developed and the electric casting is conducted on the base material which is etching-processed, and the molding die for the molding is formed. (1-16) It has a step by which an optical element is formed by using the molding die written in (1-14) or (1-15). (1-17) It is the electronic beam drawing apparatus, and which includes a drawing means for irradiating the electronic beam onto the base material and by scanning the electronic beam by a predetermined dose amount, the base material is drawn, a storing means for storing the information relating to a dose distribution having a dose amount mixing region in which the first dose amount and the second dose amount are mixed so that a portion which is drawn by the first dose amount and a portion which is drawn by the second dose amount are mixed between a portion which is drawn by the first dose amount and a portion which is drawn by the second dose amount, when the predetermined dose amount is changed from the first dose amount to the second dose amount in order to draw a desired drawing pattern on the base material, and a control means by which the drawing means is controlled according to the dose amount mixing region of the dose distribution of the storing means. (1-18) It is the electronic beam drawing apparatus written in (1-17), and the storing means includes a memory in which the information relating to the first dose distribution corresponding to the shape of the base material is stored, and further has a calculation means for conducting a calculation for correcting the first dose distribution to the second dose distribution corresponding to the dose amount mixing region. (1-19) It is an electronic beam drawing apparatus, and which includes an electronic beam irradiation means for irradiating the electronic beam and an electronic lens for making the focal position of the electronic beam irradiated by the electronic beam irradiation means variable, and a placing table to place the base material having the drawing pattern drawn by irradiating the electronic beam, and a measuring means for measuring the drawing position drawn on the base material, and a storing means for storing, in order to draw a desired drawing pattern on the base material, when the dose amount of the electronic beam is changed from the first dose amount to the second dose amount, so that a portion drawn by the first dose amount and a portion drawn by the second dose amount are mixed between a portion drawn by the first dose amount and a portion drawn by the second dose amount, the information relating to the dose distribution having the dose amount mixing region in which the first dose amount and the second dose amount are mixed, and according to the drawing position measured by the measuring means, a current value of the electronic lens is adjusted, and together the focal position of the electronic beam is variably controlled corresponding to the drawing position, and for the focal position, a control means for controlling the electronic beam irradiation means so that the mixing region is drawn while the dose amount is calculated according to the dose distribution of the storing means. (1-20) It is the electronic beam drawing apparatus written in any one of (1-17) to (1-19), and the difference between the first dose amount and the second dose amount is a dose amount of the minimum adjustment unit according to the minimum clock of the D/A converter to drive an electronic gun of the electronic beam drawing apparatus to irradiate the electronic beam. The above second object can be attained by the following methods and structures. (2-1) It is an electronic beam drawing method to draw a predetermined drawing pattern composed of circular pattern on the base material by scanning the electronic beam by a predetermined dose amount, and in order to draw the circular pattern on the base material, the circular pattern is approximated by a polygon and the polygon is drawn by a dose amount of one-several number-th of the predetermined dose amount to the circular pattern, and the polygon on which the predetermined number of patterns mutually shifted in the circumferential direction of the circular pattern are superimposed, is drawn. (2-2) In the electronic beam drawing method written in (2-1), the number of angles of the polygon is determined so that the difference between the radia of the inscribed circle and the circumscribed circle of the polygon is not larger than the minimum moving distance unit of the electronic beam. (2-3) In the electronic beam drawing method written in (2-1) or (2-2), the segments of a plurality of fields are provided in positions which pass through each of apexes of the polygon. (2-4) It is a production method of the base material by which the molding is produced by using the electronic beam drawing method written in any one of (2-1) to (2-3), and is characterized in that it includes a process by which the base material onto which the electronic beam is irradiated is developed, and the electrocasting is conducted on the surface of the developed base material, and the molding die for molding is formed. (2-5) It is a production method of the base material by which the molding is produced by using the electronic beam drawing method written in any one of (2-1) to (2-3), and is characterized in that it includes a process by which the base material onto which the electronic beam is irradiated is developed, subjected to an etching process and the electrocasting is conducted on the surface of the etched base material, and the molding die for molding is formed. (2-6) It is a production method of the molding written in (2-4) or (2-5), and it has a process by which the molding is formed by using the molding die for molding. (2-7) It is a production method of the molding written in (2-4) or (2-5), and the molding is formed of optical element. (2-8) It structures the molding die for forming the molding written in any one of (2-1) to (2-7). (2-9) The electronic beam drawing apparatus by which the drawing region to the base material is divided into a plurality of fields and the electronic beam is irradiated for each field, and by scanning the electronic beam by a predetermined dose amount, a predetermined drawing pattern formed of the circular pattern is drawn on the base material, and which includes an electronic beam irradiation means for irradiating the electronic beam, an electronic beam deflection means for scanning the electronic beam irradiated by the electronic beam irradiation means, a placing table for placing the base material, a measuring means for measuring the drawing position on the base material by the electronic beam, a storing means for storing the information relating to the dose distribution of the base material composed of the predetermined dose amount, and the information relating to the predetermined number for making the predetermined dose amount a value of a predetermined one-several-th, a dose amount setting means for setting the predetermined dose amount to a value of a predetermined one-several-th, according to the dose distribution and the predetermined number, and a control means by which, in order to draw the circular pattern onto the base material, the circular pattern is approximated by the polygon, and by the dose amount set by the dose amount setting means, according to the drawing position measured by the measuring means, the electronic beam is scanned by the electronic beam deflection means and the polygon is drawn, and together with it, the polygon on which the predetermined number of patterns which are mutually sifted in the circumferential direction of the circular pattern are superimposed, is controlled so that the polygon is drawn by the dose amount set by the dose amount setting means. (2-10) It is the electronic beam drawing apparatus written in (2-9), and which further has a number of angles setting means for determining the number of angles of the polygon so that the difference between the radii of the inscribed circle and the circumscribed circle is not larger than the minimum moving distance unit of the electronic beam. (2-11) It is the electronic beam drawing apparatus written in (2-9) or (2-10), and which further has a field position setting means for setting the segments of a plurality of fields to positions which pass through each of apexes of the polygon. (2-12) It is the electronic beam drawing apparatus written in any one of (2-9) to (2-11), and which further has a predetermined number setting means by which the predetermined number can be arbitrarily set. Incidentally, the first object can also be attained by the following method and structure. (3-1) An electronic beam drawing method by which, when electronic beam is irradiated onto a base material and the electronic beam is scanned by a predetermined dose amount, a desired drawing pattern is drawn on the base material, wherein it includes the first step by which, in order to draw a desired drawing pattern on the base material, the electronic beam is scanned by the first dose amount and the base material is drawn, the second step by which the electronic beam is scanned by the second dose amount and the base material is drawn, and the third step by which, in order to provide a specific structural portion in which a portion which is drawn by the first dose amount and a portion which is drawn by the second dose amount are mixed, the base material is drawn by scanning the electronic beam by the first dose amount and the second dose amount, between the first step and the second step. (3-2) An electronic beam drawing method written in (3-1), by which, when the electronic beam is irradiated onto the base material and the electronic beam is scanned by a predetermined dose amount, the desired drawing pattern is drawn on the base material, wherein on a curved surface portion formed at least on one surface of the base material, the diffraction grating is formed for each predetermined pitch, and in at least one pitch of this diffraction grating, in order to form a side wall portion rising from a divided position of the diffraction grating, and an inclination portion which connects the lowest point of the side wall portion of another diffraction grating adjoining from the apex of the side wall portion, the first step which scans the electronic beam by the first dose amount and draws the base material, the second step which scans the electronic beam by the second dose amount and draws the base material, and in order to provide a specific structural portion in which, between a scanning line which is drawn by the first dose amount and a scanning line which is drawn by the second dose amount, they are mixed, between the first step and the second step, the third step which scans the electronic beam by the first dose amount and the second dose amount and draws the base material, are included. (3-3) An electronic beam drawing method according to (3-1) or (3-2), wherein the difference between the first dose amount and the second dose amount is a dose amount of the minimum adjustment unit according to the minimum clock of a D/A converter to drive an electron gun of an electronic beam drawing apparatus which irradiates the electronic beam. (3-4) An electronic beam drawing method according to any one of (3-1) to (3-3), wherein the specific structural portion is drawn as a concave convex part formation portion in which a convex part formation portion drawn by the first dose amount and the concave part formation portion drawn by the second dose amount alternately range. (3-5) An electronic beam drawing method according to (3-4), wherein it is drawn by adjusting the number of the scanning line which is drawn by the first dose amount and the number of the scanning line which is drawn by the second dose amount, so that the duty ratio of the concave part formation portion to one pitch of the concave convex part formation portion is decreased stepwise as the height of the inclination portion faces toward a side to be decreased. (3-6) An electronic beam drawing method according to (3-4) or (3-5), wherein it is drawn by adjusting the number of the scanning line which is drawn by the first dose amount and the number of the scanning line which is drawn by the second dose amount, so that a pitch of the convex part formation portion constituting the concave convex part formation portion has a shape which is decreased stepwise as the height of the inclination portion faces toward a side to be decreased. (3-7) An electronic beam drawing method according to any one of (3-4) to (3-6), wherein it is drawn by adjusting the total number of the scanning lines which are drawn by the first dose amount and the scanning lines which are drawn by the second dose amount, constituting the concave convex part formation portion of the specific structural portion corresponding to the inclination angle of the inclination portion. (3-8) An electronic beam drawing method by which the electronic beam is irradiated onto the base material, and a desired drawing pattern is drawn on the base material by scanning the electronic beam by a predetermined dose amount, in which, to the curved surface portion formed on at least one surface of the base material, the diffraction grating is formed for each predetermined pitch, and in order to form a side wall portion rising from a divided position of the diffraction grating corresponding to at least one pitch of this diffraction grating and an inclination portion connecting the lowest point of the side wall portion of another diffraction grating adjoining from the apex of the side wall portion, the first step by which the base material is drawn by scanning the electronic beam by the first dose amount, the second step by which the base material is drawn by scanning the electronic beam by the second dose amount, and in order to provide a specific structural portion in which a portion drawn by the first dose amount and a portion drawn by the second dose amount are cyclic and repeatedly provided between the scanning line drawn by the first dose amount and the scanning line drawn by the second dose amount, between the first step and the second step, the third step by which the electronic beam is scanned by the first dose amount and the second dose amount, and the base material is drawn, are included. (3-9) An electronic beam drawing method according to (3-8), wherein the specific structural portion is drawn as a concave convex part formation portion in which the convex part formation portion drawn by the first dose amount and the concave part formation portion drawn by the second dose amount alternately range. (3-10) An electronic beam drawing method according to (3-9), wherein the convex part formation portion constituting the concave convex part formation portion is drawn by providing at a position which almost coincides between each of adjoining scanning lines. (3-11) An electronic beam drawing method according to (3-9), wherein the convex part formation portion constituting the concave convex part formation portion is drawn by providing at a different position between each of adjoining scanning lines. (3-12) An electronic beam drawing method according to (3-9), wherein the convex part formation portion constituting the concave convex part formation portion is drawn by providing at a position which is zigzag between each of adjoining scanning lines. (3-13) An electronic beam drawing method according to any one of (3-4) to (3-7), (3-9) to (3-12), wherein the width of the convex part formation portion and the concave part formation portion constituting the concave convex part formation portion is structured not larger than the beam diameter of the electronic beam. (3-14) A production method of a molding die to produce a molding die from the base material drawn by using the electronic beam drawing method according to any one of (3-1) to (3-13), wherein it includes a step by which the base material on which the electronic beam is irradiated, is developed, and the electro-casting is conducted on the surface of the developed base material, and the molding die for molding is formed. (3-15) A production method of the molding die to produce the molding die from the base material drawn by using the electronic beam drawing method according to any one of (3-1) to (3-13), wherein it includes a step by which the base material on which the electronic beam is irradiated, is developed, and the electro-casting is conducted on the etching processed base material, and the molding die for molding is formed. (3-16) It has a step for forming an optical element by using the molding die according to (3-14) or (3-15). (3-17) A base material according to (3-16), wherein a drawing means for drawing the base material when the electronic beam is irradiated on the base material, and the electronic beam is scanned by a predetermined dose amount, in order to draw a desired drawing pattern on the base material, when the predetermined dose amount is changed from the first dose amount to the second dose amount, between the a portion drawn by the first dose amount and a portion drawn by the second dose amount, in order to draw a concave convex part formation portion as a specific structural portion in which a portion drawn by the first dose amount and a portion drawn by the second dose amount are mixed, a storing means in which the information relating to the dose distribution structured by the first dose amount and the second dose amount to draw the concave convex part formation portion is stored, and a control means for controlling the drawing means so that the specific structure is drawn according to the dose distribution of the storing means, are included. (3-18) An electronic beam drawing apparatus according to (3-17), wherein the storing means includes a memory in which the information relating to the first dose distribution corresponding to the shape of the base material is stored, and further has a calculation means for calculating so that the first dose distribution is corrected to the second dose distribution corresponding to the concave convex part formation portion as the specific structural portion. (3-19) An electronic beam drawing apparatus, and it includes an electronic beam irradiation means for irradiating the electronic beam, an electronic lens by which the focal position of the electronic beam irradiated by the electronic beam irradiation means is made variable, a placement table for placing the base material having the drawing pattern drawn when the electronic beam is irradiated, a measuring means for measuring the drawing position drawn on the base material, and in order to draw a desired drawing pattern on the base material, when the dose amount of the electronic beam is changed from the first dose amount to the second dose amount, between a portion drawn by the first dose amount and a portion drawn by the second dose amount, in order to draw a concave convex part formation portion as a specific structural portion in which a portion drawn by the first dose amount and a portion drawn by the second dose amount are mixed, a storing means in which an information relating to the dose distribution structured by the first dose amount and the second dose amount to draw the concave convex part formation portion, is stored, and a control means for controlling the electronic beam irradiation means so that, according to the drawing position measured by the measuring means, the current value of the electronic lens is adjusted, and the focal position of the electronic beam is variably controlled corresponding to the drawing position, and together with it, relating to the focal position, so that the concave convex part formation portion is drawn, while the dose amount is calculated according to the dose distribution of the storing means, are included. (3-20) An electronic beam drawing apparatus according to any one of (3-17) to (3-19), wherein the difference between the first dose amount and the second dose amount is a dose amount of the minimum adjustment unit according to the minimum clock of the D/A converter which drives the electron gun of the electronic beam drawing apparatus by which the electronic beam is irradiated. Referring to the drawings, an embodiment of the electronic beam drawing method, production method of a molding die, production method of the molding, and electronic beam drawing apparatus according to the present invention will be specifically described below. (Structure of the Electronic Beam Drawing Apparatus) In FIG. 1, an explanatory drawing showing the overall structure of an electronic beam drawing apparatus in the present embodiment will be shown. As shown in FIG. 1, the electronic beam drawing apparatus 1 forms an electronic line probe of the large current and high resolving power, and scans this at high speed on the base material 2 which is a drawing object, and is structured by including an electronic gun 12 which is an electronic beam generating means and forms an electronic line probe of the high resolving power, and generates the electronic beam and irradiates the beam onto a target, and a slit 14 which makes pass the electronic beam from this electronic gun 12, an electronic lens 16 for controlling a focal position of the electronic beam passing through the slit 14, to the base material 2, aperture 18 placed on a path on which the electronic beam is out-gone, and a deflector 20 which, by deflecting the electronic beam, controls so that the electronic beam scans in the X direction and Y direction on the base material 2 which is a target, and a correction coil 22 for correcting the deflection. Hereupon, each of these parts is arranged in a barrel 10, and it is maintained in a vacuum condition when the electronic beam is out-gone. Further, the electronic beam drawing apparatus 1 is structured by including an XYZ stage 30 which is a placing table for placing the base material 2 which is a drawing object, a loader 40 which is a conveying means for conveying the base material 2 onto the placing position on this XYZ stage 30, a measuring apparatus 80 which is measuring means for measuring the reference point of the surface of the base material on the XYZ stage 30, a stage driving means 50 which is a driving means for driving the XYZ stage 30, a loader driving apparatus 60 for driving the loader, a vacuum exhausting apparatus 70 for exhausting so that the inside of the casing 11 including the barrel 10 and XYZ stage 30 is vacuum, and a control circuit 100 which is a control means for controlling them. Hereupon, the electronic lens 16 is controlled in such a manner that each of them is controlled when a plurality of electronic lenses are generated by each of current values of each of coils 17a, 17b, 17c which are separately arranged in a plurality of positions along the height direction, and the focal position of the electronic beam is controlled. The measuring apparatus 80 is structured by including the first laser length measuring machine 82, and the laser light (the first irradiation light) emitted by the first laser length measuring machine 82, is reflected on the base material 2, and the first light receiving section 84 which receives the reflected light, the second laser length measuring machine 86 which irradiates from the irradiation angle different from the first laser length measuring machine 82, and the laser light (the second irradiation light) emitted by the second laser length measuring machine 86, is reflected on the base material 2, and the second light receiving section 88 which receives the reflected light. In this connection, the first laser length measuring machine 82 and the first light receiving section 84 structure “the first optical system”, and the second laser length measuring machine 86 and the second light receiving section 88 structure “the second optical system”. The stage driving means 50 is structured by including an X direction driving mechanism 52, which drives the XYZ stage 30 in the X direction, Y direction driving mechanism 54, which drives the XYZ stage 30 in the Y direction, Z direction driving mechanism 56, which drives the XYZ stage 30 in the Z direction, and θ direction driving mechanism 58, which drives the XYZ stage 30 in the θ direction. Hereupon, besides that, an α direction driving mechanism which can rotate and drive in the α direction centering around Y axis, and a β direction driving mechanism which can rotate and drive in the β direction centering around Y axis, are provided, and the stage may also be structured so that it can conduct pitching, yawing, rolling. Thereby, the XYZ stage 30 can be moved 3-dimensionally, or the alignment can be made. The control circuit 100 is structured by including an electron gun power section 102, electron gun control section 104 for adjustment controlling the current, voltage in this electron gun power section 102, lens power section 106 for operating the electronic lens 16 (respective of a plurality of each of electronic lenses), and lens control section 108 for adjustment controlling each current corresponding to each electronic lens in this lens power section 106. Hereupon, the electron gun power section 102 has the D/A converter, not shown, for supplying the power to the electron gun 12, and when the electron gun control section 104 adjustment controls the current, voltage in this D/A converter, not shown, the dose amount of the electronic beam irradiated from the electron gun 12 is adjusted. Accordingly, according to the minimum clock of this D/A converter, the dose amount of the minimum adjustment unit of the electronic beam drawing apparatus is determined. Further, the control section 100 is structured by including a coil control section 110 for controlling the correction coil 22, molding deflection section 112a to deflect for conducting the beam molding including the correction such as distortion by the deflector 20, sub-deflection section 112b to deflect for positioning the electronic beam by the deflector 20, primary deflection section 112c to conduct the deflection of X direction and Y direction by the deflector 20, high speed D/A converter 114a for conversion controlling the digital signal to the analog signal for controlling the sub-deflection section 112b, and high accuracy D/A converter 114c for conversion controlling the digital signal to the analog signal for controlling the primary deflection section 112c. Further, the control circuit 100 is structured by including a position error correcting circuit 116 for correcting the position error in the deflector 20, that is, the position error correcting signal is supplied to each of high speed D/A converters 114a and 114b, and the high accuracy D/A converter 114c and accelerates the position error correction, or in which, when the signal is supplied to the coil control section 110, the position error correction is conducted by the correction coil 22, an electric field control circuit 118 which is an electric field control means for controlling the electric field of the electronic beam by controlling these position error correction circuit 116 and each of high speed D/A converters 114a and 114b, and the high accuracy D/A converter 114c, and a pattern generating circuit 120 for generating the drawing pattern onto the base material 2. Further, the control circuit 100 is structured by including the first laser drive control circuit 130 for drive controlling the movement of the laser irradiation position by moving the first laser length measuring machine 82 up and down, left and right, and the angle of a laser irradiation angle, the second laser drive control circuit 132 for drive controlling the movement of the laser irradiation position by moving the second laser length measuring machine 86 up and down, left and right, and the angle of a laser irradiation angle, the first laser output control circuit 134 for adjustment controlling the output of the laser irradiation light (the light intensity of the laser) in the first laser length measuring machine 82, the second laser output control circuit 136 for adjustment controlling the output of the laser irradiation light in the second laser length measuring machine 86, the first measurement calculating section 140 for calculating the measurement result according to the light receiving result in the first light receiving section 84, and the second measurement calculating section 142 for calculating the measurement result according to the light receiving result in the second light receiving section 88. Further, the control circuit 100 is structured by including a stage control circuit 150 for controlling the stage drive means 50, loader control circuit 152 for controlling the loader drive apparatus 60, mechanism control circuit 154 for controlling the above first, second laser drive circuits 130, 132, the first, second laser output control circuits 134, 136, the first, second measurement calculating sections 140, 142, the stage control circuit 150, loader control circuit 152, the vacuum exhausting control circuit 156 for controlling the vacuum exhaust of the vacuum exhausting apparatus 70, the measurement information input section 158 for inputting the measurement information, the memory 160 which is a storing means for storing the inputted information or the other plurality of information, a program memory 162 in which the control program for conducting each kind control is stored, a control system 300 which will be described later (the detail will be described later), and a control section 170 formed of, for example, a CPU which controls each of these sections. Further, in the electronic beam drawing apparatus 1 of the present embodiment, in the so-called “operation system” to “operation means”, each kind of command operation such as the selection of the analog scan system/digital scan system, or the selection from a plurality of drawing patterns of the basic shape can be conducted. In the electronic beam drawing apparatus 1 having the structure as described above, when the base material conveyed by the loader 40 is placed on the XYZ stage 30, after the air or dust in the barrel 10 and casing 11 is exhausted, the electronic beam is irradiated from the electron gun 12. The electronic beam irradiated from the electron gun 12, is deflected by the deflector 20 through the electronic lens 16, and the drawing is conducted when the deflected electronic beam B (hereinafter, there is a case where, only relating to the electronic beam deflection controlled after transmitted this electronic lens 16, a symbol “electronic beam B” is given), is irradiated onto the surface of the base material on the XYZ stage 30, for example, onto the drawing position on the curved surface portion (curved surface) 2a. At this time, by the measuring apparatus 80, the drawing position (Z direction position which is at least the height position in the drawing positions of X direction position, Y direction position, and Z direction position) on the base material 2 or the position of the reference point as will be described later is measured, and the control circuit 100, according to the measurement result, adjustment controls each current value flowing in the coil 17a, 17b, 17c of the electronic lens 16, and controls the position of the focal depth of the electronic beam B, that is, the focal position, and movement-controls the focal potion so that it is the drawing position. Alternatively, according to the measurement result, when the control circuit 100 controls the stage drive means 50, the control circuit 100 moves the XYZ stage 30 so that the focal position of the electronic beam B is the drawing position. Further, in the present example, the control of the focal position may also conduct according to either one of the control of the electronic beam, or the control of the XYZ stage 30, or conduct by using both of them. (Measuring Apparatus) Next, referring to FIG. 6, a measuring apparatus 80 will be described. In more details, as shown in FIG. 6, the measuring apparatus 80 has the first laser length measuring machine 82, first light receiving section 84, the second laser length measuring machine 86, and second light receiving section 88. The first light beam S1 is irradiated onto the base material 2 from the direction crossing the electronic beam by the first laser length measuring machine 82, and by the light reception of the first light beam S1 transmitting the base material 2, the first light intensity distribution is detected. In this case, as shown in FIG. 2, because the first light beam S1 is reflected on the flat portion 2b of the base material 2, according to the first intensity distribution, the (height) position on the flat portion 2b of the base material 2 is measured and calculated. However, in this case, the (height) position on the curved surface portion 2a of the base material can not be measured. Then, in the present example, the second laser length measuring machine 86 is further provided. That is, by the second laser length measuring machine 86, the second light beam S2 is irradiated onto the base material 2 from an almost orthogonal direction to the electronic beam different from the first light beam S1, and when the second light beam S2 transmitting the base material 2 is received through a pin hole 84 including in the second light receiving section 88, the second light intensity distribution is detected. In this case, as shown in FIG. 3(A) to (C), because the second light beam S2 transmits on the curved surface portion 2a, according to the second intensity distribution, the (height) position on the curved surface portion 2a protruding from the flat portion 2b of the base material 2 can be measured and calculated. Specifically, when the second light beam S2 transmits a specific height of a certain position (x, y) on the curved surface portion 2a in the XY reference coordinate system, in this position (x, y), as shown in FIG. 7(A) to (C), when the second light beam S2 hits the curved surface of the curved surface portion 2a, the scattered light SS1, SS2 are generated, and the light intensity for an amount of these scattered light is reduced. As described above, as shown in FIG. 4, according to the second light intensity distribution detected in the second light receiving section 88, the position is measured and calculated. At the time of this calculation, as shown in FIG. 4, because the signal output Op of the second light receiving section 88 has the correlation with the signal output Op and the height of the base material 2, as shown in the characteristic view in FIG. 5, when the correlation table showing this characteristic, that is, the correlation, is previously accommodated in the memory 160 of the control circuit 100, according to the signal output Op in the second light receiving section 88, the height position of the base material can be calculated. Then, this height position of the base material is made, for example, the drawing position, and the focal position of the electronic beam is adjusted and drawn. (The Outline of the Principle of the Drawing Position Calculation) Next, in the electronic beam drawing apparatus 1, the outline of the principle of the drawing position calculation when the pattern is drawn will be described. Initially, as shown in FIG. 6(A), (B), it is preferable that the base material 2 is formed of, for example, an optical element of resin, for example, an optical lens, and is structured by including the flat portion 2b of the cross section which is almost plane-like, and the curved portion 2a forming the curved surface which is formed protrusively from this flat portion 2b. The curved surface of this curved portion 2a is not limited to the spherical surface, but may be the free curved surface such as an aspheric surface, having the change in other all height directions. In such a base material 2, before the base material is previously placed on the XYZ stage 30, a plurality of, for example, 3 reference points P00, P01, P02 on the base material 2 are determined, and this position is measured (the first measurement). Thereby, the X axis is defined by, for example, the reference points P00 and P01, the Y axis is defined by the reference points P00 and P02, and the first reference coordinate system in the 3 dimensional coordinate system is calculated. Herein, the height position in the first reference coordinate system is defined as H0 (x, y) (the first height position). Thereby, the thickness distribution of the base material 2 can be calculated. On the one hand, also after the base material 2 is placed on the XYZ stage 30, the same processing is conducted. That is, as shown in FIG. 6(A), a plurality of, for example, 3 reference points P10, P11, P12 on the base material 2 are determined, and this position is measured (second measurement). Thereby, for example, the X axis is defined by the reference points P10 and P11, and Y axis is defined by the reference points P10 and P12, and the second coordinate system in the 3 dimensional coordinate system is calculated. Further, the coordinate conversion matrix for converting the first reference coordinate system into the second reference coordinate system by these reference points P00, P01, P02, P10, P11, P12 is calculated, and by using this coordinate conversion matrix, the height position HP (x, y) (the second height position) corresponding to the H0 (x, y) in the second reference coordinate system is calculated, and this position is made the optimum focal position, that is, the drawing position, and a position onto which the focal position of the of the electronic beam is to be focused. Thereby, the thickness distribution of the above base material 2 can be corrected. Hereupon, the above second measurement is can be conducted by using the measuring apparatus 80 which is the first measuring means of the electronic beam drawing apparatus 1. Then, it is necessary that the first measurement is previously conducted by using an another measuring apparatus at an another place. As such a measuring apparatus for previously measuring the reference point before the base material 2 is placed on the XYZ stage 30, the measuring apparatus 200 (the second measuring means) of the entirely same structure as the above-described measuring apparatus 80 can be adopted. In this case, the measurement result from the measuring apparatus is inputted into the measurement information input section 158 shown in, for example, FIG. 1, or data-transferred through a network, not shown, connected to the control circuit 100, and stored in the memory 160. Of course, a case is also considered where this measuring apparatus becomes unnecessary. In the manner as described above, the drawing position is calculated, and the focal position of the electronic beam is controlled and the drawing is conducted. Specifically, as shown in FIG. 6(C), the focal position of the focal depth FZ (beam waist BW) of the electronic beam is adjustment-controlled at the drawing position in the scan space (m=1) which is a unit space in the 3 dimensional reference coordinate system on the maximum possible scan region of the electronic beam. (The control of this focal position is, as described above, conducted by either one or both of the adjustment of the current value by the electronic lens 16 or the drive control of the XYZ stage 30). Hereupon, in the present example, the amount of the height of the scan space is set so that it is longer than the focal depth FZ, however, it is not limited to this. Herein, the focal depth FZ is, as shown in FIG. 7, in the electronic beam B irradiated through the electronic lens 16, the beam waist BW shows the height of the effective area. Hereupon, in the case of the electronic beam B, as shown in FIG. 7, when the width of the electronic lens 16 is D, and the depth from the electronic lens 16 to the beam waist BW (the narrowest portion of the beam diameter) is f, D/f is about 0.01, and for example, has the resolving power of about 50 nm, and the focal depth is, for example, about several tens μm. Then, as shown in FIG. 6(C), for example, when the electronic beam is successively scanned in the X direction while shifting in the Y direction in the scan space, the drawing on the target field (drawn area) is conducted. Further, in the scan space, when there is a not drawn-field, the field is made the next target field, and the electronic beam moves in the Z direction while the above-described control of the focal position is being conducted, and the drawing processing by the same scanning is conducted. Next, after the drawing in the scan space is conducted, for example, while the drawn-space of m=2, and the drawn-space of m=3, as the next scan spaces, are successively, in the same manner as above, conducting the measurement, or the calculation of the drawing position, the drawing processing is conducted in the real time. In this manner, when all drawing are completed for the drawing area to be drawn, the drawing processing on the surface of the base material 2 is completed. Hereupon, in the present example, this drawing area is made the drawn-layer, and a portion corresponding to the curved surface of the surface of the curved surface portion 2a is made a drawn surface. Further, a processing program which conducts the processing as described above of each kind of calculation processing, measurement processing, and control processing, is previously accommodated in the program memory 162 as the control program. (Drawing Sequence) The curved surface portion of the base material 2 placed on the XYZ stage 30 in FIG. 1, is divided into a plurality of fields (drawn-areas) as shown in FIG. 8(a) and 8(b), and each field is conveyed into the possible-maximum scan region in the scan space in which the electronic beam in FIG. 1 is scanned, in a predetermined sequence. The drawing pattern allotted to the field (called target field) conveyed to the scan area is drawn in such a manner that the electronic beam is scanned in X direction and Y direction. When the drawing of the drawing pattern allotted to this target field is completed, the adjoining field is made the next target field, and the next drawing is started. As described above, the drawing method of the present embodiment is a step and repeat system by which the drawing is conducted for each field. In the example of the field arrangement of FIG. 8(a) and FIG. 8(b), each field is concentrically arranged and each field has the sector-shape. As described above, as the drawing sequence of the plurality of fields concentrically arranged, for example, there is a method by which the drawing is conducted successively in the lower direction in such a manner that the drawing is conducted for the field of the first group which positions at the top portion of the center of the concentric circle, and next, the drawing is conducted for the field of the second group on the same circumference which positions at the lower portion of the field of the first group, and further, next, the drawing is conducted for the field of the third group on the same circumference which positions at the lower portion of the field of the second group, but the drawing sequence of the present invention is not limited to this method. (Drawing Pattern) After the development processing of the base material 2, as an example of the drawing pattern drawn on its one surface, the circle drawing and the drawing shape of its detail are shown in FIG. 9. As shown in FIG. 9, on one surface of the base material 2, as an example of the drawing pattern, the circle drawing is conducted, and further, when E portion which is a portion of this circle drawing is enlarged, on the base material 2, a plurality of groove portions 3 which can be applied on the diffractive structure of the blaze shape are formed. The groove portion 3 is formed by the inclination portion 3b and a side wall portion 3a, and a plurality of stages of the side wall portion 3b are formed along the peripheral direction plane-likely. Initially, the present embodiment to attain the first object is characterized in that: when the electronic beam drawing is conducted in order to form the groove portion on one surface of the base material, particularly, in order to draw the inclined surface of the groove portion, when the dose distribution is changed, for example, from the first dose amount to the second dose amount, on a boundary portion of them, when the dose amount mixing area in which the first dose amount and the second dose amount are mixed, is provided, a gentle groove portion inclination portion in which the step difference due to the minimum dose resolving power of the electronic beam drawing apparatus which is determined by the minimum time resolving power of the D/A converter after the development processing, is suppressed, can be obtained. Further, in the present embodiment, a case where the groove portion of the groove portion shape is formed while the circle drawing is conducted on one surface of the base material forming the curved surface shape, is taken as an example, and the description will be continued below, but the present invention is not limited to the circle drawing, and one surface of the base material may also be, for example, a plane. As shown in FIG. 10, the base material 2 has a curved surface portion 2a which is formed on at least one surface, and a groove portion 3 is inclined and formed every each pitch Ll, and a side wall portion 3a rising from the curved surface portion 2a at the division position of the pitch, an inclination portion 3b formed between the adjoining each of side wall portions 3a, 3a, and a valley portion 3c formed on a boundary area between the side wall portion 3a and the inclination portion 3b are formed. In the inclination portion 3b, an inclination surface in which its one end contacts with a base end of the one hand side wall portion 3a, and the other end contacts with a tip of the other hand side wall portion 3a, is structured. Hereupon, these plurality of groove portions 3, as will be described later, are formed when the coating agent (resist) coated on the curved surface portion 2a is drawn by the electronic beam drawing apparatus and this is development processed, and the inclination portion 3b of the groove portion 3 forms a gentle inclination surface by the drawing of the electronic beam drawing apparatus which will be described later. FIGS. 11(a), (b) are views in which a dose distribution 300 for drawing a F potion which is a part of this inclination portion 3b is enlarged. In this example, 3-stage dose amount division areas 301, 302, and 303 are shown, and the difference h of each stage of dose amount is the dose minimum unit adjustable according to the minimum clock of the D/A converter of the electronic beam drawing apparatus. In adjoining dose amount division areas 301, 302, for example, when the dose amount of the upper dose amount division area 301 is made the first dose amount, the first step which scans the electronic beam of the electronic beam drawing apparatus in the first dose amount is conducted in the upper dose amount division area 301. In the same manner, when the dose amount of its lower dose amount division area 302 is made the second dose amount, the second step which is scanned by this second dose amount is conducted in the lower dose amount division area 302. Then, a dose amount mix area MIX which is a characteristic of the present invention is provided between these upper dose amount division area 301 and lower dose amount division area 302. That is, in the dose amount mix area MIX, the first dose amount and the second dose amount are mixed, and the first scan by the first dose amount, and the second scan by the second dose amount are alternately conducted according to a predetermined mixing pattern. As described above, a dose amount mix area MIX 12 is provided between adjoining dose division area 301 and dose amount division area 302, and in the same manner, a next dose amount mix area MIX 23 is provided between adjoining dose division area 302 and dose amount division area 303. According to this dose amount mix area, an inclination step by which the step difference due to the difference of the dose amount between adjoining dose division areas is made the inclination surface, is conducted. In this dose amount mix area, it is preferable that respective widths of the second scan section (a concave portion) which scans by the second dose amount and the first scan section (a convex portion) which scans by the first dose amount are structured in such a manner that, as shown in FIG. 11(a), they are constant or, as shown in FIG. 11(b), corresponding to the drawing pattern, for example, as the height of the inclination portion 3b is reduced, the width of the second scan section is increased. However, an important point in this case is that it is structured so that the width of each of the first scan section and the second scan section is smaller than the expected spread by the proximity effect of the electronic beam of the drawn line width, and more preferably, it is smaller than the diameter of the electronic beam. More specifically, the dose amount mix area MIX is structured by a combination of a plurality of the first scan section and the second scan section, and in an example shown in FIG. 12, structured by 3 sets (B21: B11, B22: B12, B23: B13), and this combination is set to an adequate number corresponding to the inclination angle of the inclination surface. Herein, when the pitch of the first scan section and the second scan section is U1=U2=U3, the width of each of the first scan sections B11, B12, B13 is T1, T2, T3, and the width of each of the second scan sections B21, B22, B23 is V1, V2, V3, and U1=T1+V1, U2=T2+V2, U3=T3+V3, for example, in this case, when T1>T2>T3, V1<V2<V3, by reducing the duty ratio as advancing to the lower dose amount division area 302 side in the manner such as (T1/V1)>(T2/V2)>(T3/V3), it can be structured in such a manner that the widths T1, T2, T3 of each of the first scan sections B11, B12, B13, are reduced stepwise as they advance to the lower dose amount division area 302 side. Hereupon, as described above, when the distance between the first scan section and the second scan section of the dose amount mix area MIX is made constant, the duty ratio is (T1/V1)=(T2/V2)=(T3/V3). Further, the number of scan of the first scan section and the second scan section provided in the dose amount mix area MIX may also be made changeable corresponding to the magnitude or inclination angle. Accordingly, it may also be structured in such a manner that, for example, in the groove portion of the central area of the curved surface portion 2a, the number of scan of the first scan section and the second scan section is small, and as it goes to the groove portion of the peripheral portion side, the number of scan of the first scan section and the second scan section is increased. However, in either case, the range (U1+U2+U3) in which the first scan section and the second scan section of this dose amount mix area MIX are conducted, is determined corresponding to the inclination angle (in more detail, a displacement amount of the dose amount when the groove portion is drawn) of the groove portion. (Dose Distribution) In FIG. 13, the function block diagram of the control system which is the characteristic structural component of the electronic beam drawing apparatus, is disclosed. The memory 160 of the electronic beam drawing apparatus 1 shown in FIG. 1, has the shape storage table 161 as shown in FIG. 13, and in this shape storage table 161, for example, the dose distribution information 161a in which an ideal dose distribution corresponding to the drawing position when the inclination portion 3b and side wall portion 3a of the groove portion 3 is formed every each pitch L on the curved surface portion 2a of the base material 2 as desired, a set dose distribution in which this ideal dose distribution is approximated by the dose minimum unit of the electronic beam drawing apparatus, and the dose distribution relating to the first scan section and the second scan section when the dose amount mix area MIX is formed (the dose distribution relating to the width of the first scan section or the second scan section, or each pitch of the first scan section or the second scan section) are previously defined, is accommodated. Further, in the memory 160, the ideal dose function f(n) which expresses the ideal dose distribution, and the set dose function D(n) which expresses the set dose distribution and a dose amount mix area calculation information 161b which defines the correlation with the mixing constant w [%] which sets an extent forming the dose amount mix area, a dose distribution correction calculation information 161c which correction-calculates the set dose function D(n) according to the dose amount mix area calculation information 161b, and the other information 161d, are accommodated. Hereupon, the ideal dose function f(n) here which expresses the ideal dose distribution, is, for example, as shown in FIG. 14, a function which expresses an ideal dose distribution for obtaining the shape of a desired groove portion 3, and the set dose function D(n) which expresses the set dose distribution here is obtained, for example, as shown in FIG. 15, when the ideal dose distribution is approximated by the dose minimum unit of the electronic beam drawing apparatus. Further, the dose distribution correction calculation information 161c here is, for example, as shown in FIG. 16, a dose distribution information which shows the dose function after the correction calculation which will be described later, is conducted to the set dose function D(n), according to the dose amount mix area calculation information 161b, and a function which expresses the dose distribution when it is actually set by the electronic beam drawing apparatus. In this connection, all of these dose functions (dose distribution) shown in FIG. 14 to FIG. 16, are dose functions for forming the same groove portion. Further, these dose functions (dose distribution) show a case where one surface on which the groove portion 3 of the base material is formed, is a plane, and its dose amount and dose position are shown as an example absolutely. Herein, by using the flow chart shown in FIG. 17, a determination method of the dose amount of each drawing line which is the characteristic part of the present invention will be described below. Hereupon, in the following, as shown in FIG. 11(a), a case where the dose amount mix area in which the distance between each first scan section and the second scan section is constant, is formed on the inclination section 3b, will be described. Herein, each kind of parameter is defined as follows. The ideal dose function: f(n) The dose minimum unit: min_dose The dose set value: Dn (integer) The value of a round-off of n: Round (n) Initially, by a set means 181 shown in FIG. 13, the dose amount mix area: mix_w[%] is inputted (S301). Hereupon, the mix_w[%] here indicates a value of m/M in FIG. 18, and further, the dose amount mix area: mix_w[%] here indicates, for example, the dose amount mix area of U1+U2+U3 in FIG. 12. Specifically, as shown in FIG. 12, when the ideal dose function f(n) and the set dose function D(n) are superimposed at the same drawing position, the intersecting point of the ideal dose function f(n) and the set dose function D(n), for example, the horizontal line is drawn from the position on the vertical line corresponding to the distance of m from the high order intersecting point P, a point Q intersecting with the ideal dose function f(n), is obtained. Further, in the case where the vertical line is drawn from the point Q, when a point R intersecting with set dose function D(n) is obtained, the dose amount mix area indicates the area between the lowest point O of each dose set value and the intersection R. As described above, because when the dose amount mix area: the mix_w[%] is defined, the dose amount mix area can be determined corresponding to the inclination of the ideal dose function f(n), for example, even a case where the angle of the inclination surface of the groove portion changes corresponding to the drawing position, it can adequately cope with this. The control section 170 in FIG. 13 conducts a dose distribution correction calculation program 163b while a predetermined drawing algorithm is conducted by a processing program 163a, when it goes to a routine to calculate the dose amount mix area dose amount, and the second dose distribution corrected for forming the dose amount mix area is calculated for the original dose distribution (the first dose distribution). Specifically, initially, it is made in such a manner that the drawing position n=0 (n=0 to k, k: the outmost drawing position), and the initial set value N=1 (S302), and a value in which the ideal dose function f(n) corresponding to the drawing position n is divided by the dose minimum unit (minimum dose resolving power), and the difference R(x) between the value and the round-off value of below the decimal point of the value is calculated (S303).R(x)=f(n)/min_dose−Round (f(n) min_dose) [Ex. 1]Next, mix_w/100>1−(0.5−R(x)×2) [Ex. 2]is judged (S304) Herein, when it is judged as Yes, next, N=1 is judged (S307). Naturally, because the initial set value is made N=1, the dose set value (set dose amount) D(n) is madeD(n)=Round (f(n)/min_dose)+1 (S309). Hereupon, in S304, when it is judged No, next, mix_w/100<(0.5−R(x)×2) is judged (S305). Herein, when it is judged Yes, next, N=1 is judged (S308). Naturally, because the initial set value is made N=1, the dose set value (set dose amount) D(n) is made asD(n)=Round (f(n)/min_dose)−1 (S310). Hereupon, when it is judged No in S305, the dose set value (set dose amount) d(n) in the drawing position n is made asD(n)=Round (f(n)/min_dose) (S306). In succession to S309, or S310, the dose set value D(n) in the drawing position n is accommodated in the memory 160 as the dose distribution information 161a (S311). Next, n=k is judged (S312) Naturally, because n=0 is made, it is judged No, and n=n+1, N=−N are made (S313). After this, n=n+1, N=−N, are made, and in S312, S303–S312 are repeated at predetermined times until it is judged to be n=k in S312. When, according to the dose set value D(n) set as described above, the drawing is conducted, for example, the first scan section and the second scan section in the dose amount mix area as shown in FIG. 11(a), can be formed. (Specific Structure of the Control System) In the program memory 162 in FIG. 13, the processing program 163a to conduct the processing of the present example, (in more detail, for example, a series of processing from S101 to S117 of FIG. 21 to FIG. 23 which will be described later), according to the information such as the dose distribution information 161a, the dose amount mix area calculation information 161b, and the dose distribution correction calculation information 161c, the dose distribution correction calculation program 163b (in more detail, for example, a series of processing from S301 to S313 of FIG. 17 described above), in which, to the inclination portion 3b of the groove portion 3, to which position of the drawing line, the dose amount mix area is allotted, and when, corresponding to the formation position of the groove portion 3, the number of the first scan section and the second scan section is changed, the processing including its change processing is calculated by the calculation, and the other processing program 163c are stored. Hereupon, by the memory 160 of the present example, an “accommodation means” can be structured, and by the program memory 162 of the present example and the control section 170, “the control means” can be structured. In this case, the control means controls in such a manner that, according to the characteristic of the dose distribution, while the drawing amount is calculated, the drawing of the inclination portion 3b and the side wall portion 3a of the groove portion 3 is conducted. In this case, the control section controls in such a manner that, according to the drawing position measured by the measuring means, the current value of the electronic lens is adjusted, and corresponding to the drawing position, the focal position of the electronic beam is variably controlled, and for the focal position, while the dose amount is calculated according to the dose distribution, the drawing of the inclination portion 3b and the side wall portion 3a of the groove portion 3 is conducted. Further, the dose distribution information 161a of the memory 160 includes the information relating to the first dose distribution corresponding to the shape of the curved surface portion 2a of the base material 2, and the inclination portion 3b and the side wall portion 3a of the groove portion 3. Then, the dose distribution correction calculation program 163b conducts the calculation for correcting the first dose distribution to the second dose distribution (dose distribution correction calculation information 161c) in which the dose amount corresponding to the first scan section and the second scan section of the dose amount mix area is mixed. By this dose distribution correction calculation program 163b and the control section 170, the “calculation means” in the present invention can be structured. Further, the control means is provided with the set means 181 for setting the ideal dose distribution or the dose amount mix area: mix_w [%] which will be described later, or the display means 182 by which, for example, the dose information for each line can be displayed. In the control means having such a structure, the control section 170 conducts, while a predetermined drawing algorithm is conducted by the processing program 163a, when it goes to the routine for calculating the dose amount of the dose amount mix area, the dose distribution correction calculation program 163b, and to the original dose distribution (the first dose distribution), in order to calculate the second dose distribution corrected for forming the first scan section and the second scan section of the dose amount mix area corresponding to the drawing position, referring to some degree of basic information, that is, the dose distribution information 161a, or the table accommodated in the dose amount mix area calculation information 161b, after the corresponding dose distribution correction calculation information 161c is calculated, this calculated dose distribution correction calculation information 161c is accommodated in a predetermined temporary storage area of the memory 160, and according to the dose amount mix area calculation information 161b, the control section 170 conducts the drawing. Herein, referring to FIG. 19, an example of the table which is accommodated in the dose amount mix area calculation information 161b, specifically, an example of the table relating to the dose distribution of the first scan section and the second scan section of the dose amount mix area, will be described. In FIG. 19, a specific example of the table 161e relating to the dose distribution corresponding to the first scan section and the second scan section of the dose amount mix area, is disclosed. In the example of FIG. 19, to the drawing lines 0–13, the dose amount division area is structured by dose amount 0, and to 14–25 lines, the dose amount division area is structured by dose amount 0 and 100. Specifically, 14 lines, 18–19 lines, and 22–24 lines, form the second scan section of the dose amount mix area which is scanned by the dose amount 100. In the same manner, 15–17 lines, 20–21 lines, and 25 lines form the first scan section of the dose amount mix area by the dose amount 0. Further, to the lines 26–38, the dose amount mix area is structured again by the dose amount 100, and to lines 39–50, the next dose amount mix area is formed by the dose amounts 100 and 150. Specifically, the line 39, 43–44 lines, and 47–49 lines form the second scan section of the dose amount mix area by the dose amount 150. In the same manner, the lines 40–42, 45–46, and line 50 form the first scan section of the dose amount mix area by the dose amount 100. After that, the dose amount division area and the dose amount mix area are formed stepwise by the dose resolving power (dose minimum unit) 50. Hereupon, in the present example, for example, 1 line pitch is made 10 nm–30 nm, the minimum dose amount is made 100, and the dose resolving power (dose minimum unit) is made 50. As described above, when the table in which the dose distribution for forming the first scan section and the second scan section of the dose amount mix area is prepared for each line, is used, the correction calculation by the dose distribution correction calculation program 163b and the control section 170 can be simply conducted, and the first scan section and the second scan section of the dose amount mix area can be formed more simply. Hereupon, for the specific processing step when such a table is not used and by the predetermined program, the correction calculation is conducted, a case where the first scan section and the second scan section of the dose amount mix area as shown in FIG. 11(a), are formed, is taken as an example, and will be described in the (processing sequence) described later. Next, referring to FIG. 20, when the line is drawn, a specific structure of the control system for conducting each kind of processing will be described. In FIG. 20, a detailed structure of the control system 300 of the electronic beam drawing apparatus in FIG. 1 is disclosed. Further, the control system 300 is structured by including: a drawing condition calculation means 310 for conducting the calculation of the drawing condition according to the drawing pattern data of the drawing pattern data memory 301; (2n+1) line drawing condition calculation means 311 in which, from the drawing condition calculation means 310, (when it is a (2n+1) line (n=0, 1, 2, . . . ), it is (2n+1), however, when it is (n=1, 2, . . . ), it may also be (2n−1)), that is, (2n+1) line drawing condition calculation means 311 for calculating the drawing condition of the odd number line; time constant set circuit 312 for setting the time constant of 1 line according to (2n+1) line drawing condition calculation means 311; start point/end point voltage set circuit 313 for setting the voltage of the start point and end point of 1 line according to the (2n+1) line drawing condition calculation means 311; counter number set circuit 314 for setting the counter number according to the (2n+1) line drawing condition calculation means 311; enable signal generation circuit 315 for generating an enable signal according to the (2n+1) line drawing condition calculation means 311; and deflection signal output circuit 320 for outputting the deflection signal of the odd number line. Further, the control system 300 is structured by including: a (2n) line drawing condition calculation means 331 for calculating the drawing condition of (2n) line, that is, even number line from the drawing condition calculation means 310; time constant set circuit 332 for setting the time constant of 1 line according to the (2n) line drawing condition calculation means 331; start point/end point voltage set circuit 333 for setting the voltage of the start point and the end point of 1 line according to the (2n) line drawing condition calculation means 331; count number setting circuit 334 for setting the count number according to the (2n) line drawing condition calculation means 331; enable signal generation circuit 335 for generating the enable signal according to the (2n) line drawing condition calculation means 331; deflection signal output circuit 340 for outputting the deflection signal of the even number line; blanking amplifier 350 for conducting the blanking when it moves to the next contour line according to the (2n) line drawing condition calculation means 331; and switching circuit 360 by which, according to the drawing condition in the drawing condition calculation means 310 and the information from the deflection signal output circuit 320 of the odd number line and the deflection signal output circuit 340 of the even number line, the processing of the odd number line and the processing of the even number line are switched. The deflection signal output circuit 320 of the odd number line is structured by including: the counter circuit 321 which is a counting means for conducting the count processing according to the scan clock CL1, and an odd number line count signal CL6 from the counter number set circuit 314, and enable signal of the enable signal generating circuit 315; DA converter circuit 322 for conducting the DA converting according to the count timing from the counter circuit 321, and the odd number line drawing condition signal CL3 in the start point/end point voltage set circuit 313; and smoothing circuit 323 for conducting the processing (the processing for removing the high frequency component of the deflection signal)for smoothing the analog signal converted in this DA converter circuit 322. The deflection signal output circuit 340 of the even number line is structured by including: the counter circuit 341 which is the counting means for conducting the count processing according to the scan clock CL1, even number line count signal CL7 from the counter number set circuit 334 and the enable signal of the enable signal generating circuit 335; DA converter circuit 342 for conducting the DA conversion according to the even number line drawing condition signal CL5 in the start position/end position voltage set circuit 333; and the smoothing circuit 343 for conducting the processing for smoothing the analog signal converted in this DA converter circuit 342. Hereupon, all of sections structuring these control systems 300 are the structures which can be controlled by the control section 170 (control means) such as the CPU shown in FIG. 1. Further, these control system 300 may also be the structure which respectively forms the control system for the X deflection and the control system for the Y deflection. Hereupon, further, by the control system 300 including the drawing pattern data memory 310 of the present example, and the drawing condition calculation means 310, a “calculation means” can be structured. This “calculation means” has the function which calculates respective positions of at least 2 points corresponding to the distance corresponding to the time of integer times of the minimum time resolving power of the DA converter, on the scan line to be scanned. In this case, the “control means” of the control section 170, controls so as to almost linearly scan between respective positions calculated by the calculation means, by the electronic beam. The control system 300 having the structure as described above, generally acts as follows. That is, when the drawing condition calculation means 310 obtains the information necessary for the scan (drawing) from the drawing pattern data memory 301, it conducts the calculation processing of a predetermined drawing condition, and the information relating to the odd number line is transmitted to the (2n+1) line drawing condition calculation means 311, and the information relating to the next side and the even number line is transmitted to the (2n) line drawing condition calculation means 331. Thereby, for example, the (2n+1) line drawing condition calculation means 311 generates the drawing condition relating to the odd number line, and according to the scan clock CL1 and generated odd number line drawing condition generating signal CL4, outputs the even number line deflection signal CL110 from the deflection signal output circuit 340. The outputs of these odd number line deflection signal CL9 and the even number line deflection signal CL10 are alternately switched by the switching circuit 360 under the drawing condition calculation means 310. Accordingly, when each side in a certain target field is calculated, each side is linearly drawn (scan) alternately in such a manner that the next side and even number-th side are drawn, and further, the next side and odd number-th side are drawn. (Processing sequence) Next, referring to FIGS. 21 to 23, the processing sequence when the base material having the structure shown in FIG. 13(a) is produced by using the electronic beam drawing apparatus in FIG. 1 which can draw 3-dimensionally, will be described. As shown in FIG. 21, initially, when the processing of an aspheric surface of the distaff line material (base material) is conducted by SPDT (Single Point Diamond Turning: the diamond cutting by the ultra-precise processing machine), the simultaneous processing of the concentric circle mark is conducted (hereinafter, step “S” 101). In this case, it is preferable that, by the optical microscope, for example, the shape of the detection accuracy within ±1 μm is formed. Next, an alignment mark is marked at, for example, 3 positions by FIB (S102). Herein, it is preferable that the alignment mark of the cross shape has the detection accuracy within ±20 nm in the electronic beam drawing apparatus. Further, the relative position of the alignment mark with the concentric circle mark is observed and measured by the optical microscope, the position to the center of the aspheric surface structure is measured, and recorded in the data base (DB)(or the memory (hereinafter, the same)) (S103). Hereupon, it is preferable that this measurement accuracy is within ±1 μm, and 3 alignment marks referenced to the center x1 y1, x2 y2, x3 y3 are registered in the data base (DB). Further, the height of each portion of the master block and the positions of the alignment mark (Xn, Yn, Zn) after resist coating/baking are measured (S104). Herein, the master block (base material) corrected by the center reference: the position table Tb11 (OX, OY, OZ), alignment mark: OA (Xn, Yn, Zn) (any one of them is 3*3 matrix) are registered in the data base (DB). Next, the other each kind of processing is conducted like that the position of the measuring beam is aligned with the measuring apparatus (height detector) for the inclination surface measurement, and the beam of the electronic line is focused (S105). In this case, the measuring beam for the height detection is projected to the calibration device which is needle-like for EB (electronic beam) focus (50 nm level), and in a SEM mode, observed by the electronic beam drawing apparatus, and focussed. Next, as shown in FIG. 22, the master pattern (base material) is set in the electronic beam drawing apparatus, alignment mark is read (XXn, Yyn, ZZn), and the conversion matrix Ma is calculated, and each section position of the master pattern in the electronic beam drawing apparatus is obtained (S106). In this case, in the electronic beam drawing apparatus, each of values as shown in S106 is registered in the data base (DB). Further, from the shape of the master pattern (base material), the optimum field position is determined (S107). Then, for each field, the connection address of the adjoining field is calculated (S108). This is calculated as the plane. Next, as a division of the same focal depth area in the target field, the same line is made being included in the same division. Further, the center of the field is the height center of the focal depth division (S109). Herein, a value within 50 μm, is made the same focal depth area. Further, it is divided into about one—several portions. Next, the beam deflection amount is calculated by an (x, y) address conversion matrix (Xc, Yc) (S110). This Xc, Yc are as follows the expression (16) respectively shown. Herein, Wd is a work distance, and d shows the Z direction difference from the center of the focal depth division. Further, as shown in FIG. 23, the connect address to the adjoining field of the target field is converted (S111). Herein, the connect position calculated in S108, is converted by using the expression (16) in S110. Then, in the target field, the XYZ stage is moved to the center, and the height is set to the focus position of the EB (electronic beam)(S112). That is, at the XYZ stage, it is set to the field center. Further, while the signal of the measuring apparatus (height detector) is detected, the XYZ stage is moved, and the height position is read. Further, in the target field, the focus position of the electronic beam (EB) is adjusted to the height center of the outmost (m-th) area in the same focal depth (S113). Specifically, referring to the table, the XYZ stage is moved by an amount of the difference from the height position of the predetermined amount field center. Next, for the inside of the same focal depth of the target field, the control system conducts the calculation of the dose amount of the outmost (n-th) line and the start point and end point of the line, according to the calculation processing of the present embodiment, and conducts the line drawing. Hereupon, the start point and the end point are made a connect point to the adjoining field (S114). Hereinafter, the processing from S113 to S115 are conducted in a predetermined number of times (S115). Next, the XYZ stage is moved, and prepares to conduct the drawing making the next field as the target field (S116). In this case, the field number, time, and temperature are registered in the data base (DB). Hereinafter, when the processing from the S109 to S116 is conducted in a predetermined number of times (S117), as shown in FIG. 11(a), the inclination portion 3b of each groove portion 3 can be drawn based on the dose amount mix area in which the distance between the first scan section and the second scan section is constant. Hereupon, as described above, for example, as shown in FIG. 11(b), when the dose amount mix area is formed on the inclination portion 3b of each groove portion 3 in such a manner that the width of the first scan section and the width of the second scan section are increased as it goes to the direction in which the height of the inclination portion 3b is decreased, for example, as shown in FIG. 16, when the table in which the dose distribution for forming the first scan section and the second scan section is prepared for each line is used, the correction calculation can be simply conducted, and the drawing relating to the first scan section and the second scan section of the dose amount mix area can be conducted. In FIG. 15 and FIG. 24, the set dose distribution in the conventional electronic beam drawing apparatus and the sectional shape of the base material after the drawing/development which is measured by the scan type probe microscope are shown, and on the one hand, in FIG. 16 and FIG. 25, the set dose distribution in the electronic beam drawing apparatus according to the present invention, and the sectional shape of the base material after the drawing/development which is measured by the scan type probe microscope are shown. Hereupon, the dose distribution shown in FIG. 15 and FIG. 16 shows a case where the one surface on which the groove portion 3 is formed, is a plane, and the dose amount and the dose position are shown absolutely as an example. Further, as shown in FIG. 24 and FIG. 25, the groove portion 3′, 3 has the side wall portion 3′a, 3a, and the inclination portion 3′b, 3b, and it has a valley portion 3′c, 3c between the side wall portion 3′a, 3a, and the inclination portion 3′b, 3b. Further, the horizontal axis in these views shows the positions along the sectional direction of a plurality of groove portions (unit: μm), and the vertical axis shows the position along the height direction of the groove portion (unit: nm). As shown in FIG. 15, in the conventional electronic beam drawing apparatus, the drawing of the base material is conducted according to the set dose distribution which is obtained by approximating the ideal dose distribution by the minimum dose resolving power (dose minimum unit) of the apparatus, that is, the set dose function D(n). Then, the shape of the side wall portion 3′a, and the inclination portion 3′b, of the groove portion 3′ which are obtained after the drawing/development, is as shown in FIG. 24, on its inclination portion 3′b, a plurality of step differences resulted from the minimum dose resolving power of the electronic beam drawing apparatus are formed. On the one hand, as shown in FIG. 16, in the electronic beam drawing apparatus according to the present invention, the drawing of the base material is conducted according to the dose distribution correction calculation information 161c after the correction calculation, which will be described later, on the base of the table accommodated in the dose amount mix area calculation information 161b, is conducted, on the set dose function D(n). In this case, on the inclination portion 3b of the groove portion 3, the scan of the electronic beam of the dose amount according to the dose amount division areas 301, 302, 303, and the dose amount mix areas MIX 12, MIX 23 is conducted. Hereupon, in the dose amount mix areas, the scan of a plurality of the first scan section and second scan section is conducted. Then, the shape of the side wall portion 3a and the inclination portion 3b obtained after the drawing/development, is, as shown in FIG. 25, formed in such a manner that the inclination portion 3b is more gentle as compared to the inclination portion 3′b of the groove portion 3′ shown in FIG. 24. Herein, the detail in which the inclination portion 3b shown in FIG. 25 is formed more gentle as compared to the inclination portion 3′b of the groove portion 3′ shown in FIG. 24, will be described as follow. Generally, when the electronic beam is irradiated onto the base material, in the inside of the base material, the diffusion of the electronic beam is not a little generated, and in the vicinity area, the effect just as the portion is drawn by the electronic beam is exerted. This is made to call, in the present invention, “the vicinity effect” by the electronic beam. In this connection, in the present invention, when the electronic beam scans the inclination portion 3b of the groove portion 3 according to the dose amount mix area, between a plurality of the first scan section and the second scan section, the diffusion of the electronic beam is generated, and between these plurality of the first scan section and the second scan section, the “vicinity effect” by this electronic beam is generated. Accordingly, when this is developed, the first scan section and the second scan section are practically not strict binary shape. Further, as described above, because the width of each of the first scan section and the second scan section constituting the dose amount mix area is structured smaller than the diameter of the electronic beam, when this is drawn by the electronic beam, because, by the overlap of the drawing area by the electronic beam, a portion which is drawn covering a plurality of times (for example, 2–3 times) is generated in the first scan section and the second scan section, when this is developed, the first scan section and the second scan section become as the result the inclination surface shape having the intermediate height. This fact is the important main point in the present invention. That is, as this result, between the stepwise dose amount division areas 301, 302, 303, for scanning the inclination portion 3b, when the dose amount mix areas MIX 12, MIX 23 for forming the intermediate height are provided, the more gentle inclination surface than a case where it is scanned by only dose amount corresponding to the conventional dose amount division areas 301, 302, 303, is structured. Hereupon, as described above, when the duty ratio of the first scan section in 1 pitch of the first scan section and the second scan section forming the dose amount mix area is made small as it goes to the side in which the height of the inclination surface portion 3b is lowered, the more gentle inclination surface can be structured. Next, according to FIG. 26 and FIG. 27, the second example of the electronic beam drawing method, production method of the moldings, and electronic beam drawing apparatus according to the present invention, will be described. Hereupon, in the following, the explanation, relating to the practically same structure as the first example, will be omitted, and only the different part will be described. In the first example, although a case where the dose amount division area which is stepwise in the sectional direction (inclination direction) of the inclination portion 3b of the groove portion 3, and the first scan section and the second scan section of the dose amount mix area are structured, is described with an example, in the present embodiment, a case where the first scan section and the second scan section of the dose amount mix area are formed in the scan direction of the electronic beam, will be described. That is, the characteristic of the base material in the present example, is in an aspect that, in order to form the first scan section and the second scan section of the dose amount mix area in the scan direction of the electronic beam, when the drawing line (line in the scan direction) which is scanned by the electronic beam, is drawn, the blanking division is provided, and the blanking division is made the second scan section of the dose amount mix area, and the line drawing portion is made the first scan section of the dose amount mix area. A specific structure of the base material in the present example will be described below. In FIG. 26, a partial enlarged drawing of the dose distribution 200 for forming the inclination portion of the groove portion formed on one surface of the base material in the present example, is shown. As shown in FIG. 26, the dose distribution 200 for forming the inclination portion of the groove portion of the present example has not a large difference from the dose distribution 300 in the first example, when viewed from the sectional direction. However, when this is viewed from the above, the dose amount mix area MIX drawn by the first dose amount and the second dose amount (herein, the second dose amount>the first dose amount, the second dose amount−the first dose amount=the dose minimum unit) in the scan direction of the electronic beam (in the up-and-down direction in the drawing), is formed of the first scan section (drawn by the first dose amount) corresponding to the blanking section BK, and the second scan section (drawn by the second dose amount) corresponding to the other section. Hereupon, the slanting line area in the same drawing, corresponds to the second scan sections BJ1–BJ3, in the sectional direction of the dose amount mix area 200. On the one hand, in adjoining dose amount division areas 201, 202, as usual, the scan of the electronic beam is respectively conducted by the first dose amount and the second dose amount, and the step-wise inclination surface is formed. In the same manner, also for the inclination portion of the groove portion which is in the other position, the dose amount mix area is provided between adjoining dose amount division areas, and a plurality of the first scan section and the second scan section are arranged. Hereupon, as described above, the width of each of the first scan section and the second scan section of the first scan section and the second scan section forming the dose amount mix area is respectively formed smaller than the diameter of the electronic beam. As described above, also in the case where the first scan section and the second scan section of the dose amount mix area are formed in the scan direction of the electronic beam, in the same manner as the base material in the first example, when this is drawn by the electronic beam, in the dose amount mix area, the diffusion of the electronic beam is generated, and in between the first scan section and the second scan section forming the dose amount mix area, because the “vicinity effect” due to this electronic beam is generated, when this is developed, a part drawn in the dose amount mix area does not actually become the strict binary shape. Further, as described above, because the width of the first scan section and the second scan section forming the dose amount mix area is respectively structured smaller than the diameter of the electronic beam, when this is drawn by the electronic beam, because, by the overlap of the drawing area by the electronic beam, a portion drawn over a plurality of times (for example, 2–3 times) is generated, when this is developed, the portion drawn in the dose amount mix area becomes, as the result, an inclination surface having the intermediate height. As the result, the step difference between the adjoining dose amount division area 201 and dose amount division area 202, by the dose amount mix area MIX having the intermediate height between them, structures the more gentle inclination surface, as compared to the step difference between inclination portions of the conventional groove portion. Hereupon, as described above, in the case where the duty ratio of the first scan section in the first scan section and the second scan section of the dose amount mix area is decreased as it goes to the side in which the height of the inclination portion is lowered, because the height of the first scan section in the dose amount mix area can be decreased stepwise, the more gentle inclination surface can be structured. (Structure of the Control System of the Electronic Beam Drawing Apparatus) Next, referring to FIG. 27, the specific structure of the control system to conduct the drawing on each of areas of the drawing line, by the first dose amount and the second dose amount, corresponding to the dose amount mix area as described above, will be described. The control system 700 in the present example is assembled, for example, in the control circuit 100 in FIG. 1, and when, according to the dose amount mix area, the drawing is conducted, the mode switching control is conducted in which 2 modes for periodically providing the blanking (according to the dose amount mix area, the first scan section and the second scan section are formed for each predetermined interval), (for example, the first mode to conduct the line drawing by the second dose amount, the second mode to conduct the line drawing by the first dose amount by the blanking) are used, and it is structured by including: as shown in FIG. 27, according to a predetermined clock (signal)to scan the electronic beam on the surface of the base material, a scan DAC 702 which is the DA converter to convert the digital signal into the analog signal; and a beam deflection signal output circuit 703 to generation-output the beam deflection signal according to the analog signal converted by this scan DAC 702; a counter 711 to count clocks of the clock (signal), the first register 713 in which the information relating to the dose pattern period n1, is accommodated; the second register 715 in which, the information relating to n2 when the blanking period for forming the blanking section is n3−n2 (herein, as shown in a symbol 720, n1>n3, n3>n2), is accommodated; the third register 717 in which the information relating to n3 is accommodated; the first comparator 712 by which the count values counted by the counter 711, and the information accommodated in the first register 713 are compared, and the reset of the counter 711 can be conducted; the second comparator 714 by which the count values counted by the counter 711, and the information accommodated in the second register 715 are compared; the third comparator 716 by which the count values counted by the counter 711, and the information accommodated in the third register 717 are compared; a logical gate 718 to calculate the logical product of the comparison result compared by the second comparator 714, and the comparison result compared by the third comparator 717; and a blanking off signal output circuit 719 to generation-output the blanking off signal by which, according to the result outputted from the logical gate 718, it is made blanking off for a predetermined period. Hereupon, by the above-described scan DAC 702 and beam deflection signal output circuit 703, the “beam deflection control system” can be structured, and by the counter 711, the first comparator 712, the first register 713, the second comparator 714, the second register 715, the third comparator 716, the third register 717, logical gate 718, and blanking off output circuit 719, “the blanking control system which is the mode switching means” can be structured. In the control system 700 having the structure as described above, by the deflection signal from the beam deflection signal output circuit 703 according to the clock, the drawing of the specific drawing line (drawing line) is conducted. In this case, according to the clock, it goes to the count value of n2 which is n3>n2, and when the counter 711 outputs the count value, the second comparator 714 makes, for example, the output signal “L” level to “H” level, and outputs the “H” level signal, and inputs it into one input of the logical gate 718. Next, according to the clock, it goes to the count value of n3 which is n3>n2, and when the counter 711 outputs the count value, the third comparator 716 makes, for example, the output signal “L” level to “H” level, and outputs the “H” level signal, and inputs it into the other input of the logical gate 718. During this, when the one input of the logical gate 718 is the “L” level, and the other input is the “L” level, or the one input is the “H” level and the other input is the “L” level, because the logical gate 718 outputs “H”, it becomes “blanking on (period)” and the line drawing is conducted in the second dose amount. On the one hand, when the one input of the logical gate 718 is the “H” level, and the other input is the “H” level, or the one input is the “L” level and the other input is the “H” level, because the logical gate 718 outputs “L”, during this, it becomes “blanking off)” and the line drawing is conducted in the first dose amount. On the other hand, according to the clock, it goes to the count value of n1 which is n1>n3>n2, and when the counter 711 outputs the count value, the first comparator 712 outputs the signal, and resets the counter 711. In this manner, the “blanking off” and “blanking on” are repeated for each n1 period, for example, in an area of the dose amount mix area in FIG. 27, the blanking section BK is provided to the drawing line, and the so-called binary pattern in the drawing line direction by the first scan section drawn by the first dose amount, and the second scan section drawn by the second dose amount, can be formed. As described above, also by forming the binary pattern in the drawing line direction, the dose amount mix area can be provided on the dose distribution for forming the inclination portion of the groove portion. Hereupon, in the present embodiment, the blanking section BK is constant, however, for example, it may also be the structure in which the blanking section is changed for each drawing line. Next, According to FIG. 28, the third example of the electronic beam drawing method and the production method of the base material according to the present invention, will be described. Hereupon, in the following, the description is omitted relating to the practically same structure as the first example and second example, and relating to only the different part, it will be described. In the first example, a case where the dose amount division area and the first scan section and the second scan section of the dose amount mix area are formed in the sectional direction (inclination direction) of the inclination portion 3b of the groove portion 3, is exemplified, and in the second example, a case where the first scan section and the second scan section of the dose amount mix area are formed in the scan direction of the electronic beam, is exemplified, however, in the present example, a case where they are combined, and the dose amount division area and the first scan section and the second scan section of the dose amount mix area are formed in the sectional direction (inclination direction) of the inclination portion of the groove portion, and further, in the first scan section of this dose amount mix area, the first scan section and the second scan section are formed also in the scan direction of the electronic beam, will be described. In more details, the dose amount division area and the first scan section and the second scan section of the dose amount mix area are formed in the sectional direction (inclination direction) of the inclination portion of the groove portion, and further, when the drawing line (line in the scan direction) scanned by the electronic beam in the first scan section of this dose amount mix area is drawn, the blanking section is provided, and this blanking section is made the second scan section in the first scan section of the dose amount mix area, and the line drawing part is made the first scan section in the first scan section of the dose amount mix area. Specifically, as shown in FIG. 28, the dose distribution 400 for drawing the inclination portion of the groove portion of the present example, is not particularly changed as compared to the dose distribution 300 when it is viewed from the sectional direction. However, when this is viewed from above, the first scan section and the second scan section which draw in the first dose amount and the second dose amount (herein, the second dose amount>the first dose amount, the second dose amount−the first dose amount=the dose minimum unit) in the scan direction (the up-and-down direction in the drawing) of the electronic beam in the dose amount mix area MIX of the dose distribution 400, are formed corresponding to the blanking section BK. On the one hand, in the dose amount division areas 401 and 402, the scan of the electronic beam is respectively conducted in the first dose amount and the second dose amount as usual, and the stepwise inclination surface is formed. In the same manner, also for the inclination portion of the groove portion at another position, the dose amount mix area is provided between the adjoining dose amount division areas, and a plurality of the first scan section and the second scan section are arranged. Hereupon, as described above, the width of each of the first scan section and the second scan section which form the dose amount mix area is respectively structured so as to be smaller than the diameter of the electronic beam. As described above, also when the first scan section and the second scan section of the dose amount mix area are formed in the scan direction of the electronic beam, in the same manner as the base material in the first example and the second example, when this is drawn by the electronic beam, the diffusion of the electronic beam is generated in the dose amount mix area, and because, in between the first scan section and the second scan section forming the dose amount mix area, the “vicinity effect” by the electronic beam is generated, when this is developed, a part drawn in the dose amount mix area practically does not become a strict binary shape. Further, as described above, because the width of the first scan section and the second scan section which form the dose amount mix area is, respectively structured so as to be smaller than the diameter of the electronic beam, when this is drawn by the electronic beam, because a part which is drawn covering a plurality of times (for example, 2–3 times) by the overlap of the drawing area by the electronic beam, when this is developed, a part which is drawn in the dose amount mix area becomes, as the result, an inclination surface having the intermediate height. As the result of this, the step difference between the adjoining dose amount division area 401 and the dose amount division area 402 is structured to a more gentle inclination surface by the dose amount mix area having the intermediate height of them, as compared to the step difference between inclination portions of the conventional groove portion. Hereupon, as described above, when the duty ratio of the first scan section in 1 pitch of the first scan section and the second scan section of the dose amount mix area MIX is decreased as it goes to the side in which the height of the inclination portion is reduced, because the height of the first scan section in the dose amount mix area MIX can be lowered stepwise, the more gentle inclination surface can be structured. Next, according to FIG. 29, the fourth example of the electronic beam drawing method according to the present invention, and the production method of the base material will be described. Hereupon, in the present example, a case where, in the dose distribution for drawing the inclination portion of the groove portion, by providing the blanking section of various patterns in the drawing line in the scan direction of the electronic beam in the first scan section of the dose amount mix area, various patterns of the first scan section and the second scan section are formed, will be described. For example, the dose amount mix area 801 shown in FIG. 29(A), is structured so that the blanking section 801a positions in the skewed direction. The dose amount mix area 802 shown in FIG. 28(B), is structured in such a manner that respective blanking sections 802a, 802a, are arranged zigzag, and they are not adjoined to each other. The dose amount mix area 803 shown in FIG. 29(C), is structured in such a manner that the length of the first scan section 803b is different corresponding to the position. The dose amount mix area 804 shown in FIG. 29(D), is structured in such a manner that, when the blanking section 804a is formed slantingly being inclined, its inclination direction is changed by a constant line group. The dose amount mix area 805 shown in FIG. 29(E) is structured in such a manner that the position of the blanking section 805a is changed for each of a plurality of line groups. Even when it is the structure in which the blanking section is provided by each kind of above-described drawing patterns, in the same manner as the first to third example, when this is drawn by the electronic beam, the diffusion of the electronic beam is generated in the dose amount mix area of the groove portion, and because, in between the first scan section and the second scan section forming the dose amount mix area, the “vicinity effect” by this electronic beam is generated, when this is developed, a part drawn in the dose amount mix area is not practically the strict binary shape. Further, as described above, because the width of the first scan section and the second scan section forming the dose amount mix area is, respectively, structured so as to be smaller than the diameter of the electronic beam, when this is drawn by the electronic beam, because a part-drawn covering a plurality of times (for example, 2–3 times) by the overlap of the drawing areas by the electronic beam, is generated, when this is developed, a part drawn in the dose amount mix area, as the result of that, is the inclination surface having the intermediate height. As the result of this, the step difference between the adjoining dose amount division area 201 and the dose amount division area 202 is structured as the more gentle inclination surface, by the dose amount mix area having these intermediate heights, as compared to the step difference between the inclination portions of the conventional groove portion. The second embodiment to attain the second object, will be described below. As shown in FIG. 9, when, on the base material 2, the groove portion shape or the step difference portion of the binary pattern is drawn concentrically (hereinafter, this is called the circular pattern) on at least one surface, each pattern constituting this circular pattern is drawn by approximating to polygon by the electronic beam drawing apparatus. In this polygonal approximation, the characteristic of the second embodiment is in a fact that, initially, the dose amount is set to a value of 1-a predetermined number-th of the dose amount which is originally required, and while the polygon is shifted in the circumferential direction by a predetermined number of times, when, each of that time, it is drawn repeatedly, the step difference portion of the ideal circular pattern which is closer to the circle is formed after the developing processing. Further, when each pattern constituting the circular pattern is drawn by approximating to the polygon by the electronic beam drawing apparatus, by setting the break point between fields to the position passing each apex of the polygon, there is no case where the connect portion between fields positions at each side of the polygon, and the connect portion between fields is made not conspicuous. That is, in the base material in the present embodiment, because the step difference portion of the ideal circular pattern which is closer to the circle, is formed, further, the connect portion between fields at the drawing is not conspicuous, when the base material becomes an optical lens which is the final product form, the lowering of its diffraction efficiency or the lowering of the product value can be avoided. (Additional Description) The above-described characteristic will be illustrated, and described. The dotted line portion of FIG. 30(a) shows a circular arc of a part of the circular pattern, and the solid line portion shows a part of the polygon to which this circular pattern is approximated. FIG. 30(b) shows the characteristic of the second embodiment, and shows an example in which the polygon is shifted 3 times in the circumferential direction. In the present example, the dose amount is set to a value of 1-third of the dose amount set for drawing the polygon of FIG. 30(a). That is, when the polygon is drawn 3 times while it is shifted in the circumferential direction with the 1-third dose amount, the circular pattern which is closer to the circle can be drawn in a predetermined depth. Then, when the connect portion between fields shown in FIG. 31(a), is set to the positions of apexes of the polygon as shown in FIG. 31(b), the connect portion is not conspicuous. Herein, according to a flowchart shown FIG. 32, referring to the illustration shown in FIG. 33, a flow of the processing relating to “the determination of the field position on the circumferential surface” and the “optimum polygonal angle number calculation of the circular arc which is drawn in the field” will be described. Hereinafter, each kind of parameters are defined as follows. Maximum drawing radius: Rmax Maximum possible scan area size: L×L 1 dot size: Δ L×ΔL Herein, the maximum drawing radius: Rmax indicates, as shown in FIG. 33(A), the maximum radius in all drawing area of the base material 2. Initially, the unit drawing radial distance ΔR is calculated from the following expression (S301′). This unit drawing radial distance ΔR is, as shown in FIG. 33(B), the drawing distance relating to the radial direction (x axis direction) in each of fields. Further, a sign N is a ratio to the field size L of the unit drawing radial distance ΔR in the radial direction which is previously set. ΔR=L×N (where, N is a coefficient which is initially set: N<1). Next, the circumscribed circle radius Rout (nR) of the outmost peripheral polygon in the nR field (the field NonR in the radial direction) is calculated by the following expression (S302′). This circumscribed circle radius Rout (nR) is, as shown in FIG. 33(B), the maximum circumscribed circle radius in the drawing area of the nR-th field in the radial direction (x axis direction).Rout (nR)=nR×ΔR (Where, nR=1, 2, 3, . . . , int (Rmax/ΔR)+1, herein, int(x) is an integer part of the calculation result of (x)). Next, the condition of the angle number Nt of the polygon of each of circular patterns (ring-shaped zone) which is drawn in the nR field (the radial direction field NonR) is calculated by the following expression (S303′).Nt>π/A cos(1−Δ1/Rout (nR))=nf (1) Herein, the above expression (1) will be described. In the present invention, in the case where each pattern is drawn repeatedly and being superimposed, when the drawing lines of each of patterns are superimposed between adjoining patterns, the fluctuation is generated in the total dose amounts (actually, given dose amount), further, in order to avoid a problem that, by the influence by the vicinity effect, a desired shape can not be obtained as the result, so that the drawing lines of each of patterns are not superimposed between adjoining patterns, the angle number of the polygon is determined so that the distance between adjoining polygons is smaller than the minimum movement distance unit of the electronic beam. That is, as shown in FIG. 34, when the radius of the circle which circumscribes each polygon (angle number Nt) is Rn+1, and the radius of the circle which is inscribed is Rn, the difference between them may be smaller than the minimum movement distance unit of the electronic beam. Initially, when the difference between the radius Rn+1 of the circle which circumscribes the polygon (angle number Nt) and the radius Rn of the inscribed circle is expressed in the expression, it is as follows.Rn+1−Rn<Rn+1(1−cos(π/Nt)) (2) Herein, because Rn+1−Rn is the minimum movement distance unit of the electronic beam, that is, not larger than 1 dot size ΔL of the electronic beam, and Rn+1 can be respectively replaced with Rout(nR), the expression (2) can be expressed as follows.ΔL<Rout(nR)(1−cos(π/Nt)) (3) Further, when the expression (3) is transformed,1−cos(π/Nt)>ΔL/Rout(nR)cos(π/Nt)<1−ΔL/Rout(nR)π/Nt<cos−1(1−ΔL/Rout(nR))Nt/π>1/cos−1(1−ΔL/Rout(nR))Nt>π/cos−1(1−ΔL/Rout(nR))=Nf (1) Thereby, the expression (1) is introduced. Hereupon, the angle number Nf satisfies the minimum condition of the angle number of the polygon of each circular pattern (ring-shaped zone), and ideally, it is preferable that the circular pattern is approximated by the polygon of the larger angle numbers. Accordingly, the maximum angle number which can be obtained in 1 field is defined as Nt=k×Nf (where, nf is an integer), and this Nf is calculated. Initially, this k is calculated by the following expression (S304′).hf=2×Rout(nR)×sin{(2π/Nt)/2}<L×M (4) When the expression (4) is transformed,hf=2×Rout(nR)×sin{(2π/Nt)×k/2}<L×M (5) Herein, M is an initialized coefficient: M<1. Further, hf corresponds to the length of one side of the polygon when the angle number of the polygon is Nf, and as can clearly be seen from FIGS. 33(B), (C), it has the relationship ofL×M=h>hf. Accordingly, as shown in also FIGS. 33(C), (D), because Nt>Nf, then ht<hf, when the expression (5) is satisfied, even when the angle number of the polygon is Nt, the drawing area is positively settled in 1 field. Then, the angle number Nt of the polygon is determined (S305′). Hereupon, in this case, when the value of k is selected so that Nt satisfies the conditional expression (1), and k×Nf is the maximum integer, the angle number Nt of the polygon is determined. In this manner, from the calculated angle number Nt of the polygon, the connect portion of each field is set to the position which passes each apex of the polygon. Then, for each field, the connect address of the adjoining field is calculated. The calculation is conducted on the assumption that the curved portion 2a of the base material 2 is a plane. Hereupon, the lines constituting the polygon are respectively settled in the same field. Next, referring to the flowchart shown in FIG. 35, “the flow of the processing to draw by superimposing a predetermined number of times while the polygon is shifted in the circumferential direction” will be described. Hereupon, the processing described below is a matter in which the control section 170 in FIG. 1 conducts, based on the dose distribution information 161a of the shape storage table 161 of the memory 160, polygonal apex position information 161b, dose correction value information 161c, according to the processing program 163a of the program memory 162, dose amount calculation program 163c and polygonal apex position calculation program 163d. The drawing line division number Nb is previously inputted by using the set means 181 (S401). Hereupon, as this drawing line division number Nb, an arbitrary value is inputted, and the information is accommodated in the dose correction value information 161c of the memory 160. This drawing line division number Nb corresponds to “a predetermined number” of the present invention, and indicates a predetermined number described as above. That is, when the polygon is drawn, it is a number to define that the value of a what part the original dose amount is made, or what number of times the polygon is drawn by being shifted and superimposed. When the polygon is initially drawn, initially nb=1 is inputted (S402). This nb is a numeric value expressing the number of drawing times of the polygon, and initially 1 is inputted. Next, as shown in FIG. 19, each apex position coordinate data of the polygon in the drawing line_n (where, n is an arbitrary natural number) is taken in (S403). However, initially, each apex position coordinate data ((x1, Y1)−(Xk, Yk)) of the polygon in the drawing line_1 is taken in. Hereupon, each apex position coordinate data of this polygon is accommodated in the polygon apex position information 161b of the memory 160. Next, it is judged whether Dn/Nb≧the dose amount minimum unit (S404). (where, Dn is the dose amount necessary in Line_n). Herein, when Dn/Nb≧the dose amount minimum unit (S404, Yes), the line connecting to the apex position is drawn by the dose amount Dn/Nb (S405). (where, when Dn/Nb can not be divided by the dose amount minimum unit, it is drawn by Dn/Nb+(remainder×Nb).) Next, in order to advance the second drawing, nb expressing the drawing number of times is substituted by nb=nb+1 (S406). Herein, each apex position coordinate of the polygon of the drawing Line_n is transformed by the following expression (S407). [ X k + 1 Y k + 1 ] = [ cos θ sin θ - sin θ cos θ ] [ X k Y k ] (Where, (Xk, Yk) is each apex position coordinate of the polygon at k times drawing; and θ is (2π/Nt)/Nb.) Then, the line connecting the apex after transformation is drawn by the dose amount Dn/Nb (S408). Herein, it is judged whether the drawing times nb reaches the drawing line division number Nb (S409). Herein, when nb<Nb (S409, No), the sequence advances to S406 to conduct the next drawing. Then, when the drawing times nb reaches the drawing line division number Nb (S409, Yes), the sequence advances to the drawing of the next line Line_n+1 (S409). Hereupon, in the above-described S404, when Dn/Nb<the dose amount minimum unit (S404, No), the drawing line division number Nb is made 1, and the line connecting the apex position is drawn by the dose amount Dn (S410). Then, the sequence advances to the drawing of the next drawing line Line_n+1 (S409). Hereinafter, until the drawing for the all drawing lines is completed, the processing from the S402 to S410 is repeated. (Specific Structure of the Control System) In FIG. 37, the function block diagram of the control system to control the present example, is disclosed. The memory 160 of the electronic beam drawing apparatus 1 shown in FIG. 1 has the shape memory table 161 as shown in FIG. 37, and in this shape memory table 161, the dose distribution information 161a in which the dose distribution corresponding to the drawing position when, for example, on the curved surface portion 2a of the base material 2, the inclination portion 3b and the side wall portion 3a of the groove portion 3 are formed as desired for each pitch L, is previously defined, and the polygonal apex position information 161b in which the information relating to the coordinate position of each apex of the polygon, which is necessary when the circular pattern consisting of the groove portion 3 formed for each pitch L is approximated to the polygon and line drawn, is previously defined, and simultaneously, the dose correction value information 161c in which the predetermined number for setting the original dose amount introduced by the dose distribution information 161a to the value of a predetermined number-th, is defined, and in the same manner, the minimum scan distance unit information 161d in which the information relating to the minimum scan distance unit of the electronic beam of the apparatus is previously defined, are accommodated. Further, in the program memory 162, a processing program 163a (in more details, a program to conduct a series of processing from S101 to S119 in FIGS. 38–40 which will be described later), to conduct the drawing processing, and when the circular pattern is approximated by the polygon and the line drawing is conducted, the polygonal angle calculation program 163b (in more details, a program to conduct a series of processing from S301 to S305 of FIG. 32) to calculate the angle number of the polygon based on the information relating to the minimum scan distance unit of the electronic beam of the apparatus defined by the minimum scan distance unit information 161d, and on the base of the dose distribution information defined by the dose distribution information 161a and dose correction value information 161c, the dose amount calculation program 163c for calculating the dose amount (a value in which the original dose amount is made one-predetermined number-th) when the polygon is drawn, the polygonal apex position calculation program 163d for calculating the coordinate position for each drawing of each apex of the polygon (in more details, a program to conduct the conversion processing of each apex coordinate position in S407 of FIG. 35), or a field section position set program 163e for setting the section of the field to the position passing each apex of the polygon, is accommodated. In the structure as described above, the control section 170, when the circular pattern is approximated by the polygon and the line drawing is conducted, initially, on the base of the minimum scan distance unit information 161d to be accommodated in the memory 160, according to the polygonal angle number calculation program 163b, calculates the angle number of the polygon, and next, on the base of the dose distribution information 161a and the dose correction value information 161c to be accommodated in the memory 160, according to the dose amount calculation program 163c, calculates the dose amount when the polygon is drawn, simultaneously, on the base of the polygonal apex position information 161b to be accommodated in the memory 160, according to the polygonal apex position calculation program 163d, while conducts the processing by which the polygon is shifted in the circumferential direction for each predetermined angle, draws it a predetermined number of times by superimposing the polygon in the dose amount. Herein, the control section 170 sets the section between fields when the polygon is drawn, according to the field section position set program 163e, at any time, at the position which passes each apex of the polygon. Under such a control, the circular pattern consisting of the inclination portion 3b, side wall portion 3a and groove portion 3c of the groove portion 3, is drawn. Further, to the control section 170, the set means 181 for setting the predetermined number, and the display means 182 for displaying a set image plane therefor, are also connected. Hereupon, the control section 170 and the dose amount calculation program 163c structure the “dose amount set means” of the present invention. Further, by the control section 170 and the polygon calculation program 163b, the “angle number set means” of the present invention is structured. Further, by the control section 170 and the field section position set program 163e, the “field position set means” of the present invention is structured. Further, by the set means 181, the “predetermined number set means” of the present invention is structured. Herein, the control system 300 shown in FIG. 20 in the first embodiment can apply as the specific structure of the control system for conducting each kind of processing when each drawing line is linearly drawn by approximating the circular drawing in the present example by a regular polygon. Therefore, referring to FIG. 20, the specific structure of the control system in the present example will be described below. The drawing pattern data memory 301 in the control system 300 in the present embodiment is structured as the drawing pattern storing means for storing the data relating to various data necessary (corresponding to the radius of the circle) for, for example, approximating to the regular polygon (including an indefinite polygon) when the circle is drawn, (for example, relating to the circle of a certain radius k mm, the information corresponding to each circle such as the division number n by the polygon, the coordinate information of the position of each side and the position of each point, and the multiple value of the clock number, and further, the position in Z direction), various data necessary for linearly approximating when drawing various curved lines, not limited to the circle drawing, and the data relating to each kind of drawing pattern (rectangular, triangle, polygon, vertical line, lateral line, slanting line, disc, circumference, triangle periphery, circular arc, sector, ellipsis). Further, the control system 300 is structured by including: the drawing condition calculating means 310 for calculating the drawing condition according to the drawing pattern data of the drawing pattern data memory 301; (2n+1) line drawing line calculation means 311 for calculating, from the drawing condition calculating means 310, (when it is (n=0, 1, 2, . . . ), it is (2n+1), when (n=1, 2, . . . ), it may be (2n−1).) that is, the drawing condition of the odd number line; the time constant set circuit 312 for setting the time constant of 1 line according to the (2n+1) line drawing condition calculation means 311; the start point/end point voltage set circuit 313 for setting the voltage in the electron gun power source section 102 relating to the start point and end point of 1 line according to the (2n+1) line drawing line calculation means 311; the counter number set circuit 314 for setting the counter number of the line according to the (2n+1) line drawing condition calculation means 311; the enable signal generating circuit 315 for generating the enable signal according to the (2n+1) line drawing condition calculation means 311; and the deflection signal output circuit 320 for outputting the deflection signal of the odd number line. Hereupon, the line described here, indicates each side constituting the polygon. Further, the control system 300 is structured by including: the (2n) line drawing condition calculation means 331 for calculating the drawing condition of (2n) line, that is, the even number line from the drawing condition calculating means 310; the time constant set circuit 332 for setting the time constant of 1 line according to the (2n) line drawing condition calculation means 331; the start point/end point voltage set circuit 333 for setting the voltage in the electron gun power source section 102 relating to the start point and end point of 1 line according to the (2n) line drawing condition calculation means 331; the counter number set circuit 334 for setting the counter number of the line according to the (2n) line drawing condition calculation means 331; the enable signal generation circuit 335 for generating the enable signal according to the (2n) line drawing condition calculation means 331; the deflection signal output circuit 340 for outputting the deflection signal of the even number line; the blanking amplifier 350 for conducting the blanking when moves to the next contour line, according to the (2n) line drawing condition calculation means 331; and the switching circuit 360 for switching the processing of the odd number line and the processing of the even number line, according to the drawing condition in the drawing condition calculating means 310, and the information from the deflection signal output circuit 320 of the odd number line and the deflection signal output circuit 340 of the even number line. The odd number line deflection signal output circuit 320 is structured by including: the counter circuit 321 which is the counting mean for conducting the count processing according to the scan clock CL1, odd number line count signal CL6 from the counter number set circuit 314, and enable signal of the enable signal generation circuit 315; the DA conversion circuit 322 for conducting the DA conversion according to the count timing from the counter circuit 321, and odd number line drawing condition signal CL3 in the start point/end point voltage set circuit 313; and the smoothing circuit 323 for conducting the processing by which the analog signal converted in this DA conversion circuit 322 is smoothed (the processing for removing the high frequency component of the deflection signal). The even number line deflection signal output circuit 340 is structured by including: the counter circuit 341 which is the counting means for conducting the count processing, according to the scan clock CL1, even number line count signal CL7 from the counter number set circuit 334, and the enable signal of the enable signal generation circuit 335; the DA conversion circuit 342 for conducting the DA conversion according to the count timing from the counter circuit 341, and the even number line drawing condition signal CL5 in the start point/end point voltage set circuit 333; and the smoothing circuit 343 for conducting the processing by which the analog signal converted in this DA conversion circuit 342 is smoothed. Hereupon, each section constituting these control systems 300 is the structure in which any one of them can be controlled by the control section 170 shown in FIG. 3. Further, these control systems 300 may be the structure which forms respectively the control system for X deflection and the control system for Y deflection. Hereupon, by the control system 300 including the drawing pattern data memory 301 and the drawing condition calculation means 310, the “calculation means” can be structured. This “calculation means” has a function by which each position of at least 2 points corresponding to the distance (“the minimum movement distance unit of the electronic beam” of the present invention), is calculated, which is corresponding to the time of the integer times of the minimum time resolving power regulated by the clock number of each of DA converters (high speed D/A converters 114a–114c) connecting to each of deflection sections (molding deflection section 112a, subsidiary deflection section 112b, main deflection section 112c), on the scan line to be scanned. In this case, the control system 170 controls between respective positions calculated by the calculation means in such a manner that they are almost linearly scanned by the electronic beam. Further, in the same manner, the “calculation means” of another mode of the present invention has a function, on the scan line which is scanned almost circularly, by which each apex position of the polygon in which the distance corresponding to the time of integer times of the minimum time resolving power regulated by the clock number of the DA converter (high speed D/A converters 114a–114c) is a side, is calculated. Further, in the same manner, the control means scans almost linearly by the electronic beam between respective positions calculated by the calculation means. The control system 300 having the above-described structure almost acts as follows. That is, when the drawing condition calculation means 310 obtains the information necessary for the scan (drawing) by the linear approximation from the pattern data memory 301, it conducts the calculation processing of a predetermined drawing condition, and for example, in each of sides when, for example, one circle is approximated to each side of the regular polygon, the information relating to the first side, and the odd number-th line is transmitted to the (2n+1) line drawing condition calculation means 311, and respectively the information relating to the next side, and even number-th line is transmitted to the (2n) line drawing condition calculation means 331. Thereby, for example, the (2n+1) line drawing condition calculation means 311 generates the drawing condition elating to the odd number line, and according to the scan clock CL1 and generated odd number line drawing condition generation signal CL2, outputs the odd number line deflection signal CL9 from the deflection signal output circuit 320. On the one hand, the (2n) line drawing condition calculation means 331 generates the drawing condition relating to the even number line, and according to the scan clock CL1 and generated even number line drawing condition generation signal CL4, outputs the even number line deflection signal CL10 from the deflection signal output circuit 340. The outputs of these odd number line deflection signal CL9 and even number line deflection signal CL10 are alternately switched by the switching circuit 360 under the drawing condition calculation means 310. Accordingly, when, a certain circle is approximated to the regular polygon, and each side is calculated, each side is alternately linearly drawn (scan) in such a manner that, when a certain side, and the odd number-th side are drawn, the next side and the even number-th side are drawn, and further, the next side and the odd number-th side are drawn. Then, when, for a certain circular pattern, the first drawing is completed, after that, the above-described drawing processing is repeated until it reaches a predetermined number of times. Then, when the drawing covering a predetermined number of times is completed, the drawing condition calculation means 310 transmits to that effect to the blanking amplifier 350, and conducts the processing to accelerate to draw the next circular pattern. (For the Processing Sequence) Next, referring to FIGS. 38 to 40, the processing sequence when the base material having the diffractive structure in which a plurality of groove portions are formed concentric circularly, is made by using the electronic beam drawing apparatus having the above-described structure, will be described. As shown in FIG. 38, initially, when the mother die material (base material) is aspheric surface-processed, by SPDT (single Point Diamond Turning: diamond cutting by the ultra-precise processing machine), the simultaneous processing of the concentric circular mark is conducted (step, hereinafter, “S” 101′). In this case, it is preferable that, by the optical microscope, the shape of the detection accuracy, for example, within ±1 μm is formed. Next, by FIB, the alignment mark is marked, for example, at 3 positions (S102′). Herein, it is preferable that the cross-shaped alignment mark has the detection accuracy within ±20 nm in the electronic beam drawing apparatus. Further, the relative position of the alignment mark with the concentric circle mark is observation-measured by the optical microscope, and the position to the center of the aspheric surface structure is measured, and recorded in the data base (DB) (or the memory (hereinafter, the same) (S103′). Hereupon, it is preferable that this measurement accuracy is within ±1 μm, and 3 alignment mark positions of the center reference, x1y1, x2y2, x3y3, are registered in the data base (DB). Further, the height of each portion of the master die (base material) after the resist coating/baking and the position of the alignment mark (Xn, Yn, Zn) are measured (S104′). Herein, the master die (base material) corrected in the center reference: position table Tb11 (OX, OY, OZ), and alignment mark: OA(Xn, Yn, Zn) (all of them are 3*3 matrix), are registered in the data base (DB). Next, other than that, each kind of preparing processing is conducted like as that, to the measuring apparatus (height detector) for the inclination surface measurement,—of the position of the measurement beam is adjusted, and the beam of the electronic ray is focused (S105′). In this case, the measurement beam for the height detection is projected to the correcting device which is needle-like (50 nm level) for EB (electronic beam) focus, and in the SEM mode, it is observed by the electronic beam drawing apparatus, and focused. Next, as shown in FIG. 39, the master die (base material) is set in the electronic beam drawing apparatus, the alignment marks are read (XXn, YYn, ZZn), and transformation matrix Ma is calculated, and each portion position of the master die in the electronic beam drawing apparatus is found (S106′). In this case, in the electronic beam drawing apparatus, each of values as shown in S106′ is registered in the data base (DB). Further, the optimum field position is determined from the shape of the master die (base material) and the “angle number of the polygon when the circular pattern is approximated by the polygon and line-drawn” which will be described later (S107′). Hereupon, it is presumed that the field is distributed to the sector shape of the concentric circle. Further, it is presumed that mutual fields are made to have some overlaps. Further, it is presumed that, to a portion which does not come to the first ring-shaped zone in the center, the field is not distributed. From the angle number Nt of the polygon calculated according to the present embodiment, the connect portion of each field is set to the position which passes each apex of the polygon. Then, for each field, the connect address of the adjoining field is calculated (S108′). This calculation is calculated on the assumption that the curved surface portion 2a of the base material 2 is a plane. Hereupon, the line segments constituting the polygon are respectively accommodated in the same field. Next, as a section of the same focal depth area in the target field, the same line is entered into the same section. Further, the center of the field is the height center of the focal depth section (S109′). Herein, a value within the height of 50 μm is made the same focal depth range. Further, it is divided into 1—about several portions. Next, the beam deflection amount is calculated by the conversion matrix (Xc, Yc) of (x, y) address in the same focal depth area in the target field (S110′). This Xc, Yc are respectively the same as the illustrated expression (16). Herein, Wd is the work distance, and d indicates the Z direction deflection from the center of the focal depth section. Further, as shown in FIG. 40, the connect address of the target field and adjoining field is converted (S111′). Herein, the connect position calculated in S108′ is converted by using the expression (16) of S110′. Then, to the center in the target field, the XYZ stage is moved, and the height is set at the focus position of the EB (electronic beam) (S112′). That is, it is set at the field center at the XYZ stage. Further, while the signal of the measuring apparatus (height detector) is detected, the XYZ stage is moved and the height position is read. Further, to the height center of the area in the same focal depth of the outmost side (m-th), the focus position of the electronic beam (EB) is adjusted (S113′). Specifically, referring to the table B, the XYZ stage is moved a predetermined amount by the difference from the height position of the field center. Next, as to within the same focal depth in the target field, the dose amount of the outmost side (n-th) line, and the coordinate of the start point and end point of the polygon is calculated. Hereupon, the start (start point) and end (end point) are made connect points to the adjoining field (S114′). In this case, the start point and end point are made integer, and the dose amount is expressed by the numerical value in which the coefficient determined by the maximum dose amount determined by the radial position (incident angle) and the position of the grating is multiplied by the maximum dose amount. Hereupon, when the circular drawing is conducted as in the present example, the dose amount is made one-a predetermined number-th of a predetermined dose amount. Then, from the start point to the end point of the line which is a part of the polygon existing in the field, the drawing is conducted by the dose amount of the value of one-a predetermined number-th of the original dose amount (S115′). Hereupon, relating to the subsequent to this, as will be described, while the polygon is shifted in the circumferential direction by the predetermined number of times, each time, the drawing processing is conducted by superimposing on the different position. That is, in order to draw the first polygon as described above, after a part of the polygon existing in the field is drawn, the position of the polygon is shifted by a predetermined angle in the circumferential direction, and the second time drawing, that is, a part of the polygon drawn at the first time, is drawn, and this is repeatedly conducted until it reaches a predetermined number of times. That is, the processing from S107 to S115 is repeatedly conducted by a predetermined number of times (S116′). In the manner described above, after the drawing processing for one drawing line is completed, the preparation for the next drawing line is conducted, and the processing from S104 to S116 is conducted again. This is repeated by the regulated number of times (the number of the drawing lines existing in the field) (S117′) Then, when the drawing processing is conducted for all drawing lines, the XYZ stage is moved, and prepared for the next field drawing (S118′). In this case, the field number, time, and temperature are registered in the data base (DB) Then, when the processing from S107 to S118 is conducted by the regulated number of times (the number of fields set in the master die), the drawing for all fields is completed (S119′). As described, according to the present embodiment, in the case where the groove shape, or the step difference portion of the binary pattern is concentric circularly drawn, when the circular pattern of the step difference portion is drawn by approximating by the polygon by the electronic beam drawing apparatus, initially, the dose amount is set to a value of one-a predetermined number-th of the dose amount which is originally required, and while the polygon is shifted by a predetermined number of times in the circumferential direction, and each time, when it is drawn by superimposing, by the influence of the vicinity effect, the formation of the step difference portion of the ideal circular pattern which is nearer to the circle can be obtained consequentially after the development processing. Further, in the case where the circular pattern is drawn by approximating by the polygon by the electronic beam drawing apparatus, when the section between fields is set at the position which passes each apex of the polygon, the connect portion between fields can be made not conspicuous. Accordingly, in the case where, on at least one surface of the base material, the groove shape, or the step difference portion of the binary pattern is concentric circularly drawn, also when the circular pattern of the step difference portion is drawn by approximating by the polygon by the electronic beam drawing apparatus, the problem of the lowering of the diffraction efficiency and the lowering of the product value can be avoided from being generated in the optical lens as the final product. Hereupon, the specific structure of the base material, electronic beam drawing method, and electronic beam drawing apparatus, described in the present embodiment, are shown as an example of the present invention, and the present invention is not limited to this. For example, in the base material, one surface of the base material may also be the concave-like curved surface shape or plane, without being limited to the convex-like curved surface shape. Further, the diffractive structure may also be a binary pattern. Further, the base material may also be structured by the glass material. Further, for example, in the electronic beam drawing method, in a flow of a series of drawing processing shown in FIGS. 32, 35, and from FIG. 38 to FIG. 40, it may also have the structure in which, respectively, each of steps is replaced. Further, for example, in the electronic beam drawing apparatus, it may be allowed, specifically, even when it has another structure, or when it has the same function, or it is an apparatus in which a part of functions is extracted. Next, referring to FIG. 41 to FIG. 42, an embodiment of the molding die according to the present invention will be described. Hereupon, in the first and second embodiments, an embodiment of the electronic beam drawing method, production method of the base material, and electronic beam drawing apparatus according to the present invention is disclosed, however, in the present embodiment, the molding die according to the present invention, specifically, a process to produce the molding die for producing the optical lens such as the optical element as the base material by the injection molding will be described. Initially, the aspheric surface processing of the molding die (electroless Nickel or the like) is conducted by the machining (processing process). Next, as shown in FIG. 41(A), the base material 2000 having the semi-spherical surface is resin-molded by the molding die (resin molding process). Further, after the base material 500 is washed, drying is conducted. Next, as shown in FIG. 41(B), the processing on the surface of the base material 500 of resin is conducted (resin surface processing process), specifically, the positioning of the base material 500 is conducted, and while the resist L is dropped, the spinner is rotated, and the spin coat is conducted. Further, pre-pake is also conducted. After the spin coating, the film thickness measurement of the resist film is conducted, and the resist film is evaluated (resist film eveluation process). Then, as shown in FIG. 41(C), the positioning of the base material 500 is conducted, and while, respectively, the base material 500 is controlled in the X, Y, Z axis, as in the first to the second embodiments, the curved surface portion having a plurality of groove portions is drawn by the three-dimensional electronic beam (drawing process). Next, the surface smoothing processing of the resist film L on the base material 500 is conducted (surface smoothing process). Further, as shown in FIG. 41(D), while positioning of the base material 200 is conducted, the development processing is conducted (development process). Furthermore, the surface hardening processing is conducted. Next, the process to evaluate the resist shape is conducted by the SEM observation or film thickness measurement unit (resist shape evaluation process). Further, after that, etching processing is conducted by dry etching. In this case, when D portion of the groove portion 502 is enlarged, a plurality of groove portions formed of the inclination portion 502b and side wall portion 502a are formed. In the inclination portion of this groove portion, according to the embodiment 1, the dose amount mix area is provided between the adjoining dose amount section areas, and because, according to the dose amount mix area, the inclination step for making the step difference due to the difference of the dose amounts between the adjoining dose amount section areas the inclination surface is conducted, the gentle inclination without the step difference can be formed. Further, because the duty ratio of the first scan section in 1 pitch of the first scan section and the second scan section constituting the dose amount mix area is changed corresponding to the inclination angle of the inclination portion, even in a portion in which the inclination angle becomes steep as it goes to the peripheral portion of this groove portion, the gentle inclination without the step difference can be formed. Further, because the circle drawing processing by the embodiment 2 is conducted, when the side wall portion 502a is viewed from the above, it is the more ideal circle, and there is no case where the connect portion between fields is conspicuous. Next, in order to make the molding die 504 for the base material 500, as shown in FIG. 42(A), after the molding die electrocasting pre-processing is conducted, the electrocasting processing is conducted, and as shown in FIG. 42(B), a processing to release the base material 500 from the molding die, is conducted. Then, for the separated molding die 504, the surface processing is conducted (molding die surface processing process). Then, the molding die 504 is evaluated. In this case, when B portion is shown by being enlarged, in the molding die 504, the concave portion 505 is formed so that it corresponds to the groove portion of the base material 500, and in each of these concave portions 505, the inclination portion 512b is formed so that it corresponds to the inclination portion 502b of the base material 500. In this manner, after the evaluation, by using the molding die 504, as shown in FIG. 42(C), the molded object is produced by the injection molding. After that, the molded object is evaluated. In this case, as shown in FIG. 42(C), in the injection molded object 510 which is the final molding base material, the structure which is the same as the base material of the first to the fourth embodiment is completed, and a plurality of groove portions 511 are formed on the curved surface portion. Then, when C portion is shown by being enlarged, the groove portion formed of the side wall portion 512a and inclination portion 512b is structured, and this inclination portion 512b forms the gentle inclination having no step difference. Further, when the side wall portion 512a is viewed from the above, it becomes the more ideal circle, and the connect portion between fields is not conspicuous. As described above, according to the present embodiment, when the optical element (for example, lens) is formed as the base material of the first to fourth embodiments, corresponding to the case where the diffraction lattice is drawn on the curved surface by using the drawing apparatus, the dose amount mix area is provided between the dose amount section areas to which the dose distribution for drawing the inclination portion of the groove portion adjoins, and according to the dose amount mix area, because the inclination-step by which the step difference due to the difference of the dose amount between adjoining dose amount section areas is made the inclination surface, is conducted, as the shape of the molding die, for the inclination portion of the groove portion, the gentle inclination having no step difference can be formed. Because the optical element can be produced by the injection molding by using the molding die, the reduction of the cost necessary for the production can be attained. As described above, according to the electronic beam drawing method, production method of the base material, molding die for forming the base material, and electronic beam drawing apparatus in the present embodiment, when the groove portion as the diffraction lattice is formed on the base material, in order to draw the inclination surface, the dose amount mix area in which these 2 dose amounts are mixed and drawn is provided between portions drawn by 2 dose amounts which are different in the minimum dose resolving power unit, and when the inclination surface drawn according to the dose amount mix area is developed, the step difference, conventionally, due to the minimum dose resolving power which is determined by the minimum time resolving power of the D/A converter of the electronic beam drawing apparatus, is eliminated, and the gentle inclination can be formed. Further, when the molding die is produced by using this base material, because the base material can be produced by the injection molding, the reduction of the cost necessary for the production can be attained. Hereupon, for the electronic beam drawing method, production method of the base material, molding die for forming the base material, and electronic beam drawing apparatus, their several specific embodiments are described as examples, however, they can be variously modified for the embodiments described in this description, without departing from the spirit and scope of the present invention. For example, in the above-described embodiments, the case where the base material of the optical element of the optical lens is directly drawn, is described, however, when the forming die (molding die) for forming the optical lens of the resin by the injection molding is processed, the above-described principle, processing sequence, and processing method may also be used. Further, in the above-described embodiment, the sequence in which the drawing of the inclination portion and side wall portion of the groove portion and the drawing by the dose amount mix area are conducted by a series of scans is described, however, not limited to such a sequence, initially, after the drawing of the groove portion is conducted, the drawing by the dose amount mix area may also be conducted. Further, as the final molded base material, it may be allowed when it has the groove portion-like diffraction lattice on one surface and on each groove portion, has the inclination surface drawn by the above-described dose amount mix area, and it is arbitrary whether the other surface is formed as the optical element provided with the ordinary plane, or the surface having the polarizing plate function, or wavelength plate function. Further, as the base material, it may also be allowed even when it does not have the curved surface portion, or it is the material on which the plane or inclination surface is formed. In addition to that, not limited to the above-described electronic beam drawing apparatus, it may also be allowed even in the case where the apparatus is structured in such a manner that, by a plurality of respective electronic beams, they are respectively independent and multiple-drawings can be conducted. For example, it may also be the structure formed in such a manner that, while one drawing line on the base material is drawn, the other drawing line can be drawn. Further, it may also be a structure in which the processing program processed in the electronic beam drawing apparatus of each embodiment described above, described processing, or the whole of the data (each kind of tables) in the memory or each part, is recorded in the information recording medium. As this information recording medium, for example, ROM, RAM, semiconductor memory such as the flash memory, and integrated circuit may be used, and further, it may be used by structuring in such a manner that the information is recorded in another medium, for example, a hard disk. Further, various stages are included in the above-described embodiments, and by the adequate combination in the disclosed plurality of construction requirements, various inventions can be extracted. That is, it is needless to say that both of each of embodiments described above, or an example by the combination of any one of them and any one of each of modified examples is also included. In this case, even when specifically not described in the present embodiment, relating to the action and effect clear from each structure disclosed in each of embodiments and modified examples, naturally, also in the present example, the action and effect can be attained. Further, it may also be the structure in which several construction requirements are deleted from all construction requirements disclosed in the embodiments. Then, in the description hitherto, only one example of the embodiment of the present invention is disclosed, and it can be appropriately modified and/or changed in a predetermined range, however, each embodiment is to exemplify, and not limited. Then, as described above, according to the electronic beam drawing method, production method of the base material, molding die for forming the base material, and electronic beam drawing apparatus according to the present invention, when the groove portion as the diffraction lattice is formed on the base material, in order to draw its inclination surface, the dose amount mix area in which these 2 dose amounts are mixed and drawn between portions which are drawn by 2 dose amounts different in the minimum dose resolving power unit, is provided, and when the portion drawn according to the dose amount mix area is developed, the step difference, conventionally, due to the minimum dose resolving power determined by the minimum time resolving power of the D/A converter of the electronic beam drawing apparatus can be formed to the gentle inclination surface. Further, when the molding die is produced by using this base material, because the base material can be produced by the injection molding, the reduction of the cost necessary for the production can be attained. Further, according to the present embodiment, when the optical element (for example, an optical lens) as the base material of the first embodiment is produced, initially, according to the method described in the first embodiment, the diffraction lattice is circularly drawn on the curved surface portion of the base material which is a base pattern, by the electronic beam drawing apparatus, and further, after the predetermined surface processing is conducted, when the etching processing is conducted by the dry etching, the basic pattern of the base material is obtained, and when the electro-casting processing is conducted on the basis of this basic pattern, the diffraction lattice formed on the surface of the base material is transferred on the molding die, and because, by using this molding die, the optical element can be produced by the injection molding, the reduction of the cost necessary for the production of the optical element can be attained. Hereupon, in the production method of the base material described in the present embodiment, an example of the present invention is exemplified, and the present invention is not limited this. Further, other than the present invention, for example, the base material which is the basic pattern, is structured by the resin material, or glass material, when the'shape is transferred on the metallic glass, the molding die is obtained, and by using this molding die, the optical element may also be produced by the molding. As described above, according to the electronic beam drawing method, production method of the base material, molding die for forming the base material, and electronic beam drawing apparatus, according to the present invention, in the case where the groove portion shape or the step difference portion of the binary pattern is concentric circularly drawn on at least one surface of the base material, when the circular pattern of the step difference portion is drawn by approximating by the polygon by the electronic beam drawing apparatus, initially, the dose amount is set to a value of one-predetermined number-th of the originally required dose amount, and while the polygon is shifted in the circumferential direction a predetermined number of times and at each time, when it is drawn by being superimposed, by the influence of the vicinity effect, eventually, after the development processing, the formation of the step difference portion of the ideal circular pattern closer to the circle, can be obtained, and further, in the case where the circular pattern is drawn by approximating by the polygon by the electronic beam drawing apparatus, when the section between field is set at the position which passes each apex of the polygon, because the connect portion between fields can be made non-conspicuous, it can be avoided that a problem of the lowering of the diffraction efficiency, or the lowering of the product value is generated in the optical lens which is the final product. |
|
062999508 | description | DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT In accordance with this invention, it has been found that a protective material is needed that is fire-resistant and is crushable to serve as an shock absorbing and impact limiting material for insertion into the void spaces 5 formed between the inside walls of a shipping container 1, and the outer walls of a containment vessel 7 for hazardous and/or nuclear materials. In accordance with FIGS. 1-4, the preferred embodiment for the present invention is a fireproof, impact limiting, homogeneous aggregate material (HAM)3. The homogeneous aggregate material 3 is in a granular form when dry, and is in a porable form after water is mixed in (see FIG. 2), until the mixture is poured into a shipping container, vibrated and allowed to stand, cure and solidify for numerous days, and baked at high temperatures for numerous days, forming a solid mass inside the shipping container (see FIG. 3) after a cover plate is welded over the fill hole. The homogeneous aggregate material is a combination of portland cement and inorganic vermiculite. The material of the present invention does not contain hydrocarbon compounds as does the prior art. Most existing, commonly-used, internal packaging materials utilized in hazardous and/or radioactive shipping containers, contain some type of carbon, or hydrocarbon-based, internal packaging and insulating material which is flammable after the appropriate combustion temperature is reached during test scenarios. The internal impact limiting and insulating material 3 (HAM) is placed in the void spaces 5 of an outer container 1 which occur between: (a) the interior surface 6 of the walls of the outer shipping container 1; PA1 (b) the walls of an interior encapsulating jacket 6 or stainless steel liner of material that covers the exterior surface of the internal nuclear material containment vessel 7 placed inside the outer shipping container 1; and PA1 (c) the top lid 29 and top plug unit 21 that seals the upper portion of the shipping container 1. PA1 approximately 10% aluminum oxide (alumina), PA1 approximately 37% of silicon dioxide (silica), PA1 approximately 6.7% of ferric oxide, PA1 approximately 1.2% of titanium oxide, PA1 approximately 30% of calcium oxide, PA1 approximately 13.1% of magnesium oxide, and PA1 approximately 2% of sodium monoxide. The homogeneous aggregate material 3 is also placed in the void space 5 in the top plug unit 21 of the shipping container 1. The top plug unit 21 forms an upper barrier for impact absorption and insulation from the top of the interior containment vessel 7, then a drum lid 8 is bolted onto the top of the outer shipping container 1 (see FIGS. 2 and 3). The lid 8 of the interior containment vessel 7 has separate bolts, and O-ring seals made of ethylene-propylene material, for sealing of the lid 8 of the interior vessel 7 onto the interior containment vessel 7. The invention provides for utilization of a homogeneous aggregate material 3 as an internal containment vessel 7 packing or encapsulating material, which solves numerous problems incurred by the use of hydrocarbon-based packaging materials because the invention is fireproof, shock absorbent, and castable into any shape, providing additional safety to reduce the possibility of a worst-case breach of nuclear material transport containers. The homogeneous aggregate material 3 is composed of two main inorganic components. One of the main inorganic components is portland cement, which is typically composed of: lime, alumina, silica, iron oxide, tetracalcium aluminoferrate, tricalcium aluminate, tricalcium silicate, and dicalcium silicate in varying amounts, along with small amounts of magnesia, sodium, potassium, and sulfur. The other main component of the homogeneous aggregate material is an inorganic vermiculite, which is mixed with the portland cement. One type of inorganic vermiculite and portland cement mixture utilized in tests is commercially available from Thermal Ceramics, Inc., of Augusta, GA, under the trade name of Kaolite.TM. 1600. The inorganic mixture tested was composed of: The portland cement and inorganic vermiculite aggregates form a homogeneous, rigid mass after water is added and the mixture is allowed to stand in a shipping container and cure for approximately two days, followed by a high temperature baking for approximately two days. One preferred embodiment of the process of mixing and forming the homogeneous aggregate material 3 in an outer shipping container 1, for protection of the interior containment vessel 7, includes the following steps in reference to FIGS. 1-3. (A) Provide a stainless steel shipping container 1 weighing approximately 95 pounds and having approximately 5.0 cubic feet of void space 5 between the outer shipping container 1, and the walls of an interior encapsulating jacket 6 or stainless steel liner, into which an inner containment vessel 7 is placed. The void space 5 is to be filled with the wet mixture 35 of inorganic vermiculite, portland cement and water, for a wet cast weight of approximately 400 pounds. (B) Mix approximately 122 pounds of inorganic vermiculite and portland cement with approximately 183 pounds of water slowly in a mixer container 11 until thoroughly mixed (see FIG. 1). (C) Place the drum shipping container 1 upside down 13 onto a shaking or vibrating table (not shown). Shake or vibrate the shipping container 1 at approximately 1.5 to 2 times the wet cast weight (750 pound-force) at 2,000 vibrations per minute, while pouring the wet mixture 35 into the drum shipping container void space 5 through a bottom pour hole 15 (see FIG. 2). (D) Continue the vibrations for a time period of at least five minutes after the drum of the shipping container 1 is full. (E) Shake or vibrate the shipping container 1 at approximately 750 pound-force at 2,000 vibrations per minute, while pouring the wet mixture 35 into the bottom pour hole 15 in the bottom surface or bottom head 17 of the outer shipping container 1, and vibrate for at least 5 minutes after filling. (F) While the mixture 35 is solidifying inside the shipping container 1 (see next step), a similar wet mixture 35 of inorganic vermiculite, portland cement, and water is poured into the top plug unit 21, through an opening, into the void space 23 (see FIG. 1A), while vibrating the top plug unit 21 for at least 5 minutes after filling. (G) Allow the mixture to solidify within the shipping container 1 and within the top plug unit 21, over approximately 24 to 48 hour period, at room temperature. The temperature of at least approximately 60, and up to approximately 90 degrees fahrenheit is preferable. (H) Bake the solidified mixture 31 (FIG. 3), inside the shipping container 1, and in the top plug unit 21, in a gas-fired or forced convection fresh air circulating electric furnace (not shown), over at least approximately 48 hours, beginning at 200 degrees fahrenheit for approximately 4 hours, and increasing the temperatures by approximately 75 degrees every hour, until approximately 500 degrees is reached, with baking at approximately 500 degrees for approximately 36 to 40 hours, for a total bake period of approximately 48 hours. (I) Cool the solidified and baked mixture 31 within the shipping container 1, and within the top plug unit 21, to approximately room temperature. The finished weight for the solidified and baked mixture 31 in the shipping container 1 is approximately 245 pounds, and the finished density is approximately 30 pounds per cubic foot. (J) Weld a bottom cover plate 25 over the bottom pour hole 15 in the outer shipping container 1 (see FIGS. 1 and 3). (K) Weld the fill hole cover plate 22 over the top pour opening in the top plug unit 21 (see FIG. 1a). (L) Assemble the finished shipping container 1 (FIG. 3) in the following order; load the containment vessel 7 with the radioactive and/or hazardous materials, seal the containment vessel top 8, and fasten with bolts, lower the assembled containment vessel 7 into the interior encapsulating jacket 6 inside the outer containers center void 9 (now filled with solidified homogeneous material 31, place the top plug unit 21 over the containment vessel 7, install the shipping container lid 29 with its fasteners 27. After baking, cooling, and assembly of the shipping container, the homogeneous aggregate material has approximately 4-5 pounds per cubic foot of residual water bound in the solidified material, potentially serving as a neutron absorbing and heat dissipating component of the homogeneous aggregate material 31. A second embodiment for shipping containers utilized for transport of neutron emitting nuclear materials, is the addition of natural boron, enriched boron, compounds containing boron (i.e. boron carbide), or compounds containing gadolinium, cadmium, europium, hafnium, samarium, indium alloys, or other neutron absorbing compounds mixed into the homogeneous aggregate material. The addition of boron compounds, or other neutron absorbing compounds, to the mixture before solidification, provides a nonvolatile neutron absorbing additive to the homogeneous aggregate material 3. The above described steps of mixing, pouring, curing, baking, and cooling are utilized, with the boron compounds, or other neutron absorbing compounds, mixed into the mixture of portland cement, vermiculite, and water at the mixing step, before the wet mixture is poured into the voids of the shipping container. The percentage of boron, boron containing compounds, or other neutron absorbing compounds added to the wet mixture is variable and is dependent on the radioactivity of the materials stored in the inner containment vessel. As explained earlier, the baked and cooled homogeneous aggregate material 3 has approximately 4-5 pounds per cubic foot of water remaining in the solidified material, potentially serving as a neutron absorbing component of the homogeneous aggregate material 3. The benefits of the homogeneous aggregate material 3 are numerous when compared to the prior art. Current packaging and shock-inhibiting materials utilize hydrocarbon- or carbon-based materials for the interior voids 5 of shipping containers 1 containing interior nuclear material containment vessels 7. The carbon-based materials will eventually burn and release toxic fumes, or may add to the internal heating of a containment vessel 7 of nuclear materials. The silicon, aluminum, ferric, magnesium and calcium composition of inorganic vermiculite and portland cement will not burn when cured and hardened inside a shipping container 1. The cured mass of homogeneous aggregate material provides a castable, non-flammable, packaging material that serves as a thermal insulator for any enclosed containment vessel 7 of hazardous chemical and/or nuclear materials. The cured mass has a very low capacity to store heat, therefore providing outstanding insulating properties from exposures to high or low temperatures. The cured mass does not expand appreciably when heated to high temperatures. The melting temperature of the cured mass is approximately 2335 degrees fahrenheit, which is higher than the stainless steel outer container 1 that is typically utilized for transport of nuclear materials. Testing results for the solidified, cured, and baked homogeneous aggregate material 3 inside a shipping container 1 have verified the insulating capabilities of the claimed invention. Testing has subjected stainless steel shipping containers 1, with an internal containment vessel 7 surrounded by the solidified, cured, and baked homogeneous aggregate material 31 encapsulated by a ductile jacket 6, to temperatures of 1525 degrees Fahrenheit at the outer surface of the walls of the shipping container 1 for over 34 minutes. The ductile jacket 6 is composed of the stainless steel interior wall and the exterior wall of the drum shipping container (see FIG. 2) 1. The maximum temperature measured on the interior wall 6 of the encapsulated material was approximately 215 degrees Fahrenheit, with a maximum measured temperature at the exterior of the containment vessel 1 of 150 degrees Fahrenheit. The heat is also dissipated by some of the approximate 4-5 pounds per cubic foot of water left inside the HAM after curing and baking, that evaporates during the fire test, venting steam away through vent holes from the interior wall that protects the containment vessel. Vent holes in the containment vessel 7 and shipping container 1 are drilled after the HAM 3 is cured, and fusible plastic hole plugs 33 are placed in the vent holes. In summary, internal nuclear and/or other hazardous containment vessels 1 are protected from destructive temperatures at the containment vessel lids 8, which have O-ring seals which deteriorate over 350 degrees Fahrenheit, by the homogeneous aggregate material, placed inside the shipping container 1 and serving as an encapsulating jacket 6 around the containment vessel 7. A second major benefit is the impact limiting properties of the homogenous aggregate material 3 when solidified in a ductile jacket 6 within the shipping container 1, provides a brittle structure that is frangible when subjected to impacts (see FIG. 4). As the shipping container 1 is subjected to impacts, the brittle structure of the HAM fractures, crushes, and powders, dissipating the force around the interior containment vessel 7. Because the brittle homogenous aggregate material 3 directs fractures from the impact in many different directions, the HAM 3 does not delaminate along one specific plane. The stress-strain curve (see FIG. 4) shows the energy absorbing capabilities of the brittle structure of the homogenous aggregate material 3 formed into an encapsulating jacket 6. Therefore, the encapsulating jacket 6, and the brittle structure of the homogenous aggregate material 3, provides insignificant pathways for flames, radiation of heat, or hot gasses to reach the internal containment vessel 7. A third and less obvious benefit of the homogeneous aggregate material 3 is that the inorganic vermiculite material is non-toxic in a dry condition, and is castable into a multitude of shapes when water is added, with the final form being non-toxic also. During curing of the aggregate material inside the shipping container 1, and during any thermal testing for package certification purposes of the shipping container 1 with the aggregate material inside, the only offgas formed is water vapor, which is non-toxic. As discussed above, the cured inorganic mass does not burn, and no toxic offgasses such as hydrocarbons or tars are formed when the cured mass approaches its melting temperature. A fourth benefit of the homogeneous aggregate material 3 is the low cost for the materials, and the low cost to prepare a rigid mass of the material. Costs of $12.00 to $14.00 per cubic foot have been calculated for the raw materials, which is significantly less than current rigid polyurethane foam and high-density fiberboard insulation utilized for Type-B nuclear and/or hazardous material shipping containers 1. As emphasized above, insulating material with hydrocarbon- or carbon-based materials, such as wood, polyurethane foam, and high-density fiberboard insulation, are prone to ignite above each material's combustion temperature, which requires complete removal and cleaning of a container containing hydrocarbon-based insulation when subjected to high, ignition temperatures. The invention of homogeneous aggregate material 3 does not ignite, and will not require interior cleaning of a container utilizing the material when subjected to high temperatures up to the material's melting point, which is above the melting point of the exterior stainless steel shipping container 1. A fifth benefit of the homogeneous aggregate material 3 encapsulated inside a stainless steel shipping container 1 is the low cost to maintain the shipping container 1. The organic compounds described earlier for use as impact limiting and thermal insulating materials tend to age and breakdown when exposed to severe temperature, humidity changes, and rough handling, will require periodic replacement. Replacement of the impact limiting and thermal insulating material generates a recurring maintenance cost for the life of the shipping package 1. The homogeneous aggregate material 3 of the present invention, when placed inside the exterior shipping container 1, has been subjected to over 42,000 miles of simulated endurance vibration testing with a fully loaded containment vessel 7. Radiographs have shown that the internal structure of the homogeneous aggregate material 3 will fracture, crush, and be reduced to powder from the endurance vibration and impact testing. Additional thermal (direct flame or indirect heating) testing on this container 1 has shown no significant loss of effectiveness in its impact limiting and thermal insulating properties, when incorporated with the internal homogeneous aggregate material 3. Therefore, there is no projected cost to replace the internal contents of the exterior shipping container 1, even if the structure of the internal homogeneous aggregate material fractures. There is no appreciable loss of reduction of properties of the internal homogeneous aggregate material 3 within the shipping container 1 over the life of the shipping container 1. Although the present invention has been described in considerable detail with reference to a preferred version thereof, other versions are possible. For example, the materials of the apparatus may utilize a different inorganic vermiculite composition, or a similar composition of a non-carbon based, homogeneous aggregate of materials which include a solidifying agent such as portland cement. The percentage of alumina, silica, and other non-carbon oxide compounds may be varied from the percentages described above. The configuration of the inorganic homogeneous aggregate material can be of any shape when solidified, providing impact protection and crush limitations for any stress on the exterior shipping container 1 in any direction. The shape of the material conforms to the shape of the container in which the material is cured (see FIG. 3). The solidified inorganic homogeneous aggregate material 3 fills the void spaces 5 between the interior contaminent vessel 7, and the exterior walls of the outer shipping container 1. The containment vessel 7 is placed inside the outer container 1 with the top plug 21 over the containment vessel's lid 8. By this method of encapsulating a container 1 with a rigid, nonflammable, inorganic matrix of crushable material, the internal containment vessel's high hazard materials is protected from temperature extremes and from impacts to the exterior shipping container 1. The process mixing and curing steps can be varied by allowing for additional mixing and vibrating time for the mixture inside the shipping container 1, and by providing a longer curing and heating time without detriment to the final rigid form of the inorganic homogeneous aggregate material 3. Many variations will undoubtedly become apparent to one skilled in the art upon a reading of the above specification with reference to the drawings. Therefore, the spirit and scope of the appended claims should not be limited to the description of the preferred versions contained herein. |
summary | ||
abstract | A scintillation crystal can include a sodium halide that is co-doped with thallium and another element. In an embodiment, the scintillation crystal can include NaX:Tl, Me, wherein X represents a halogen, and Me represents a Group 1 element, a Group 2 element, a rare earth element, or any combination thereof. In a particular embodiment, the scintillation crystal has a property including, for radiation in a range of 300 nm to 700 nm, an emission maximum at a wavelength no greater than 430 nm; or an energy resolution less than 6.4% when measured at 662 keV, 22° C., and an integration time of 1 microsecond. In another embodiment, the co-dopant can be Sr or Ca. The scintillation crystal can have lower energy resolution, better proportionality, a shorter pulse decay time, or any combination thereof as compared to the sodium halide that is doped with only thallium. |
|
description | In order to describe the present invention in greater detail, the invention will be described with reference to the accompanying drawings. FIG. 1 is a structural diagram of a scanning tunnel microscope (STM) to which the present invention is applied. The nanotube probe needle 1 is fastened to a holder 2a to form a detection probe 2. The method of fastening will be described later. This holder 2a is inserted into the cut groove 3a of a holder setting part 3, and is fastened in place by means of spring pressure so that the holder 2a can be detached. A scanning driving part 4 comprises an X piezo-electric element 4x, a Y piezo-electric element 4y and a Z piezoelectric element 4z scans the holder setting part 3 by expanding and contracting in the X, Y and Z directions, and thus causes scanning of the nanotube probe needle 1 relative to the sample 5. The reference numeral 6 is a bias power supply, 7 is a tunnel current detection circuit, 8 is a Z-axis control circuit, 9 is an STM display device, and 10 is an XY scanning circuit. The Z axis control circuit controls the nanotube probe needle 1 by expansion and contraction in the Z direction so that the tunnel current remains constant at each XY position. This amount of movement corresponds to the amount of indentation or projection in the Z axis direction. As the nanotube probe needle 1 is scanned in the X and Y directions, a surface-atomic image of the sample 5 is displayed by the STM display device. When the nanotube probe needle 1 is replaced in the present invention, the holder 2a is removed from the holder setting part 3, and the probe 2 is replaced as a unit. FIG. 2 is a structural diagram of an atomic force microscope (AFM) to which the present invention is applied. The nanotube probe needle 1 is fastened to a holder 2a. The holder 2a is a pyramid-form member formed on the tip end of a cantilever 2b. The cross section of this pyramid is a right-angled triangle, and the probe needle 1 is fastened to the perpendicular surface; accordingly, the probe needle 1 contacts the sample surface more or less perpendicularly, so that the shape of the sample surface can be accurately read. The cantilever 2b is fastened to a substrate 2c and fastened in a detachable manner to a holder setting part (not shown). In this configuration, the nanotube probe needle 1, holder 2a, cantilever 2b and substrate 2c together constitute the probe 2; when the probe needle is replaced, the entire probe 2 is replaced. For example, if the conventional pyramid-form probe needle 87 shown in FIG. 27 is utilized as the holder 2a, the nanotube probe needle can be fastened to this by a method described later. The sample 5 is driven in the X, Y and Z directions by a scanning driving part which is a piezo-electric element. 11 indicates a semiconductor laser device, 12 indicates a reflective mirror, 13 indicates a two-part split light detector, 14 indicates an XYZ scanning circuit, 15 indicates an AFM display device, and 16 indicates a Z axis detection circuit. The sample 5 is caused to approach the nanotube probe needle 1 in the direction of the Z axis until the sample 5 is in a position where a specified repulsive force is exerted; and afterward, the scanning driving part 4 is scanned in the X and Y directions by the scanning circuit 14 with the Z position in a fixed state. In this case, the cantilever 2b is caused to bend by the indentations and projections of the surface atoms, so that the reflected laser beam LB enters the two-part split light detector 13 after undergoing a positional displacement. The amount of displacement in the direction of the Z axis is calculated by the Z axis detection circuit 16 from the difference in the amounts of light detected by the upper and lower detectors 13a and 13b, and an image of the surface atoms is displayed by the AFM display device 15 with this amount of displacement as the amount of indentation and projection of the atoms. This device is constructed so that the sample 5 is scanned in the X, Y and Z directions. However, it is also possible to scan the probe needle side, i.e., the probe 2, in the X, Y and Z directions. The nanotube probe needle 1 may be caused to vibrate so that it lightly strikes the surface of the sample 5. The nanotube probe needle 1 shown in FIGS. 1 and 2 is a nanotube itself, such as a carbon nanotube, BCN type nanotube or BN type nanotube, etc. Of these various types of nanotubes, the carbon nanotube (also referred to as xe2x80x9cCNTxe2x80x9d below) was discovered first. In the past, diamond, graphite and amorphous carbon have been known as stable allotropes of carbon. The structures of these allotropes were also in states that were more or less determined by X-ray analysis, etc. In 1985, however, fullerene, in which carbon atoms are arranged in the form of a soccer ball, was discovered in a vapor cooled product obtained by irradiating graphite with a high-energy laser, and this compound was expressed as C60. In 1991, furthermore, carbon nanotubes, in which carbon atoms are arranged in a tubular form, were discovered in a cathodic deposit produced by means of a DC arc discharge. BCN type nanotubes were synthesized on the basis of the discovery of such carbon nanotubes. For example, a mixed powder of amorphous boron and graphite is packed into a graphite rod, and is evaporated in nitrogen gas. Alternatively, a sintered BN rod is packed into a graphite rod, and is evaporated in helium gas. Furthermore, an arc discharge may be performed in helium gas with BC4N used as the anode and graphite used as the cathode. BCN type nanotubes in which some of the C atoms in a carbon nanotube are replaced by B atoms and N atoms have been synthesized by these methods, and multi-layer nanotubes in which BN layers and C layers are laminated in a concentric configuration have been synthesized. Very recently, furthermore, BN type nanotubes have been synthesized. These are nanotubes which contain almost no C atoms. For example, a carbon nanotube and powdered B2O3 are placed in a crucible and heated in nitrogen gas. As a result, the carbon nanotube can be converted into a BN type nanotube in which almost all of the C atoms of the carbon nanotube are replaced by B atoms and N atoms. Accordingly, not only carbon nanotubes, but also general nanotubes such as BCN type nanotubes or BN type nanotubes, etc., can be used as the nanotubes of the present invention. Since these nanotubes have more or less the same substance structure as carbon nanotubes, carbon nanotubes will be used as an example in the structural description below. Carbon nanotubes (CNT) is a cylindrical carbon substance with a quasi-one-dimensional structure which has a diameter of approximately 1 nm to several tens of nanometers, and a length of several microns. Carbon nanotubes of various shapes, as shown in FIG. 3, have been confirmed from transmission electron micrographs. In the case of FIG. 3(a), the tip end is closed by a polyhedron, while in the case of FIG. 3(b), the tip end is open. In the case of FIG. 3(c), the tip end is closed by a conical shape, while in the case of FIG. 3(c), the tip end is closed by a beak shape. In addition, half-donut type nanotubes are also known to exist. It is known that the atomic arrangement of a carbon nanotube is a cylinder which has a helical structure formed by shifting and rolling up a graphite sheet. It is known that the end surface of the cylinder of a CNT can be closed by inserting six five-member rings. The fact that there are diverse tip end shapes as shown in FIG. 3 is attributable to the fact that five-member rings can be arranged in various ways. FIG. 4 shows one example of the tip end structure of a carbon nanotube; it is seen that this structure varies from a flat plane to a curved surface as a result of six-member rings being arranged around a five-member ring, and that the tip end has a closed structure. Circles indicate carbon atoms, solid lines indicate the front side, and dotted lines indicate the back side. Since there are various possible arrangements of five-member rings, the tip end structures show diversity. Not only carbon nanotubes, but also general nanotubes show such a tube structure. Accordingly, nanotubes show an extremely strong rigidity in the central axial direction and in the bending direction; and at the same time, like other carbon allotropes, etc., nanotubes show extreme chemical and thermal stability. Accordingly, when nanotubes are used as probe needles, these nanotubes tend not to be damaged even if they collide with atomic projections on the sample surface during scanning. Furthermore, since the cross-sectional diameters of nanotubes are distributed over a range of approximately 1 nm to several tens of nanometers (as described above), such nanotubes are most suitable as materials of probe needles which can produce sharp images of fine structures at the atomic level (if a nanotube with a small curvature radius is selected). Furthermore, since there are many nanotubes that have conductivity, nanotubes can be utilized not only as AFM probe needles, but also as STM probe needles. Furthermore, since nanotubes are difficult to break, they can also be used as probe needles in other scanning probe microscopes such as leveling force microscopes, etc. Among nanotubes, carbon nanotubes are especially easy to manufacture, and are suited to inexpensive mass production. It is known that carbon nanotubes are produced in the cathodic deposit of an arc discharge. Furthermore, such carbon nanotubes are generally multi-layer tubes. Furthermore, it has been found that single-layer carbon nanotubes are obtained when the arc discharge method is modified and a catalytic metal is mixed with the anode. Besides the arc discharge method, carbon nanotubes can also be synthesized by CVD using fine particles of a catalytic metal such as nickel or cobalt, etc., as a substrate material. Furthermore, it is also known that single-layer carbon nanotubes can be synthesized by irradiating graphite containing a catalytic metal with high-output laser light at a high temperature. Furthermore, it has also been found that such carbon nanotubes include nanotubes that envelop a metal. Moreover, as described above, it has been found that BCN type nanotubes and BN type nanotubes, etc., can also be inexpensively manufactured using an arc discharge process or crucible heating process, etc., and techniques for enveloping metals in nanotubes are also being developed. However, for example, in the carbon nanotube manufacturing process, it is known that carbon nanotubes are not produced just by themselves; instead, such nanotubes are produced in a mixture with large quantities of carbon nanoparticles (hereafter also abbreviated to xe2x80x9cCPxe2x80x9d). Accordingly, the recovery of CNT from this mixture at a high density is a prerequisite for the present invention. In regard to this point, the present inventors have already provided a CNT purification method and purification apparatus based on an electrophoretic process in Japanese Patent Application No. 10-280431. In this method, CNTs can be purified by dispersing the carbon mixture in an electrophoretic solution, and applying a DC voltage or AC voltage. For example, if a DC voltage is applied, the CNTs are arranged in straight rows on the cathode. If an AC voltage is applied, the CNTs are arranged in straight rows an both electrodes as a result of the formation of a non-uniform electric field. Since the degree of electrophoresis of CPs is smaller than that of CNTs, CNTs can be purified by means of an electrophoretic process utilizing this difference. It has been confirmed that this electrophoretic method can be used to purify not only carbon nanotubes, but also BCN type nanotubes and BN type nanotubes. This electrophoretic method is also used in the working of the present invention. Specifically, nanotubes purified and recovered by the above-described method are dispersed in a separate clean electrophoretic solution. When metal plates such as knife edges, etc., are positioned facing each other as electrodes in this solution, and a DC voltage is applied to these electrodes, nanotubes adhere to the cathode (for example) in a perpendicular configuration. If the electrodes are positioned so that a non-uniform electric field is formed in cases where an AC voltage is applied, nanotubes will adhere to both electrodes in a perpendicular configuration. These electrodes with adhering nanotubes are utilized in the manufacturing process of the present invention. Of course, other methods of causing nanotubes to adhere to a knife-edge-form metal plate may also be used. The above-described electrophoretic solution may be any solution that is capable of dispersing the nanotubes so that the nanotubes undergo electrophoresis. Specifically, the solvent used is a dispersing liquid, and is at the same time an electrophoretic liquid. Solvents which can be used in this case include aqueous solvents, organic solvents and mixed solvents consisting of both types of solvents. For example, universally known solvents such as water, acidic solutions, alkaline solutions, alcohol, ethers, petroleum ethers, benzene, ethyl acetate and chloroform, etc., may be used. More concretely, all-purpose organic solvents such as isopropyl alcohol (IPA), ethyl alcohol, acetone and toluene, etc., may be utilized. For example, in the case of IPA, carboxyl groups are present as electrophoretic ion species. Thus, it is advisable to select the solvent used on the basis of a comprehensive evaluation of the electrophoretic performance and dispersion performance of the nanotubes, the stability of the dispersion, and safety, etc. FIG. 5 shows a case involving CNTs as one example of a DC electrophoretic process. The electrophoretic solution 20 in which the CNTs are dispersed is held inside a hole formed in a glass substrate 21. Knife edges 22 and 23 are positioned facing each other in the solution, and a DC power supply 18 is applied. Although not visible to the naked eye, countless extremely small carbon nanotubes (CNTs) are present in the electrophoretic solution. These CNTs adhere in a perpendicular configuration to the tip end edge 22a of the cathode knife edge 22. This can be confirmed under an electron microscope. In this apparatus, a non-uniform electric field in which the lines of electric force are bent in the direction perpendicular to the plane of the knife edges is formed between the two electrodes. However, this can be utilized as a DC electrophoresis apparatus even if a uniform electric field is formed. The reason for this is as follows: specifically, in the case of a non-uniform electric field, the rate of electrophoresis is merely non-uniform; electrophoresis is still possible. FIG. 6 shows a case involving CNTs as one example of an AC electrophoretic process. The electrophoretic solution 20 in which the CNTs are dispersed is held inside a hole formed in a glass substrate 21. Knife edges 22 and 23 are positioned facing each other in the solution, and an AC power supply 19 is applied via an amplifier 26. A non-uniform electric field similar to that of FIG. 5 acts between the electrodes. Even if a non-uniform electric field is not intentionally constructed, local non-uniform electric fields are actually formed, so that electrophoresis can be realized. In this figure, a 5 MHz, 90 V alternating current is applied. CNTs adhere in a perpendicular configuration to the tip end edges 22a and 23a of the knife edges of both electrodes. FIG. 7 is a schematic diagram showing states of adhesion of nanotubes 24 to the tip end edge 23a of a knife edge 23. The nanotubes 24 adhere to the tip end edge 23a in a more or less perpendicular configuration, but some of the nanotubes are inclined. Furthermore, there are also cases in which a plurality of nanotubes are gathered together so that they adhere in the form of bundles; these are referred to as NT bundles 25 (also called nanotube bundles). The curvature radii of the nanotubes are distributed over a range of approximately 1 nm to several tens of nanometers. In cases where excessively slender nanotubes are selected as probe needles, such probe needles offer the advantage of allowing fine observation of indentations and projections in the atomic surface; conversely, however, such nanotubes may begin to vibrate in a characteristic mode, and in such cases, the resolution drops. Here, if an NT bundle 25 is used as a probe needle, the nanotube that protrudes the furthest forward in this bundle fulfils the function of a direct probe needle, while the other nanotubes act to suppress vibration. Accordingly, such NT bundles 25 can also be used as probe needles. FIG. 8 is a computer image of a scanning electron microscope image of a knife edge with an adhering CNT. It is seen that CNTs can easily be caused to adhere to a knife edge merely by performing an electrophoretic operation. However, CNTs more commonly adhere to the tip end edge at an inclination rather than at right angles. The knife edge shown in FIG. 8 is subjected to a special treatment for the purpose of a strength test. This electron-microscopic apparatus contains considerable quantities of organic substances as impurities. Accordingly, it was found that when this knife edge is irradiated with an electron beam, a carbon film originating in the impurities is formed on the surface of the knife edge. The details of this phenomenon will be described later; however, this carbon film is formed on the knife edge surface so that it covers only some of the CNTs. In other words, the carbon film has the function of fastening CNTs to the knife edge that were merely adhering to the knife edge. Other nanotubes besides CNTs can be similarly treated. The mechanical strength of CNTs on the above-described knife edge was tested. The CNTs were pressed by a member with a sharpened tip. FIGS. 9 and 10 show computer images of scanning electron microscope images obtained before and after pressing. As is clearly seen from FIG. 10, the CNT has a bending elasticity which is such that there is no breakage of the CNT even when the CNT is bent into a semicircular shape. When pressing was stopped, the CNT returned to the state shown in FIG. 9. Such a high strength and high elasticity are the reason why CNTs are not damaged even if they contact the atomic surface or are dragged across the atomic surface. This also verifies that the carbon film strongly fastens the CNTs in place. Thus, the fastening force is sufficient so that the CNTs are not separated from the knife edge even if bent. General nanotubes also have such a high strength and high elasticity; this is a major advantage of using nanotubes as probe needles. FIG. 11 is a diagram of a device used to transfer a nanotube to the cantilever of an AFM holder. A holder 2a is caused to protrude in the form of a pyramid from the tip end of a cantilever 2b. This is a member made of silicon which is manufactured using a semiconductor planar technique. Ordinarily, such a pyramid-form protruding part is used as an AFM. However, in the present invention, this pyramid-form protruding part is converted to use as a holder 2a. A nanotube 24 on the knife edge 23 is transferred to this holder 2a, and this nanotube 24 is used as a probe needle. Since the nanotubes on the knife edge are merely adhering to the knife edge, they are naturally not fastened by a film. These operations are preformed under real-time observation inside a scanning electron microscope chamber 27. The cantilever 2b can be moved three-dimensionally in the X, Y and Z directions, and the knife edge can be move two-dimensionally in the X and Y directions. Accordingly, extremely minute operations are possible. The surface signal operating probe of the present invention is completed by transferring a nanotube adhering to the knife edge to a holder, and fastening this nanotube to the holder by a fastening means. In regard to this fastening means, two methods are used in the present invention. One is a coating film; in this case, the nanotube is fastened to the holder by means of a coating film. The second method uses a fusion-welded part; in this case, the nanotube is caused to adhere to the holder, and the contact portion is fused so that the two members are bonded to each other. Since nanotubes are extremely slender, the entire base end portion of the nanotube in contact with the holder tends to form the fusion-welded part. Fusion welding methods include fusion welding by means of an electric current and fusion welding by electron beam irradiation. Below, concrete examples of nanotube fastening means will be described as embodiments. Embodiment 1 [AFM Probe Fastened by a Coating Film] FIG. 12 is a layout diagram showing the state immediately prior to the transfer of the nanotube. While being observed under an electron microscope, the tip end of the holder 2a is caused to approach very close to the nanotube 24. The holder 2a is positioned so that the nanotube 24 is divided into a tip end portion length L and base end portion length B by the tip end of the holder 2a. Furthermore, a transfer DC power supply 28 is provided in order to promote this transfer, and the cantilever 2b is set on the cathode side. However, the polarity of the DC power supply also depends on the material of the nanotube; accordingly, the polarity is adjusted to the direction that promotes transfer. The transfer of the nanotube is promoted when this voltage is applied. A voltage of several volts to several tens of volts is sufficient. This voltage can be varied according to the transfer conditions. Furthermore, this power supply 28 may also be omitted. When the approach distance D becomes closer than a specified distance, an attractive force acts on both members, so that the nanotube 24 spontaneously jumps to the holder 2a. As the approach distance D becomes closer, the actual values of the lengths L and B approach the preset design values. This transfer may include cases in which the nanotube 24 contacts both the knife edge 23 and holder 2a; and these may be separated following the formation of the coating film. FIG. 13 is a layout diagram showing the state in which the nanotube 24 adheres to the holder 2a. The tip end portion 24a protrudes by the tip end portion length L, and the base end portion 24b adheres to the holder 2a by the base end portion length B. The tip end portion 24a constitutes the probe needle. It would also be possible to cause an NT bundle 25 to adhere to the holder instead of a single nanotube 24. Furthermore, if single nanotubes 24 are transferred and caused to adhere to the holder a number of times, an effect which is the same as causing an NT bundle 25 to adhere to the holder can be obtained. In cases where nanotubes are caused to adhere a number of times, the individual nanotubes can be caused to adhere after being arbitrarily adjusted. Accordingly, a stable, high-resolution probe can be manufactured in which the nanotube that protrudes furthest to the front acts as the probe needle, while the surrounding nanotubes suppress resonance of the probe needle as a whole. Next, a coating film is formed over a specified region including the base end portion 24b of the nanotube 24, so that the nanotube 24 is firmly fastened to the holder 2a. As seen from FIG. 14, the coating film 29 is formed so that it covers the base end portion 24a from above. As a result of this coating film 29, even if the tip end portion 24a constituting the probe needle should catch on an atomic projection, the probe needle will merely flex into a bent state as described above. Thus, damage such as breakage of the probe needle or removal of the probe needle from the holder 2a can be prevented. If this coating film 29 is absent, the nanotube 24 will separate from the holder 2a when the tip end portion 24a catches on a projection. Next, methods which can be used to form the coating film 29 will be described. As described above, one method which can be used is as follows: specifically, when the base end portion 24b is irradiated with an electron beam, carbon substances floating inside the electron microscope chamber 27 are deposited in the vicinity of the base end portion so that a carbon film is formed. This carbon film is used as a coating film. A second method is a method in which a very small amount of a reactive coating gas is introduced into the electron microscope chamber 27, and this gas is decomposed by means of an electron beam, so that a coating film of the desired substance is formed. In addition, general coating methods can also be employed. For example, CVD (also referred to as chemical vapor deposition) and PVD (also referred to as physical vapor deposition) can be utilized. In the case of a CVD process, the material is heated beforehand, and a reactive coating gas is caused to flow to this location, so that a coating film is reactively grown on the surface of the material. Furthermore, the low-temperature plasma method in which the reaction gas is converted into a plasma and a coating film is formed on the surface of the material is also one type of CVD method. Meanwhile, PVD methods include several types of methods ranging from simple vapor deposition methods to ion plating methods and sputtering methods, etc. These methods can be selectively used in the present invention, and can be widely used on coating film materials ranging from insulating materials to conductive materials in accordance with the application involved. FIG. 15 is a scanning electron microscope image of a completed probe. It is seen that a CNT is fastened to the holder in accordance with the design. The present inventors took AFM images of deoxyribonucleic acid (DNA) in order to measure the resolution and stability of this probe. FIG. 16 shows an AFM image of this DNA; and the crossing and twining of the DNA were clearly imaged. To the best knowledge of the inventors, this is the first time that such clear DNA images have been obtained. Judging from FIG. 16, it appears that the tip end curvature radius of this probe constructed according to the present invention is 1.2 nm or less; it will be understood that this is extremely effective in scientific research. Embodiment 2 [Reinforced AFM Probe Fastened by Coating Film] FIG. 17 shows another coating film formation method. In order to obtain high-resolution images, it is desirable that the curvature radius of the tip end of the nanotube 24 be small. However, as described above, there are cases in which the tip end portion undergoes microscopic vibrations if the nanotube is too slender, so that the images become blurred. Accordingly, in cases where a slender nanotube 24 is used, a coating film 30 is also formed on a region of the tip end portion 24a that is close to the base end portion 24b, i.e., on an intermediate portion 24c. As a result of this coating film 30, the intermediate portion 24c is made thicker and greater in diameter, so that an effect that suppresses microscopic vibrations is obtained. This coating film 30 may be formed from the same material as the coating film 29 at the same time that the coating film 29 is formed, or may be formed from a different material. In this way, a probe needle comprising a single nanotube in which the tip end of the nanotube 24 is slender and the root of the nanotube is thick can be constructed. In other words, a high-resolution, high-reliability probe needle can be constructed from a slender nanotube, without using an NT bundle 25. Embodiment 3 [STM Probe Fastened by Coating Film] FIG. 18 is a perspective view of the essential parts of a scanning tunnel microscope probe 2. The tip end portion 24a of a nanotube 24 is caused to protrude, and this portion constitutes the probe needle. The base end portion 24b is fastened to a holder 2a by means of a coating film 29. This probe may be easily understood by a comparison with the probe 2 in FIG. 1. The actions and effects of this probe are similar to those of Embodiment 1; accordingly, a detailed description is omitted. Embodiment 4 [Magnetic Probe Fastened by Coating Film] A probe similar to that shown in FIG. 18 can be utilized as an input-output probe in a magnetic disk drive. In this case, iron atoms are embedded in the tip end of the nanotube, so that the nanotube is endowed with a magnetic effect. Since a nanotube has a tubular structure, various types of atoms can be contained inside the tube. Among these atoms, magnetic atoms can be contained in the tube, so that the nanotube is endowed with magnetic sensitivity. Of course, ferromagnetic atoms other than iron atoms may also be used. Since the tip end curvature radius of a nanotube is extremely small, i.e., a value ranging from approximately 1 nm to several tens of nanometers, the input and output of data recorded at a high density in an extremely small space can be performed with high precision. Embodiment 5 [AFM Probe Fastened by Electric Current Fusion Welding] FIGS. 19 through 24 illustrate an embodiment of fusion-welding fastening of the nanotube. First, FIG. 19 is a layout diagram of the state immediately prior to fusion welding of the nanotube. The tip end of the holder 2a is caused to approach very closely to the nanotube 24 while being observed under an electron microscope. The holder 2a is positioned so that the nanotube 24 is divided into a tip end portion length L and base end portion length B by the tip end of the holder 2a. Furthermore, a high resistance R, a DC power supply 28 and a switch SW are connected between the knife edge 23 and cantilever 2b. For example, the resistance value of the high resistance R is 200 Mxcexa9, and the voltage of the DC power supply is 1 to 100 V. In FIG. 19, in which the members are in a close proximity, the switch SW is in an open state, and no current has yet been caused to flow. When the two members are caused to approach each other even more closely so that the nanotube 24 contacts the holder 2a, the state shown in FIG. 20 results. Here, the tip end portion 24a protrudes by an amount equal to the tip end portion length L, and the base end portion 24b adheres to the holder 2a for a length equal to the base end portion length B. When the switch SW is closed so that current flows in this stage, current flows between the nanotube 24 and the holder 2a, so that the base end portion 24b that is in contact with the holder 2a is fusion-welded to the holder 2a by current heating. In other words, the base end portion 24b is fused to form the fusion-welded part 24d indicated by a black color in the figure, and the nanotube 24 is firmly fastened to the holder 2a. It is also possible to use a process in which the switch SW is closed prior to the contact between the nanotube 24 and the holder 2a, after which the base end portion 24b is converted into the fusion-welded part 24d by the flow of current caused by contact, and then the holder 2a is moved away from the knife edge 23. In this electric current fusion welding treatment, not only is the fastening strong, but fusion welding can be reliably performed with the feeling of spot welding while confirming the object in the electron microscope, so that the product yield is increased. The DC power supply 28 may be replaced by an AC power supply or pulsed power supply. In the case of a DC power supply, fusion welding can be performed using a current of 10xe2x88x9210 to 10xe2x88x926 (ampere-seconds (Axc2x7s)). For example, in a case where the diameter of the carbon nanotube (CNT) is 10 nm, and the length B of the base end portion is 200 nm, stable fusion welding can be performed at 10xe2x88x929 to 10xe2x88x927 (Axc2x7s). However, the gist of the present invention lies in the fastening of the CNT by fusion welding, and the present invention is not limited to these numerical values. Embodiment 6 [AFM Probe Fastened by Electron Beam Fusion Welding] The second fusion welding method is the electron beam irradiation method. When the switch SW is closed in the non-contact state shown in FIG. 19, an electric field is formed between the holder 2a and the nanotube 24. When the respective members are caused to approach each other even more closely, the nanotube 24 is caused to fly onto the holder 2a by the force of this electric field. Afterward, when all or part of the base end portion 24b of the nanotube 24 is irradiated with an electron beam, the base end portion 24b melts and is fusion-welded to the holder 2a as the fusion-welded part 24d. In this case, the polarity of the DC power supply 28 depends on the material of the nanotube, etc. Thus, this polarity is not limited to the arrangement shown in the drawings; and the polarity is adjusted to the direction that promotes transfer. An electric field transfer method is used in the above-described method; however, it is also possible to perform a non-electric-field transfer with the switch SW open. Specifically, when the holder 2a is caused to approach the nanotube 24 within a certain distance, a van der Waals attractive force acts between the two members, and the nanotube 24 is caused to fly onto the holder 2a by this attractive force. The surface of the holder 2a may be coated with an adhesive agent such as an acrylic type adhesive agent, etc., in order to facilitate this transfer. Following this transfer, the base end portion 24b adhering to the holder 2a is fused by irradiation with an electron beam, so that the nanotube 24 is fastened to the holder 2a via a fusion-welded part 24d. Thus, a probe similar to that obtained by current fusion welding can also be obtained by electron beam fusion welding. FIG. 21 is a schematic diagram of the completed probe following fusion welding. The tip end portion 24a constitutes the nanotube probe needle and can be used as a high-resolution probe with a tip end curvature radius of 10 nm or less. The nanotube 24 is firmly fastened to the holder 2a by means of the fusion-welded part 24d, so that the nanotube 24 does not break, bend or come loose even if subjected to a considerable impact. In the case of a carbon nanotube, it appears that the nanotube structure is destroyed and changed in amorphous carbon in the fusion-welded part 24d. If silicon is used as the material of the holder 2a, it appears that the carbon atoms that have been converted into an amorphous substance and the silicon atoms of the holder bond to form silicon carbide, so that the fusion-welded part 24d assumes a silicon carbide structure. However, detailed structural analysis of this part has not yet been completed, and this is merely conjecture at this point. In the case of BCN type nanotubes or BN type nanotubes, structural analysis of the fusion-welded part has not yet been performed. However, it has been experimentally confirmed that the members are strongly bonded by this fusion-welded part. As described above, in cases where the holder 2a is made of silicon, the holder 2a has a certain amount of conductivity since it is a semiconductor. Accordingly, since a voltage can be directly applied, current fusion welding is possible. Of course, the van der Waals transfer method or electron beam fusion welding method can also be used. However, in cases where the holder 2a is constructed from an insulator such as silicon nitride, the holder 2a has no conductivity. In such cases, therefore, the transfer method using the van der Waals attractive force or the electron beam fusion welding method is the optimal method. In cases where the current fusion welding method cannot be applied to an insulator, the following procedure may be used: An electrode is formed from a conductive substance on the surface of the CNT holder 2a or cantilever 2b. An electrode film is formed by means of, for instance, metal vapor deposition, etc. A voltage is applied to this film, resulting in that an electric current flows, the fusion welding phenomenon occurs, and a probe is thus obtained. Embodiment 7 [AFM Probe Fastened by Coating Film and Fusion Welding] In cases where a single nanotube 24 is used as a probe needle, if the tip end portion 24a of the nanotube is long and slender, it could happen that resonance occurs so that the tip end vibrates, thus causing a drop in resolution. In order to suppress such resonance, there is a method in which an additional coating film is formed on specified regions. As is clear from FIG. 22, if a coating film 30 is formed on the root side of the tip end portion 24a, this portion becomes thicker so that resonance tends not to occur. This coating region can be freely designed; accordingly, a coating film 29 which extends to the base end portion 24b may be formed. This coating film 29 has the effect of pressing the nanotube from above. Thus, together with the fusion-welded part 24d, the coating film reinforces the fastening of the nanotube 24 to the holder 2a. The thickness of the coating films 29 and 30 may be varied depending upon the case. Next, methods for forming the coating films 29 and 30 will be described. As described above, in one method, when the base end portion 24b and intermediate portion 24c are irradiated with an electron beam, not only do these portions melt, but carbon substances floating inside the electron microscope chamber 27 are deposited in the vicinity of the base end portion so that a carbon film is formed. This carbon film can be utilized as a coating film. In another method, a trace amount of a reactive coating gas is introduced into the electron microscope chamber 27, and this gas is broken down by an electron beam, so that a coating film of the desired substance is formed. In addition, general coating methods can also be employed. For example, the CVD (also called chemical vapor deposition) or PVD (also called physical vapor deposition) can be similarly utilized. Details of these methods are omitted here. It is also possible to fusion-weld an NT bundle 25 instead of fusion-welding a single nanotube 24. If a plurality of nanotubes 24 are fusion-welded one by one, the same effect as the fusion welding of an NT bundle 25 can be obtained. In cases where such fusion welding is performed one by one, the individual nanotube can be arbitrarily adjusted and fusion-welded. Accordingly, a stable, high-resolution probe can be obtained in which a nanotube that protrudes furthest forward acts as the probe needle, while the surrounding nanotubes suppress resonance of the probe needle as a whole. Embodiment 8 [STM Probe Fastened by Fusion Welding] FIG. 23 is a perspective view of the essential portion of a scanning tunnel microscope. The tip end portion 24a of a nanotube 24 is caused to protrude, and this portion acts as a probe needle. The base end portion 24b forms a fusion-welded part 24d and is fusion-welded to the holder 2a. This probe will be easily understood if compared with the probe 2 shown in FIG. 1. A metal such as tungsten or a platinum-iridium alloy, etc. can be used as the material of the holder 2a. The actions and effects of this probe are similar to those of Embodiment 5. Accordingly, details thereof are omitted. Embodiment 9 [STM Probe Fastened by Coating Film and Fusion Welding] FIG. 24 shows a probe 2 in which a coating film 30 is formed on the intermediate portion 24c of the nanotube 24. This coating film 30 is installed in order to prevent vibration of the probe needle. As in FIG. 22, a coating film 29 which covers the fusion-welded part 24d may be formed. Since the actions and effects of this probe are similar to those of Embodiment 7, details are omitted. Embodiment 10 [Magnetic Probe Fastened by Fusion Welding] A probe similar to that shown in FIG. 23 can be utilized as an input-output probe for a magnetic disk drive. In this case, iron atoms are embedded in the tip end of the nanotube, so that the nanotube is endowed with a magnetic effect. Since a nanotube has a tubular structure, various types of atoms can be contained inside the tube. As one example, ferromagnetic items can be contained in the tube, so that the nanotube is endowed with magnetic sensitivity. Of course, ferromagnetic atoms other than iron atoms may also be used. Since the tip end curvature radius of a nanotube is extremely small, i.e., approximately 1 nm to several tens of nanometers, processing such as the input and output of data recorded at a high density in a very small space, etc. can be performed with high precision. The present invention is not limited to the above-described embodiments; and various modifications and design changes, etc., within limits that involve no departure from the technical spirit of the present invention are included in the technical scope of the invention. As described in detail above, the present invention relates to an electronic device surface signal operating probe which comprises a nanotube, a holder which holds this nanotube, and a fastening means which fastens the base end portion of the nanotube to the surface of the holder in a manner that the tip end portion of the nanotube protrude, so that the tip end portion of the nanotube is used as a probe needle; and it also relates to a method for manufacturing the same. Since a nanotube is thus used as a probe needle, the tip end curvature radius is small. Accordingly, by way of using this probe needle in a scanning probe microscope, high-resolution images of surface atoms can be picked up. When this probe needle is used as the probe needle of a magnetic information processing device, the input and output of high-density magnetic information can be controlled with high precision. Since nanotubes have an extremely high rigidity and bending elasticity, no damage occurs to nanotubes even if they should contact neighboring objects. Accordingly, the useful life of the probe can be extended. Furthermore, carbon nanotubes are present in large quantities in the cathodic deposits of arc discharges, and other BCN type nanotubes and BN type nanotubes can easily be manufactured by similar methods. Accordingly, the cost of raw materials is extremely low. In the manufacturing method of the present invention, probes can be inexpensively mass-produced, so that the cost of such probes can be lowered, thus stimulating research and economic activity. In particular, STM and AFM probes with a long useful lives that are necessary for the creation of new substances can be provided inexpensively and in large quantities. Thus, the present invention can contribute to the promotion of technical development. |
|
description | The present application is a Continuation application of U.S. patent application Ser. No. 13/757,210, filed Feb. 1, 2013, issuing Sep. 13, 2016, as U.S. Pat. No. 9,442,387 entitled “EXTREME ULTRAVIOLET LITHOGRAPHY PROCESS”, which is hereby incorporated by reference in its entirety. The semiconductor integrated circuit (IC) industry has experienced exponential growth. Technological advances in IC materials and design have produced generations of ICs where each generation has smaller and more complex circuits than the previous generation. In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. Such scaling down has also increased the complexity of IC processing and manufacturing. For these advances to be realized, similar developments in IC processing and manufacturing are needed. For example, the need to perform higher resolution lithography processes grows. One lithography technique is extreme ultraviolet lithography (EUVL). Other techniques include X-Ray lithography, ion beam projection lithography, electron beam projection lithography, and multiple electron beam maskless lithography. The EUVL employs scanners using light in the extreme ultraviolet (EUV) region, having a wavelength of about 1-100 nm. Some EUV scanners provide 4× reduction projection printing, similar to some optical scanners, except that EUV scanners use reflective rather than refractive optics, i.e., mirrors instead of lenses. In order to achieve adequate aerial image contrast for future nodes, several techniques, e.g., the attenuated phase-shifting mask (AttPSM) and the alternating phase-shifting mask (AltPSM) have been developed to obtain resolution enhancement for EUVL. As technology nodes approach further down, a shadowing effect becomes a more severe issue in EUVL. So it is desired to have further improvements in this area. The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed. Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as being “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the exemplary term “below” can encompass both an orientation of above and below. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly. Referring to FIG. 1, an EUV lithography process 100 that may benefit from one or more embodiments of the present invention is disclosed. The EUV lithography process 100 employs an EUV radiation source 120 having a wavelength of about 1-100 nm. The EUV lithography process 100 also employs an illuminator 130. The illuminator 130 may comprise refractive optics, such as a single lens or a lens system having multiple lenses (zone plates) or reflective optics, such as a single mirror or a mirror system having multiple mirrors in order to direct light from the radiation source 120 onto the mask. In the EUV wavelength range, reflective optics is employed generally. Refractive optics, however, can also be realized by e.g., zoneplates. In the present embodiment, the illuminator 130 is set up to direct radiation from the radiation source 120 onto the EUV mask 140 nearly perpendicularly. For example, the chief ray angle of incidence at the object side (CRAO) is less than three degrees. All incoming light rays incident on the EUV mask 140 at almost the same angle of incidence (AOI) as that of the CRAO. The EUV lithography process 100 also employs a mask 140 (in literatures, a mask is also called a photomask or a reticle). The mask 140 can be a transmissive mask or a reflective mask. In the present embodiment, the mask 140 is a reflective mask such as described in further detail below. The mask 140 may incorporate other resolution enhancement techniques such as attenuated phase-shifting masks (AttPSM) and sub-resolution assist features (SRAF). SRAF are not printed on a final target, e.g., a semiconductor wafer. However, they help in increasing the exposure latitude (EL) or depth of focus (DOF) of main features. The EUV lithography process 100 also employs a projection optics box (POB) 150. The POB 150 may have refractive optics or reflective optics. The radiation reflected from the mask 140 (e.g., a patterned radiation) is collected by the POB 150. The POB 150 may include a magnification of less than one (thereby reducing the patterned image included in the radiation). Referring to FIG. 2, after being reflected from the mask 140, an incident light 160 is diffracted into various diffraction orders due to presence of these mask patterns, such as a 0th diffraction order ray, a negative (−) 1st diffraction order ray 162 and a positive (+) 1st diffraction order ray 164. The 0th diffraction order ray is reflected back to the illuminator and can be reused. Meanwhile, the −1st and +1st diffraction order rays, 162 and 164, are also collected by the POB 150 and directed to expose a target 170. Since the strength of the −1st and +1st diffraction order rays, 162 and 164, are well balanced, they interfere with each other and will generate a high contrast aerial image. Also, the −1st and +1st diffraction order rays, 162 and 164, are of the same distance from the pupil center in the pupil plane, and depth of focus (DOF) is maximized. The target 170 includes a semiconductor wafer with a photosensitive layer (e.g., photoresist or resist), which is sensitive to the EUV radiation. The target 170 may be held by a target substrate stage. The target substrate stage provides control of the target substrate position such that the image of the mask is scanned onto the target substrate in a repetitive fashion (though other lithography methods are possible). The following description refers to the EUV mask 140 and an EUV mask fabrication process. The EUV mask fabrication process includes two steps: a blank mask fabrication process and a mask patterning process. During the blank mask fabrication process, a blank mask is formed by depositing suitable layers (e.g., a reflective multilayer consisting of alternating layers of different materials or material combinations) on a suitable substrate. The blank mask is patterned during the mask patterning process to have a design of a layer of an integrated circuit (IC) device (or chip). The patterned mask is then used to transfer circuit patterns (e.g., the design of a layer of an IC device) onto a semiconductor wafer. The patterns can be transferred over and over onto multiple wafers through various lithography processes. Several masks (for example, a set of 15 to 30 masks) may be used to construct a complete IC device. In general, various masks are fabricated for being used in various processes. Types of EUV masks include binary intensity masks (BIM) and phase-shifting masks (PSM). An example BIM includes an almost totally absorptive region (also referred to as a dark region) and a reflective region. In the dark region, an absorber is present and an incident light beam is almost fully absorbed by the absorber. The absorber can be made of materials containing chromium, chromium oxide, chromium nitride, titanium, titanium oxide, titanium nitride, tantalum, tantalum oxide, tantalum nitride, tantalum oxynitride, tantalum boron oxide, tantalum boron nitride, tantalum boron oxynitride, aluminum, aluminum oxide, silver, silver oxide, palladium, copper, ruthenium, molybdenum, other suitable materials, or mixture of some of the above. In the reflective region, the absorber is removed and the incident light is reflected by a multilayer (ML), which will be described in further detail below. A PSM includes an absorptive region and a reflective region. There is a proper phase difference, e.g., 180 degrees, between light reflected from the absorptive region and the reflective region to enhance resolution and imaging quality. The absorber of the PSM can be made of materials such as tantalum nitride and tantalum boron nitride at a specific thickness. The PSM can be an attenuated PSM (AttPSM) or an alternating PSM (AltPSM). An AttPSM usually has 2%-15% of reflectivity from its absorber, while an AltPSM usually has larger than 50% of reflectivity from its absorber. Referring to FIG. 3, a blank mask 200 comprises a substrate 210 made of low thermal expansion material (LTEM). The LTEM material may include TiO2 doped SiO2, or other low thermal expansion materials known in the art. The LTEM substrate 210 serves to minimize image distortion due to mask heating. In the present embodiment, the LTEM substrate includes materials with a low defect level and a smooth surface. In addition, a conductive layer 205 may be deposited under (as shown in the figure) the LTEM substrate 210 for the electrostatic chucking purpose. In an embodiment, the conductive layer 205 includes chromium nitride (CrN), though other compositions are possible. A reflective multilayer (ML) 220 is deposited over the LTEM substrate 210. According to Fresnel equations, light reflection occurs when light propagates across the interface between two materials of different refractive indices. The reflected light is larger when the difference of refractive indices is larger. To increase the reflected light, one may also increase the number of interfaces by depositing a multilayer of alternating materials and let light reflected from different interfaces interferes constructively by choosing appropriate thickness for each layer inside the multilayer. However, the absorption of the employed materials for the multilayer limits the highest reflectivity that can be achieved. The ML 220 includes a plurality of film pairs, such as molybdenum-silicon (Mo—Si) layer pairs (e.g., a layer of molybdenum above or below a layer of silicon in each layer pair). Alternatively, the ML 220 may include molybdenum-beryllium (Mo—Be) layer pairs, or any layer pairs consisting of two materials or two material combinations with large difference in refractive indices and with small extinction coefficients. The thickness of each layer of the ML 220 depends on the EUV wavelength and the incident angle (on the mask). For a specified incident angle, the thickness of the ML 220 is adjusted to achieve a maximal constructive interference for light reflected at different interfaces of the ML 220. A typical number of layer pairs is 20-80, however any number of film pairs is possible. In an embodiment, the ML 220 includes forty layer pairs of Mo—Si. Each Mo—Si layer pair has a thickness of about 7 nm, with a total thickness of 280 nm. In this case, a reflectivity of about 70% is achieved. In one embodiment, a capping layer (not shown) is formed above the ML 220 to prevent oxidation of the ML. The capping layer may include silicon. Additionally, a buffer layer (not shown) may also be formed above the capping layer to act as an etching stop layer in a patterning or repairing process of an absorption layer. The buffer layer has different etching characteristics from the absorption layer (which will be described in detail later). The buffer layer may include ruthenium (Ru), Ru compounds such as RuB, RuSi, chromium (Cr), Cr oxide, and Cr nitride. A low temperature deposition process is often chosen for the buffer layer to prevent inter-diffusion of the ML 220. In the present embodiment, the buffer layer 140 contains ruthenium (Ru) and is of the thickness of 2-5 nm. In one embodiment, the capping layer and the buffer layer is a single layer. An absorption layer 230 is formed above the ML 220. The absorption layer 230 preferably absorbs radiation in the EUV wavelength range projected onto a patterned EUV mask 300. The absorption layer 230 includes multiple film layers containing materials from a group of chromium, chromium oxide, chromium nitride, titanium, titanium oxide, titanium nitride, tantalum, tantalum oxide, tantalum nitride, tantalum oxynitride, tantalum boron oxide, tantalum boron nitride, tantalum boron oxynitride, aluminum, aluminum oxide, silver, silver oxide, palladium, copper, ruthenium, molybdenum, other suitable materials, or mixture of some of the above. With a proper configuration of multiple film layers, the absorption layer 230 will provide process flexibility in a subsequent etching process by different etch characteristics of each film. One or more of the layers 205, 220, the capping layer, the buffer layer and 230 may be formed by various methods, including physical vapor deposition (PVD) process such as evaporation and DC magnetron sputtering, a plating process such as electrodeless plating or electroplating, a chemical vapor deposition (CVD) process such as atmospheric pressure CVD (APCVD), low pressure CVD (LPCVD), plasma enhanced CVD (PECVD), or high density plasma CVD (HDP CVD), ion beam deposition, spin-on coating, metal-organic decomposition (MOD), and/or other methods known in the art. The MOD is a liquid-based deposition technique. By using MOD, a metal-organic precursor, dissolved in a solvent, is spin-coated onto a substrate, and the solvent is then evaporated. A vacuum ultraviolet (VUV) source is used to convert the metal-organic precursors to constituent metal elements. Referring to FIG. 4, in one of the present embodiments, the absorption layer 230 is patterned to form the design layout pattern EUV mask 300. A patterning process may include resist coating (e.g., spin-on coating), soft baking, mask aligning, exposure, post-exposure baking, developing the resist, rinsing, drying (e.g., hard baking), other suitable processes, and/or combinations thereof. An etching process is followed to remove a portion of the absorption layer 230. The etching process may include dry (plasma) etching, wet etching, and/or other etching methods. In order to achieve enough optical density, the thickness of the patterned absorption layer 230 is usually sufficiently large, e.g. 80 nm. When the thickness of the patterned absorption layer 230 is accompanied by a CRAO being not close to zero, shadows beside mask patterns are created, referred to as the shadowing effect. The shadowing effect causes critical dimension (CD) difference for horizontal and vertical patterns, shift of patterns, and intrafield CD variation (if the azimuthal angle of the incident light varies in the exposure field), though they can be modeled and corrected. The shadowing effect also causes aerial image contrast loss, which will reduce the process window and increase the mask error enhancement factor (MEEF). The shadowing effect becomes more severe when we go to smaller technology nodes if the thickness of the absorption layer is kept the same. This is because the size of the shadow occupies a larger portion of the size of the reflective region. The shadowing effect raises challenges for EUVL to go to smaller technology nodes and puts thickness constraints in the absorption layer. In the present embodiment, the CRAO is set fairly close to zero, e.g. less than 3 degrees. Thus the shadowing effect is minimized dramatically and thickness constraints of the absorption layer can be relaxed. The present disclosure is directed towards lithography systems and processes. In one embodiment, an extreme ultraviolet lithography (EUVL) process includes receiving an extreme ultraviolet (EUV) mask, an EUV radiation source and an illuminator. The process also includes exposing the EUV mask by a radiation from the EUV radiation source, with a less-than-three-degree CRAO achieved by the illuminator. The process further includes removing most of the non-diffracted light and collecting and directing the diffracted light and the not removed non-diffracted light by a projection optics box (POB) to expose a target. In another embodiment, an EUVL process includes receiving an EUV mask, exposing the EUV mask perpendicularly by a radiation from a radiation source, removing more than 70% of the non-diffracted light and collecting and directing the diffracted light and the not removed non-diffracted light by a projection optics box (POB) to expose a semiconductor wafer. In yet another embodiment, an extreme ultraviolet lithography (EUVL) process includes receiving an extreme ultraviolet (EUV) mask and an EUV radiation source. The process also includes directing a radiation from the radiation source by an illuminator and exposing the EUV mask with a less-than-three-degree CRAO. Based on the above, it can be seen that the present disclosure offers the EUV lithography process 100. The EUV lithography process 100 employs a radiation from an illuminator with a less-than-three-degree CRAO to expose an EUV mask to produce diffracted light and non-diffracted light. The EUV lithography process 100 removes more than 70% of the non-diffracted light and utilizes mainly the diffracted light from two symmetrically located (on the pupil plane) and intensity balanced −1st and +1st diffraction orders to expose a semiconductor wafer. The EUV lithography process 100 demonstrates a significant shadowing effect reduction and provides a resolution enhancement technique for future nodes. The EUV lithography process 100 enhances aerial image contrast, pattern profile and image resolution. The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure. |
|
claims | 1. A nuclear reactor fuel integrity monitor comprising:a γ-ray detector which detects γ-ray of a specific radionuclide of a subject measurement medium of a nuclear reactor and includes a Ge-semiconductor detector;a sample container which retains the subject measurement medium therein and includes a recess portion into which the γ-ray detector is inserted;a cooling device which cools the γ-ray detector to a temperature as low as that of liquid nitrogen;a degassing device which removes radioactive argon from the subject measurement medium; anda measurement control device which performs a control so that a predetermined amount of the subject measurement medium is introduced into the sample container, and calculates a concentration of the specific radionuclide from γ-ray data per each unit time detected by the γ-ray detector and a volume of the subject measurement medium introduced into the sample container,wherein the sample container is disposed in an upper part of the γ-ray detector such that the recess portion encloses the upper part of the γ-ray detector, andwherein the cooling device is disposed at a bottom of the γ-ray detector. 2. The nuclear reactor fuel integrity monitor according to claim 1, whereinthe subject measurement medium is a coolant of the nuclear reactor and the specific radionuclide is radioactive iodine. 3. The nuclear reactor fuel integrity monitor according to claim 2, whereinan inlet side of the sample container is provided with an activated alumina column, andthe coolant passing through the activated alumina column is introduced into the degassing device. |
|
044141459 | summary | The present invention relates generally to a method for preparing a .sup.195m Au-containing liquid comprising adsorbing .sup.195m Hg on an adsorption agent and then eluting the daughter radioisotope .sup.195m Au from the adsorption agent. The present invention also relates to a method for conducting a radiodiagnostic examination using a .sup.195m Au-containing liquid and to a radioisotope generator capable of producing a .sup.195m Au-containing liquid. Radioisotopes are frequently employed in medicine for diagnostic purposes. One radioisotope frequently used for diagnostic purposes such as diagnostic examinations is .sup.99m Tc, generally in the form of a pertechnetate. .sup.99m Tc is a useful radioisotope for diagnostic examinations because it emits gamma rays of a suitable energy level and in sufficient quantity that commonly used detection systems such as gamma cameras can be used with maximum efficiency. However, for certain applications, the comparatively long half-life of .sup.99m Tc, about six hours, is disadvantageous since the radioactive .sup.99m Tc material remains circulating in the body for a relatively long period of time. Consequently, an immediate repetition of a particular diagnostic examination is not possible. Moreover, the relatively long half-life of .sup.99m Tc has an adverse influence on the radiation load, i.e., the overall quantity of radiation to which a patient undergoing diagnosis is exposed is comparatively large. In particular, .sup.99m Tc is less than suitable for cardiological examinations due to its relatively long half-life. For example, a radioisotope having a relatively short half-life is required to evaluate the movements of the ventricle walls of the heart and to perform quantatative measurements of heart functions such as ejection fraction computations and determination of the size of shunts. Therefore, a radioisotope having a half-life between, for example, about 4 and 45 seconds would consequently be of great importance in radiodiagnostic examinations, particularly in cardiological examinations. Of course, a radioisotope having such a short half-life could not be transported any significant distance and would therefore have to be produced at approximately the same location where it is to be used. It is, therefore, apparent that stringent requirements must be imposed upon the mode of preparation of such a radioisotope, since only a very simple and hence rapid preparation, preferably from an isotope generator, can be conducted in a hospital or clinical laboratory to effectively produce such a radioisotope having a relatively short half-life with a minimum of radiation complications. Of the many possible radioisotopes, the radioisotope .sup.195m Au would apparently be very suitable for the above-mentioned purposes since .sup.195m Au emits only gamma rays, the emitted rays are of a suitable energy (about 261 KeV), and the rays are emitted in a sufficient quantity to enable observation with a suitable detection apparatus such as a gamma camera. Furthermore, the half-life of .sup.195m Au is only about 30.6 sec. The .sup.195m Au radioisotope is formed as a decay product from the parent isotope .sup.195m Hg having a half-life of about 40 hours which is of sufficient duration for practical use. The parent isotope can be produced in a cyclotron by irradiating .sup.197 Au with protons and then isolating the parent isotope from the exposed material. Y. Yano (Radiopharmaceuticals, Ed. Subramanian et al., Soc. Nucl.-Medic. Inc., N.Y. 1975, pp. 236-245) stated that the generation of .sup.195m Au from .sup.195m Hg was under investigation and that a separation of the parent isotope and the daughter radioisotope by means of an ion exchanger was being studied. However, in the meantime, no publication has reported that anyone has succeeded in satisfactorily obtaining this radioisotope which appears well adapted for certain radiodiagnostic examinations. Furthermore, the above-mentioned literature article suggested the use of an ion exchanger in the separation of the .sup.195m Hg parent isotope from the .sup.195m Au radioisotope. An ion exchanger is usually understood to be a resin, for example, a sulphonated phenol-formaldehyde resin or a phenol-formaldehyde resin provided with other functional groups. However, these resins or copolymerisates would be less than suitable to serve as an adsorption agent for the .sup.195m Hg parent isotope because their adsorptivity for mercury ions usually does not differ significantly from that for gold ions and, in addition, their radiation stability is usually rather low. Furthermore, these resins often contain monomers or other low-molecular weight compounds which can contaminate the eluate upon elution of the daughter isotope. Due to the relative short half-life of the daughter isotope, any purification of the eluate is practically impossible. In accordance with one aspect of the present invention, a method is provided for preparing a .sup.195m Au containing liquid in a simple and efficient manner by adsorbing .sup.195m Hg on a suitable adsorption agent and subsequently eluting the daughter isotope .sup.195m Au from the adsorption agent with a suitable eluant, the adsorption agent comprising a mercury-ion binding material having a greater adsorption affinity for mercury ions than for gold ions. In another aspect of the present invention, a radioisotope generator capable of producing a .sup.195m Au-containing liquid is provided, the generator including an adsorption agent comprising a mercury-ion binding material having a stronger adsorption affinity for mercury ions than for gold ions. The method for preparing a .sup.195m Au-containing liquid and the radioisotope generator capable of producing a .sup.195m Au-containing liquid in accordance with the present invention preferably are used in conjunction with processes for conducting radiodiagnostic examinations in warm-blooded animals such as a human, particularly in examinations of the heart of the animal. Other potential uses for the .sup.195m Au-containing liquid are in studies of peripheral arterial blood supply such as renal artery flow studies, cerebral arterial flow studies and the like. Thus, in a further aspect of the present invention, a process for conducting a radiodiagnostic examination using a .sup.195m Au-containing liquid is provided, the process comprising administering to an animal the .sup.195m Au-containing liquid and monitoring the radioactivity emitted from the animal in a desired location such as the heart or portion thereof. |
summary | ||
claims | 1. A method for detecting the stability margin in a combustor, comprising:providing a measuring device in communication with the combustor, wherein the measuring device generates signals indicative of combustor quantities in the combustor;performing an autocorrelation calculation on the signals to determine the correlation of the signals in the combustor; andcalculating the damping coefficient from the autocorrelation calculation;determining the combustor's proximity to instability based on the damping coefficient; andcontrolling the stability of the combustor based on the determination of the combustor's proximity to instability. 2. The method of claim 1, wherein calculating the damping coefficient comprises:determining an oscillatory envelope of data from the autocorrelation calculation; anddetermining the damping coefficient from a fit of the oscillatory envelope. 3. The method of claim 2, wherein the oscillatory envelope is calculated from a Hilbert Transform of the autocorrelation calculation data. 4. The method of claim 2, wherein the fit comprises a least squares fit. 5. The method of claim 1, wherein the stability margin is estimated from a time rate of change of the damping coefficient. 6. The method of claim 5, wherein an increase of the damping coefficient over time signifies the combustors approach to stable conditions. 7. The method of claim 5, wherein a decrease of the damping coefficient over time signifies the combustors approach to unstable conditions. 8. The method of claim 7, wherein a combustor controller controls combustor parameters to prevent instability in response to the decrease of the damping coefficient over time. 9. The method of claim 8, wherein combustor parameters are selected from the group consisting of engine fuel splits and power output. 10. The method of claim 1, wherein the measuring device measures a combustor quantity selected from the group consisting of chemiluminescence, temperature, species concentration, ion current, rotor vibration, combustor can vibration, and casing vibration. 11. The method of claim 1, wherein the measuring device measures combustor pressure. 12. A system for detection of stability margin in a combustor, comprising:a measuring device in communication with the combustor, wherein the measuring device generates signals indicative of a combustor quantity; anda stability margin detection unit that receives the signals and performs an autocorrelation technique on the pressure signals to determine the proximity of the combustor to instability. 13. The method of claim 12, wherein the measuring device measures a combustor quantity selected from the group consisting of chemiluminescence, temperature, ion current, rotor vibration, combustor can vibration, and casing vibration. 14. The method of claim 12, wherein the measuring device measures pressure in the combustor. 15. The system of claim 12, further comprising a combustor controller for controlling combustor parameters in response to the results of the autocorrelation technique. 16. The system of claim 12, wherein the autocorrelation technique of the stability margin detection unit includes software code that executes the steps of:calculating the autocorrelation data of the signal;determining an oscillatory envelope of the autocorrelation data;determining a damping coefficient from the oscillatory envelope of the autocorrelation data; anddetermining the stability margin based on the value of the damping coefficient. 17. The system of claim 16, wherein the autocorrelation technique is implemented in real-time. 18. The system of claim 16, wherein the stability margin decreases when the damping coefficient decreases over time. 19. The system of claim 16, wherein the stability margin increases when the damping coefficient increases over time. 20. A method for detecting the stability margin in a combustor, comprising:providing a measuring device in communication with the combustor, wherein the measuring device generates signals indicative of a combustor quantity in the combustor;performing an autocorrelation calculation on the signals to determine the correlation of the signals;calculating an oscillatory envelope of data from the autocorrelation calculation;determining a damping coefficient from a fit of the oscillatory envelope;determining the combustor's proximity to instability based on the damping coefficient; andcontrolling the stability of the combustor based on the determination of the combustor's proximity to instability. 21. The method of claim 20, wherein the oscillatory envelope is calculated from a Hilbert Transform of the data from the autocorrelation calculation. 22. The method of claim 20, wherein the fit comprises a least squares fit. |
|
050283782 | abstract | A safety system controlling extremely unlikely disturbances in high temperature reactors in addition to and independent of the normal reactor protection systems. Accident instrumentation located in the high temperature reactor monitors certain characteristic process parameters (hot gas temperature, cold gas temperature, cooling gas pressure), for values of which clearly exceed limiting values of the reactor pressure system. The measured data is evaluated electronically and power supply to the cooling gas blowers, feed water pumps and absorber rod holding devices is interrupted if predetermined limiting values are exceeded. The power supply interruption is further actuated by temperature and pressure sensitive devices located in the nuclear reactor. A manually operated emergency switch is provided to turn off the aforementioned sensibilities. |
055240330 | summary | BACKGROUND OF THE INVENTION 1. Field of The Invention The present invention relates to nuclear fuel containing gadolinium wherein elemental gadolinium is introduced as a burnable poison. In particular, the present invention relates to nuclear fuel having increased fuel burnup efficiency, effected by increasing reactivity while minimizing any adverse effect on the shutdown margin and thermal margin. 2. Description of the Related Art Natural gadolinium contains seven different isotopes. The isotopic composition and thermal neutron absorption cross-section of elemented gadolinium is shown in Table 1. In natural gadolinium, Gd-155 and Gd-157 have the largest cross-section and act as neutron absorbers, performing the function of reactivity control. Aside from the isotopes listed in Table 1, natural gadolinium also contains about 0.2% of Gd-152, but its effect on fuel reactivity is small. TABLE 1 ______________________________________ Natural abundance Thermal neutron absorption Isotope (%) cross-section (barn) 10.sup.-24 cm.sup.2 ______________________________________ Gd-154 2 60 Gd-155 15 61000 Gd-156 20 2 Gd-157 16 255000 Gd-158 25 2 Gd-160 22 1 ______________________________________ FIG. 1 shows a transverse cross-sectional view of a conventional fuel assembly loaded in a boiling-water nuclear reactor. Fuel assembly (1) consists of a fuel rod bundle having 74 fuel rods (2) and two water rods (3) in the form of a lattice, this fuel rod bundle being surrounded by a channel box (4). FIG. 2 is an axial cross-sectional view of a conventional fuel rod (2). The fuel rod (2) is rod-shaped having a diameter of about 1 cm, a total length of about 4 m, and is constituted by fuel pellets (5) consisting of sintered uranium(or plutonium) oxide packed in a cladding tube (6) made of zirconium alloy, fixed by a spring (7) and hermetically sealed by a top end plug (8) and a bottom end plug (9). The mean uranium enrichment of fuel assembly (1) in this example is 4.0%. In fuel rods (10) indicated by the symbol G in FIG. 1, gadolinia (gadolinium oxide) is admixed with the uranium oxide as a burnable poison in order to control the initial excess reactivity of the reactor. In this example, gadolinia is contained in 14 of the 74 fuel rods. FIG. 3 shows the infinite multiplication factor (11) of the fuel assembly of FIG. 1. The number of fuel rods (10) containing gadolinium is determined such that the initial excess reactivity of the reactor is within a suitable range and the gadolinia concentration is determined such that the gadolinia is consumed in the final period of the operating cycle, so as not to cause loss of reactivity. In this example, the operating period is assumed to be 13 months and the gadolinia concentration is 4.0%. FIG. 4 shows the density of the number of atoms of the isotopes of gadolinium. As burnup proceeds, there is a rapid decrease in the amounts of Gd-155 and Gd-157, which have a large cross-section, and a slow decrease in Gd-154 and Gd-160, which have a small cross-section. Gd-156 and Gd-158 also have a small cross-section but since these are produced by neutron absorption of Gd-155 and Gd-157 respectively, they increase as burnup proceeds until the Gd-155 and Gd-157 have been converted, after which they change over to a slow decrease. Normally, the point at which the isotopes of large cross-section, namely Gd-155 and Gd-157, have decreased to practically constant values is referred to as gadolinia burnout. FIG. 5 shows the neutron absorption factor of gadolinium isotopes. The change with burnup is roughly proportional to the atom density and its magnitude depends on the product of atom density and cross-section. Consequently, after gadolinia burnout, although Gd-156 and Gd-158 are present in higher atom density than the Gd-155 and Gd-157, since their cross-sections are smaller they provide neutron absorption of about the same order. The temporary increase in neutron absorption of Gd-155 in the initial period of burnup is caused by change in the neutron flux. Specifically, while a lot of Gd-157, which has a large cross-section, is still present, the neutron flux is decreased by the neutron absorption of this Gd-157. When the amount of Gd-157 is reduced as burnup proceeds, the neutron flux is thereby increased. As already mentioned, the density of the gadolinia is determined such as not to produce a loss in reactivity when it is burned out at the latter part of the operating cycle. In this connection, the gadolinium isotopes that get burned up are Gd-155 and Gd-157, which have large cross-section; while the content of the other isotopes slightly decreases or increases. Consequently, even when all the Gd-155 and Gd-157 has been burned out, the gadolinium as a whole still retains neutron absorbance which produces a loss in reactivity. In Table 2, the neutron absorption rate of each Gd isotope is given in 25 GWd/st, as a typical level of burnup after gadolinia burnout. The Tb-159 is produced by immediate beta decay of the Gd-159 generated by neutron absorption by Gd-158; its thermal neutron absorption cross-section is 23 barn. The total thermal neutron absorption factor due to these is 0.81%. This results in loss of reactivity, thus lowering the burnup efficiency of the fuel. TABLE 2 ______________________________________ Isotope Neutron absorption factor (%) ______________________________________ Gd-154 0.04 Gd-155 0.05 Gd-156 0.21 Gd-157 0.23 Gd-158 0.21 Tb-159 0.05 Gd-160 0.02 Total 0.81 ______________________________________ If gadolinium is admixed with the uranium oxide as a burnable poison, the thermal conductivity is lowered, tending to produce higher fuel temperatures. Since the lowering in thermal conductivity is proportional to the gadolinium concentration, very high gadolinium concentrations are not desirable. There is also some concern that the fact that gadolinia-containing fuel rods have lower uranium concentrations than fuel rods not containing gadolinia may cause a drop in thermal output, avoiding excessive rise in fuel temperature. A technique for increasing fuel burnup efficiency by reducing loss of reactivity is disclosed in Early Japanese Patent Publication Number Sho. 58-140673; wherein reactivity loss is decreased by raising the Gd-157 content. As an example of the application of this technique, Table 3 shows the reactivity loss at 25 GWd/st in the fuel assembly of FIG. 1 when gadolinium consisting solely of Gd-157 is used. Adjustment is made such that the total content of Gd-155 and Gd-157, which have large cross-sections, is the same as natural gadolinium, the gadolinia concentration being 1.2%. The fact that only a small degree of neutron absorption is produced by the Gd-158 generated by neutron absorption of the Gd-157 greatly reduces the overall loss of reactivity. TABLE 3 ______________________________________ Isotope Neutron absorption factor (%) ______________________________________ Gd-154 0.0 Gd-155 0.0 Gd-156 0.0 Gd-157 0.02 Gd-158 0.16 Tb-159 0.03 Gd-160 0.0 Total 0.21 ______________________________________ The above technique made it possible to reduce the gadolinia concentration from 40%, when natural gadolinia is used, to 1.2%. Since thermal conductivity does not depend on the type of isotope, this means that the drop in thermal conductivity due to gadolinia inclusion is greatly mitigated. If this method is employed, the uranium enrichment of the fuel rods with gadolinia admixture can be raised above that used previously. This not only improves fuel economy by making it possible to raise the burnup rate but also reduces local power peaking in the fuel assembly cross-sectional plane. Alternatively, if it is assumed that a thermal conductivity of the same order as that obtained when natural gadolinium is employed is satisfactory, the concentration of the Gd-157, which is the isotope which essentially performs the burnable poison function, can be raised, thereby making it possible to lengthen the period of reactivity control by gadolinia by a factor of 3 or more. This makes possible long-term operation, so the availability factor of the reactor can be raised. However, when applying the above technique to a fuel assembly, the following problems occur. First, the reactor shutdown margin is adversely affected. On shutdown, the reactor is in a subcritical condition due to all the control rods being inserted into the core. However, the core is required to remain in subcritical condition, even if any one control rod is withdrawn from the core. This degree of subcriticality is the reactor shutdown margin. FIG. 3 shows a comparison of the infinite multiplication factor (12) of a fuel assembly using gadolinium consisting of Gd-157 with the infinite multiplication factor (11) of a fuel assembly using natural gadolinium. When natural gadolinium, is employed, containing Gd-155 and Gd-157, which are of large cross-section, the infinite multiplication factor shows a comparatively gradual approach to its peak due to the different burnup rates of the two isotopes. In contrast, in the case where only Gd-157 is present, a large sharp peak value is displayed. The shutdown margin becomes tight as the infinite multiplication factor approaches its peak, so if gadolinium of high Gd-157 content is employed, there is an adverse effect on the shutdown margin, i.e. the shutdown margin becomes too small. The second problem is an increase in channel peaking. Channel peaking expresses the maximum value of the fuel assembly thermal output divided by the mean value of the fuel assembly thermal output. Fuel assemblies of different burnup are installed in the core of a reactor, and the fuel assembly thermal output depends on the infinite multiplication factor. Consequently, if the peak value of the infinite multiplication factor in fuel assemblies, using gadolinium of high Gd-157 content, gets too large, channel peaking also increases. As a result, the thermal margin of the minimum critical power ratio and/or the maximum linear heat generation rate, etc., is adversely affected. The third problem is as follows: Since gadolinium has a large number of isotopes, the most effective method of isotope separation to increase the Gd-157 content is the laser method. In the laser method, natural gadolinium is irradiated with laser light having a specific wavelength to ionize only a specific isotope, which is recovered, thereby raising the content of that isotope. However, in the case of an isotope such as Gd-157, which is of odd mass number, some electron energy levels are split into several levels by interaction with the atomic nucleus. FIG. 6 shows an example of an optical absorption spectrum. When such an isotope is ionized, if a single laser beam is employed matching the wavelength of only one of these split energy levels, the ionization efficiency is decreased. In order to perform ionization efficiently, the number of laser beams may be increased corresponding to the number of split energy levels, but this complicates the laser device and raises its cost. SUMMARY OF THE INVENTION Accordingly, one object of the present invention is to provide nuclear fuel in which the fuel burnup efficiency can be raised by increasing reactivity without adversely affecting the reactor shutdown margin and thermal margin. A further object of the present invention is to provide gadolinium as a burnable poison for nuclear fuel, in which the content of an even mass numbered isotope of gadolinium is lower than the content of the same isotope in natural gadolinium. Another object of the present invention is to provide a fuel assembly containing fuel rods having gadolinium present as a burnable poison in which the content of an even mass numbered isotope of the gadolinium is lower than the content of the same isotope in natural gadolinium. Another object of the present invention is to provide a fuel assembly having the above characteristics, in which the gadolinium content in the upper portion of the fuel assembly is different from the gadolinium content in the lower portion of the fuel assembly. Another object of the present invention is to provide a fuel assembly having a plurality of fuel rods in at least two defined groups wherein the Gd-157 content of at least two groups is larger than the Gd-157 content in natural gadolinium and where the gadolinium concentration of a first group of fuel rods is different from the gadolinium concentration of a second group of fuel rods. These and other objects of the present invention have been satisfied by the discovery of gadolinium for use as a burnable poison for nuclear fuel, comprising a plurality of isotopes of gadolinium, wherein a content of at least one even mass numbered isotope of the plurality of isotopes is smaller than a content of the at least one even mass numbered isotope in natural gadolinium, and its use in a fuel assembly comprising a plurality of nuclear fuel rods arrayed in a lattice, wherein at least one of the fuel rods includes gadolinium having a plurality of isotopes, wherein a content of at least one even mass numbered isotope of said plurality of isotopes is smaller than a content of said at least one even mass numbered isotope in natural gadolinium. There has also been provided, in accordance with yet another aspect of the present invention, a fuel assembly comprising a plurality of nuclear fuel rods arrayed in a lattice. The plurality of fuel rods include at least first and second groups of fuel rods, each including gadolinium having a Gd-157 content which is larger than the Gd-157 content in natural gadolinium. The gadolinium concentrations in the first and second groups are different from each other. Other objects, features, and advantages of the present invention will became apparent from the following detailed description. It should be understood, however, that the detailed description and specific examples, while indicating preferred embodiments of the invention, are given by way of illustration only, since various changes and modification within the spirit and scope of the invention will become apparent to those skilled in the art from this detailed description. |
050698648 | summary | FIELD OF THE INVENTION The present invention relates to a spacer usable for positioning fuel rods in a nuclear fuel assembly and springs therefor, and, in particular, to a spacer with a spring for biasing a fuel rod against a portion of the spacer in which the spring occupies reduced space. BACKGROUND OF THE INVENTION In a known type of nuclear power reactor, for example, a boiling-water reactor, nuclear fuel is provided in the shape of elongated rods. The nuclear fuel is typically in the form of uranium and/or plutonium oxide pellets enclosed in zircaloy tubes. A number of such fuel rods are grouped together in an open-ended tubular flow channel. The flow channel with the fuel rods positioned therein is referred to as a "fuel assembly" or "bundle." A plurality of fuel assemblies are removably positioned in the reactor core in a matrix. The reactor core formed in this manner is capable of self-sustained fission reaction. The core is submerged in a fluid, such as light water, which serves both as a coolant and as a neutron moderator. The fuel rods in a fuel assembly are supported between upper and lower tie plates. The fluid coolant flows past the fuel rods in the inter-rod spaces. To provide proper coolant flow and preserve integrity of the fuel rods, it is important to maintain the rods in a preferred spaced relationship and to restrain them from bowing and vibrating during reactor operation. To maintain uniform spacing, a plurality of fuel rod spacers spaced along the length of the fuel assembly are provided for this purpose. Typically, a spacer includes a plurality of generally cylindrical ferrule elements. An example of a spacer usable in a fuel assembly is that shown in U.S. Pat. No. 4,508,679, issued Apr. 2, 1989, to Matzner, et al. As shown in Matzner, et al., one method of positioning a fuel rod within the ferrule elements of a spacer is to provide a spring member for biasing the fuel rod against rigid stops in the ferrules. The spring depicted in U.S. Pat. No. 4,508,679 is in the form of a continuous loop of generally elliptical shape. The springs are positioned in the area between rods. Previous fuel assemblies had been constructed with rod-to-rod spacings (i.e., distances between outer circumferences of adjacent rods) of about 0.125 inches to about 0.160 inches (about 3 mm to about 4 mm). Modern fuel bundles are being designed to have a reduced rod-to-rod spacing, such as less than about 0.11 inches (about 2.8 mm), preferably about 0.1 inches (about 2.5 mm). Such spacings are encountered in modern fuel rod arrays having matrix densities of 9 by 9 or higher. The length and width of the springs is also limited by the spacer design. The spring length must be less than the spacer height in order that the spring can be captured in the spacer. If the spacer height is increased, the pressure drop through the spacer is increased. If the spring width is increased, the spring will block more flow area and cause an increased pressure drop. A nominal spring force of about 2.5 pounds is required. During assembly and shipping, deflections greater than the nominal deflection can be imposed. In addition, dimensional variations from the nominal values can impose increased deflections. The spring must be able to absorb these additional deflections without suffering permanent deflection. In summary, the spring should provide a given nominal force and be able to absorb deflection beyond the nominal value, while fitting into a small space. The spring disclosed here provides the required nominal force and has a greater deflection before the onset of permanent deflection than the loop spring, under the design constraints described above. SUMMARY OF THE INVENTION A spring for attachment to a spacer is disclosed in which the spring is formed of a single spring leg. The single spring leg is bent to an upper loop for surrounding a first ferrule portion for support from the spacer at the upper end. Likewise the single spring leg is bent to a lower loop for surrounding a second ferrule portion for support from the spacer at the lower end. The single spring leg defines in the central portion a convex and arcuate contact point for contacting and biasing a fuel rod relative to the spacer. The upper and lower loops as supported from the ferrule are provided with point supports to the ferrule at the inside of each loop. The loops bear against the spacer at these support points in opposition to the force of the spring on the fuel rod. The loops, however, are free to rotate relative to these support points when the spring leg undergoes a bending moment. Simply stated, there is a simple beam support at each end of the spring. In contrast, the upper and lower ends of the prior art loop spring cannot rotate. For the same length, the loop spring is much stiffer than the spring disclosed. The spring provides a force to the fuel rod of between about one pound and about four pounds (about 0.5 kg to about 2 kg), preferably about 2.5 pounds (about 1 kg), yet is contained between rods having a rod-to-rod spacing of only about 0.1 inches (about 2.5 mm). The springs are distributed with one spring for each fuel rod. Each spring is mounted on an ear formed by a slot in the ferrule wall. A differently shaped slot in an adjacent ferrule locks the spring and prevents its sliding off of the ears. The loop springs of the prior art have a uniform width over the entire spring. A more efficient spring can be designed using a non-uniform width. However a loop spring with non-uniform width is very difficult to manufacture and would be very expensive. The springs disclosed herein would be manufactured by starting with a flat strip and stamping the desired variation in width. The resulting strip is then bent into the desired shape. A reduced width near the ends of the spring minimizes blockage of flow by the spring and neutron capture by the spring. In other embodiments, the spring is used in connection with a swirl vane spacer. The spring can accommodate excess deflection without becoming deformed, permitting assembly with a plastic sheath over the fuel rods to prevent scratching the exterior of the fuel rod cladding. |
description | Field of the Invention Example embodiments relate generally to nuclear Boiling Water Reactors (BWRs), and more particularly to a system and a method for injecting hydrogen into reactor support systems during periods of reactor startup and shutdown. The system is capable of providing hydrogen at variable pressures (including high pressures of about 1,100 psig) in order to match the changing operating pressures of the support systems throughout the startup and shutdown modes. Related Art Conventionally, Hydrogen Water Chemistry (HWC) systems 1 (see FIG. 1) inject hydrogen into feedwater systems at the suction of the condensate booster pumps or at the suction of the feedwater pumps (see injection point 2) of a Boiling Water Reactor (BWR). Injection of hydrogen into these locations helps mitigate Inter-Granular Stress Corrosion Cracking (IGSCC) in the recirculation piping and reactor internals. Specifically, the injected hydrogen causes a reduction in dissolved oxygen by lowering the radiolytic net production of hydrogen and oxygen in the core region of the reactor. The conventional HWC system 1 includes a hydrogen source 4 which may be a liquid storage tank (with compressors and vaporizers) or bottles of hydrogen. The hydrogen source may also be electrolytically generated. A hydrogen filter 6 may filter the hydrogen prior to the hydrogen passing through a series of valves, which may include a pressure control valve 8, excess flow check valve 11, shutoff valves 10 and bypass valves 12. An air-operated control valve 14 may be used to isolate the hydrogen before entering a hydrogen injection module 16 that discharges hydrogen to conventional hydrogen injection points 2. Purge connections 70 throughout the system 1 are generally used for maintenance and safety purposes. The conventional hydrogen injection points 2 are injection points located in lower-pressure systems (relative to the reactor), such as the suctions of the condensate booster pumps (85-160 psig) and the suctions of the feedwater pumps (400-650 psig). Because the pumps of these lower-pressure systems are not in service during the full reactor startup or shutdown (including emergency reactor shutdown, such as a reactor SCRAM), hydrogen therefore may not be injected at these conventional locations during startup and shutdown, as doing so would not allow hydrogen dissolution for efficient transport to the recirculation piping and/or reactor internals. Because IGSCC corrosion is more prevalent at lower operating temperatures (of about 200° F. to about 450° F., during reactor startup/heat-up to about 5% power), the reactor (and the reactor support systems) is at greater risk during startup and shutdown modes, thereby exacerbating the effects that are caused by an inability to inject hydrogen into the conventional injection points 2 during reactor startup and shutdown modes. Example embodiments provide a startup/shutdown hydrogen injection system (and associated method) for injecting hydrogen into BWR reactor support systems during periods of reactor startup and shutdown. Because the reactor (and the reactor support systems) experience temperatures and pressures that vary greatly as the reactor cycles through startup and shutdown modes (as a result of the reactor heat-up and cool-down), the hydrogen injection system provides hydrogen at a variable pressure that may match the operating pressures of these support systems at any period of time. Because the hydrogen injection system provides hydrogen to reactor support systems that also operate at potentially high pressures, the hydrogen injection system may boost the pressure of hydrogen beyond pressure levels normally associated with conventional HWC systems. Detailed example embodiments are disclosed herein. However, specific structural and functional details disclosed herein are merely representative for purposes of describing example embodiments. Example embodiments may, however, be embodied in many alternate forms and should not be construed as limited to only the embodiments set forth herein. Accordingly, while example embodiments are capable of various modifications and alternative forms, embodiments thereof are shown by way of example in the drawings and will herein be described in detail. It should be understood, however, that there is no intent to limit example embodiments to the particular forms disclosed, but to the contrary, example embodiments are to cover all modifications, equivalents, and alternatives falling within the scope of example embodiments. Like numbers refer to like elements throughout the description of the figures. It will be understood that, although the terms first, second, etc. may be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another. For example, a first element could be termed a second element, and, similarly, a second element could be termed a first element, without departing from the scope of example embodiments. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items. It will be understood that when an element is referred to as being “connected” or “coupled” to another element, it may be directly connected or coupled to the other element or intervening elements may be present. In contrast, when an element is referred to as being “directly connected” or “directly coupled” to another element, there are no intervening elements present. Other words used to describe the relationship between elements should be interpreted in a like fashion (e.g., “between” versus “directly between”, “adjacent” versus “directly adjacent”, etc.). The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of example embodiments. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises”, “comprising,”, “includes” and/or “including”, when used herein, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. It should also be noted that in some alternative implementations, the functions/acts noted may occur out of the order noted in the figures. For example, two figures shown in succession may in fact be executed substantially concurrently or may sometimes be executed in the reverse order, depending upon the functionality/acts involved. FIG. 2 is a P&ID diagram of a startup/shutdown hydrogen injection system 30, in accordance with an example embodiment. The system may include one or more hydrogen sources. For instance, an optional dedicated hydrogen gas source 32 may be provided for the hydrogen injection system 30. The dedicated hydrogen gas source 32 may be small hydrogen gas bottles, a hydrogen gas truck, or liquid storage containing hydrogen. Alternative to a dedicated hydrogen gas source 32 (or, in addition to a dedicated hydrogen gas source 32), a connection 20 may be provided which may connect to an existing HWC system 1 (see optional connection points 20 on FIG. 1, which may, for instance, connect to HWC system 1 either upstream or downstream of air-operated valve 14, and inside or outside of the plant wall). If a connection 20 between an existing HWC system 1 and the startup/shutdown hydrogen injection system 30 is used to supply hydrogen, flow control equipment may be provided on the connection 20. For instance, a pressure control valve 34, a pressure transmitter 36, a local flow indicator 38, a flow control valve 40 and an air-operated valve 42 may be provided in the connection line 20 to control the flowrate and pressure of hydrogen coming from the existing HWC system 1 into the startup/shutdown hydrogen injection system 30. A shutoff valve 44 may also be included to shut-off the flow of hydrogen into the hydrogen injection system 30. Whether a connection between an existing HWC system 1 and the startup/shutdown hydrogen injection system 30 is used, or whether a dedicated hydrogen gas source 32 for the hydrogen injection system 30 is used, a hydrogen filter 46 may be provided to filter hydrogen gas prior to any pressurization of the hydrogen. The hydrogen injection system 30 may further include a hydrogen gas booster 48 that may significantly increase the pressure of hydrogen which is to be injected into hydrogen injection point 50. The hydrogen gas booster 48 may be hydraulic or air-driven (pneumatic), and may be capable of increasing hydrogen pressure to any of a wide range of pressures, varying from about 0 psig to about 1,100 psig. By providing the hydrogen gas booster 48, the hydrogen injection system 30 may provide hydrogen to reactor support systems that experience a reactor water flow (at potentially high operating pressures of about 1,100 psig, and operating temperatures as low as about 200° F. when oxygen concentration in the reactor water is relatively elevated) during reactor startup and/or shutdown conditions (reactor “shutdown” including reactor scrams, hot/standby and/or hot/shutdown modes). For instance, hydrogen injection point 50 may include injections points in reactor support systems such as the reactor water cleanup (RWCU) return line or the feedwater recirculation lines of the BWR. Because these example reactor support systems experience reactor water flow during reactor startup and/or shutdown, and because these systems experience a wide range of pressures as the reactor cycles through startup and/or shutdown, the hydrogen gas booster 48 is particularly well equipped in increasing hydrogen pressure that is appropriate for these example service points. The hydrogen gas booster 48 may be located downstream of the flow controls (including any one of the pressure control valve 34, pressure transmitter 36, flow indicator 38, flow control valve 40 and air operated valve 42), as doing so allows the flow control equipment to be a lower pressure class (and thereby less expensive). The hydrogen gas booster 48 may be pneumatically operated via a plant service air 56 connection. A pressure control valve 58 may be used to control the pressure of service air entering the hydrogen gas booster 48. An air filter may be used to filter the inlet air. Service air shutoff valves 62a/62b may be included in the air inlet line to close the air inlet line (to service the hydrogen gas booster 48, for instance). The hydrogen gas booster 48 may include a air flow control valve 72 to throttle the air flow to the booster to subsequently increase the hydrogen pressure out of the booster 48. The flow control valve 72 may be automatically or manually controlled. A number of system shut-off valves 54a-54g may be provided to manage hydrogen flow through desired portions of the system 30 for added flexibility. For instance, when hydrogen is being injected to systems requiring relatively lower pressure, the hydrogen gas booster 48 may not be required. In such a scenario, if the conventional hydrogen source 4 (FIG. 1) is being used to supply hydrogen to injection point 50, shutoff valves 54c, 54e and 54f may be closed, while shutoff valves 54d and 54g may be opened. Alternatively, dedicated hydrogen gas source 32 may be used to supply lower-pressure hydrogen by closing shutoff valves 54b, 54e and 54f (to bypass hydrogen gas booster 48), and opening shutoff valves 54a, 54c, 54d and 54g to hydrogen in injection point 50. In scenarios where higher-pressure hydrogen service is desired, shutoff valve 54b may be opened, allowing hydrogen from hydrogen source 4 (through opened shutoff valve 54c) or hydrogen source 32 (through opened shutoff valve 54a) to enter the hydrogen gas booster 48. Hydrogen leaving the hydrogen gas booster 48 may be directed to hydrogen injection point 50 through shutoff valves 54e, 54f and 54g. Local pressure indicators 64a-64c may be included to confirm the operating pressure of hydrogen and/or service air within the system. Especially in the case of high pressure hydrogen injection points 50, a check valve 66 may be included in the hydrogen injection line 50 to ensure that fluids from the high pressure systems to not backup into the hydrogen injection system. The startup/ shutdown hydrogen injection system 30 may be provided on two separate skids 30a/30b for convenience, with the relatively lower pressure hydrogen equipment being predominantly included on one skid 30a and the relatively higher pressure hydrogen equipment being predominantly included on the other skid 30b. A safety-relief valve 68 may be provided on the hydrogen gas booster 48 to vent hydrogen (to vent line 52) at times when the hydrogen gas booster 48 may become over-pressurized. Purge connections 70 throughout the system 30 may also be provided for maintenance and safety purposes. FIG. 3 is a flowchart of a method of making and using a startup/shutdown hydrogen injection system 30, in accordance with an example embodiment. The method may include a step S80 of fluidly connecting at least one hydrogen source to a BWR reactor support system in operation during periods of reactor startup and/or shutdown. This may be accomplished, for instance, by providing piping or tubing between the hydrogen source and the BWR reactor support system. It should be understood that a support system which is “in operation” during startup and/or shutdown relates to a system which provides a reactor water fluid flow through the system during periods when the reactor is starting up and shutting down (thereby offering a transport medium for the injected hydrogen to then be transported to the recirculation piping and/or reactor internals during startup and/or shutdown modes). The method may further include a step S82 of directing a hydrogen flow from the at least one hydrogen source to the reactor support system. This may be accomplished, for instance, by opening valve connections in piping/tubing located between the hydrogen source and the reactor support system. The opening of the valve(s) may be accomplished via a controller, such as PLC 60 (see FIG. 2). The method may further include a step S84 of regulating a pressure of the hydrogen flow from the at least one hydrogen source to the reactor support system, based on an operating pressure of the reactor support system. Specifically, the pressure of the hydrogen flow may be regulated to match the operating pressure of the reactor support system, with the understanding that the operating pressure may change while the reactor cycles through the startup and/or shutdown modes. The regulating of the pressure of the hydrogen flow may be accomplished via a controller, such as PLC 60 (see FIG. 2), which may compare a measured pressure at hydrogen injection point 50 against measured pressures at the pressure transmitter 36 or pressure indicator 64c (for instance) in order to regulate the pressure of the hydrogen being directed to the hydrogen injection point 50. The hydrogen injection system 1 may include a programmable logic controller (PLC) and/or data acquisition system 60 that may be used to determine the rate and pressure for supplying hydrogen to injection point 50 (based upon a measure of the required injection point 50 pressure). Therefore, the PLC and/or data acquisition system 60 may be in communication with the control hardware shown in both the lower and higher pressure skids 30a/30b (not all connections shown in FIG. 2). The PLC and/or data acquisition system 60 may also control the hydrogen gas booster 48 and any system valves within the hydrogen injection system 30. Example embodiments having thus been described, it will be obvious that the same may be varied in many ways. Such variations are not to be regarded as a departure from the intended spirit and scope of example embodiments, and all such modifications as would be obvious to one skilled in the art are intended to be included within the scope of the following claims. |
|
abstract | An electron beam apparatus having an electron analyzer is achieved which can control the illumination lens system by feedback without adversely affecting the imaging action even if a specimen is positioned within the magnetic field of the objective lens. The apparatus has an energy shift control module for controlling energy shift. On receiving instructions about setting of energy shift from the CPU, the control module issues an instruction for shifting the accelerating voltage to a specified value to an accelerating-voltage control module. The control module also sends information about the energy shift to an energy shift feedback control module, which calculates the feedback value and supplies information about corrections of lenses and deflection coils to a TEM optics control module. The feedback value is multiplied by a corrective coefficient that can be calibrated. |
|
abstract | The present invention enables the same target to be precisely machined and observed in a short time when a focal distance of a charged particle beam is changed or if the focal distances of charged particle beams are not equal on a sample. The present invention provides a charged particle beam application apparatus having a stage device used to move a sample in at least three axial directions, a charged particle beam optical system having an optical axis inclined from a surface of the sample to irradiate the sample with a charged particle beam, and a display device that displays an image formed by the charged particle beam optical system, the apparatus including a correction table indicating a relationship between both focal distance and optical conditions for said charged particle beam optical system and a position of the sample, and an arithmetic section that calculates the position of the sample, the arithmetic section calculating the amount of correction for the position of the sample so that when the focal distance of the charged particle beam changes, a position of a target on the sample is placed in the center of a visual field of a screen of the display device. |
|
abstract | An apparatus for producing a radionuclide includes a target chamber including a beam strike region for containing a liquid and a condenser region for containing a vapor. A particle beam source is operatively aligned with the beam strike region, and a lower liquid conduit communicates with the beam strike region. The condenser region is disposed above the beam strike region in fluid communication therewith for receiving heat energy from the beam strike region and transferring condensate to the beam strike region. The lower liquid conduit transfers liquid to and from the beam strike region. In operation, the target chamber acts as a thermosyphon that is self-regulating in response to heat energy deposited by the particle beam source. A portion of the liquid expands into the lower liquid conduit prior to boiling. After boiling begins, a vapor void is created above the liquid and an evaporation/condensation cycle is established, with additional liquid being displaced into the lower liquid conduit. |
|
052001431 | summary | TECHNICAL FIELD The present invention relates to a fuel assembly for a nuclear reactor, preferably of PWR type. BACKGROUND ART A fuel assembly of a known design comprises a plurality of parallel fuel rods and control rod guide tubes, wherein fuel rods and guide tubes are retained into an elongated bundle in predetermined mutual positions by means of a number of spacer grids, referred to below simply as spacers, arranged across the bundle. Top and bottom nozzles are arranged at the ends of the fuel assembly and attached to the control rod guide tubes, which extend somewhat above and below the ends of the fuel rods. In known fuel assemblies each control rod guide tube has been fixed to the bottom nozzle by means of a screw extending through the bottom nozzle and threaded into a corresponding thread in an end plug fixed to the control rod guide tube. This, in turn, has tended to give rise to an axial rotation of the control rod guide tube. Such a rotation may cause a built-in torsional stress in the guide tube, which in turn may lead to the fuel bundle, after irradiation, becoming spirally twisted. This may eventually lead to handling problems when transferring fuel in the core, which, of course, entails a serious drawback. SUMMARY OF THE INVENTION According to the present invention, the above drawback is avoided by arranging, in the spacer nearest the bottom nozzle, bottom sleeves for the control rod guide tubes, these bottom sleeves being rigidly fixed to this spacer (i.e. rigid in the direction of rotation). The bottom sleeves rest against the bottom nozzle and are provided with a first locking member for receiving a second locking member arranged at the end of a control rod guide tube. In this way, the guide tube is locked in a rigid manner in relation to the bottom sleeve. Because the bottom sleeve, in its turn, is rigidly fixed in the bottom spacer, the guide tube is prevented from rotating when, as described above, it is screwed to the bottom nozzle. |
abstract | A method for dynamically determining a remaining actual operational period for a filter in a filtration device including: determining an initial remaining operational period based on an installation date of the filter and an initial expected operational period; periodically determining a remaining actual operational period of the filter based on the initial remaining operational period based and an elapsed operational period from the installation date; monitoring at least one operating parameter in the filtration device; adjusting the remaining actual operational period based on the monitored operating parameter, and continuing to periodically determine the remaining actual operational period based on the adjusted remaining actual operational period and the elapsed operational period. |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.