patent_number
stringlengths 0
9
| section
stringclasses 4
values | raw_text
stringlengths 0
954k
|
---|---|---|
claims | 1. A cooling device for Stirling circulated dry storage container comprising:an external shield installed with accommodation space having an opening in the top side, a plurality of air flow inlets connected to the external side being installed at the peripheral of the bottom of the accommodation space, and a plurality of air flow outlets connected to the external side being installed on the peripheral at the upper side of accommodation space, and a shield cover provided on the opening of the accommodation space;a nuclear waste storage container installed within the accommodation space of the external shield, and an air flow path for air circulation formed at the peripheral of the nuclear waste storage container;a Stirling heat engine installed within the accommodation space of the external shield in contact with the nuclear waste storage container; anda plurality of electrical fans installed at the peripheral of the Stirling heat engine, and heat dissipated by the nuclear waste storage container being received through the Stirling heat engine and dissipated by air flow generated by the electrical fans. 2. The cooling device for Stirling circulated dry storage container of claim 1, wherein the nuclear waste storage container is installed with one high temperature outlet, and the nuclear waste storage container is in contact with the high temperature outlet. 3. The cooling device for Stirling circulated dry storage container of claim 2, wherein the high temperature outlet is installed at one side of nuclear waste storage container that is close to air flow outlet of the external shield. 4. The cooling device for Stirling circulated dry storage container of claim 1, wherein each electrical fan is connected to each air flow outlet. 5. The cooling device for Stirling circulated dry storage container of claim 4, wherein the plurality of electrical fans are centrifugal electrical fans installed at the peripheral of the Stirling heat engine in radiated way. 6. The cooling device for Stirling circulated dry storage container of claim 5, wherein each air flow outlet extends on the peripheral of accommodation space in tangential line direction. 7. The cooling device for Stirling circulated dry storage container of claim 6, wherein each air flow inlet extends on the peripheral of accommodation space in radiated direction. 8. The cooling device for Stirling circulated dry storage container of claim 1, wherein the bottom face of the external shield is installed with a bottom shield. 9. The cooling device for Stirling circulated dry storage container of claim 1, wherein the center of the shield cover is installed with a protruding part extending into accommodation space. |
|
059237171 | description | DETAILED DESCRIPTION OF THE DRAWINGS In one aspect, the present invention is a method for identifying an optimum core loading arrangement. The method can be generally understood as having two (2) phases. The first phase is an initialization phase and the second phase is the running, or search, phase. Generally, in the initialization phase, an initial core loading arrangement is identified, and in the running phase, the core loading arrangement is optimized within the design constraints. In another aspect, the present invention is a system including a computer programmed to execute the method described in detail below. The method can be practiced, for example, on most any type of computer including even a personal computer. The type of computer selected depends primarily on the speed at which the operator desires to have the optimum fuel loading arrangement identified and the amount of memory required for any particular operation. Such speed and memory requirements may, of course, vary depending upon the number of reactors being analyzed and the number of fuel bundles in the reactor cores. The method is not limited to practice on any one particular type of computer. Referring now specifically to FIG. 1, a sequence of process steps 100 executed in the initialization phase for identifying an optimum core loading arrangement is illustrated. Particularly, and with respect to the fuel bundle to be loaded into a reactor core, each bundle is assigned a relative value 102 within some arbitrary range, sometimes referred to herein as the loading range. The relative value of each bundle typically is based on the reactivity of each bundle. For example, if one hundred (100) fuel bundles are to be loaded into the core, then each bundle is assigned a value within the range from one (1) to one hundred (100) based on the relative reactivity of that bundle. The highest reactivity bundle is assigned a value of one hundred (100) and the lowest reactivity bundle is assigned a value of one (1). Subsequent to assigning each bundle a relative value as described above, each core location is assigned a relative value 103. The relative value of each core location typically is based on the acceptable reactivity level of each location. For example, if there are one hundred (100) core locations, then each core location is assigned a value within the range from one (1) to one hundred (100) based on the relative acceptable reactivity at that core location. The highest reactivity core location will be assigned a value of one hundred (100) and the lowest reactivity core location is assigned a value of one (1). Once each bundle and core location is assigned a relative reactivity based value, the design constraints are identified and acceptable values or ranges are assigned to each constraint. As an example, the reactor shutdown margin design constraint may be one percent (1%). Reactor shutdown margin depends, at least in part, on the bundle reactivity and location. Therefore, the shutdown margin design constraint can be affected based on the bundle arrangement. Rules also are established 108 for each reactor core location that specifies a direction (e.g., towards the core center or towards the core periphery) in which to move a loaded (simulated) bundle to maximize the cycle energy and/or satisfy a constraint. These rules typically are unique for each reactor and are based primarily upon the experience of the engineer. In defining the rules, each core location is evaluated separately in determining direction. The bundle and core location relative reactivity values and the direction rules must be established for each particular loading of each reactor. Of course, once the core location relative reactivity values and direction rules are created for a particular reactor, such values and rules may remain relatively constant over a long period of time, e.g., for many cycles. However, it may be recommended to at least reevaluate such values when making a core loading arrangement determination for each cycle. The relative bundle reactivity values will, of course, vary depending upon the reactivity levels of the bundles to be loaded and generally must be created for each loading arrangement. After determining the bundle and core location relative reactivity values and the direction rules, the bundles are loaded, in a computer simulation, into the reactor core 110. Specifically, each bundle is loaded into the core location having a core location reactivity value equal to the bundle relative reactivity value. With the bundles arranged in the core as described above, initial values for the cycle energy and design constraints are determined 112, which completes the initialization phase. FIG. 2 is a flow chart illustrating a sequence of process steps 200 executed in the running, or search, phase for identifying an optimum core loading arrangement. Process steps 200 are executed subsequent to completion of initialization process steps 100 illustrated in FIG. 1. Referring to FIG. 2, and for a first core location either selected at random or sequentially, each constraint for that location calculated in the last step of the initialization phase is checked 202 to determine whether the calculated values of the constraints are acceptable constraint values as determined in step 106 (FIG. 1). If one or more constraint is not satisfied, then the rule base created in step 108 (FIG. 1) is searched to determine the direction the value of the core location should be changed in order to satisfy the constraint 204. This means that if at the particular core location, a design constraint is not satisfied, then the relative reactivity level at the subject core location typically must be changed. The rule base, as explained above, may contain a rule that indicates whether the reactivity level at the subject core location should be increased or decreased, depending upon the specific conditions created by the particular core loading arrangement. If all constraints are satisfied at the subject core location, then the rule base is searched to determine the direction the value of the core location should be changed in order to maximize cycle energy 206. This means that based on the specific conditions created by the particular core loading arrangement, a rule in the rule base may indicate the direction in which the particular core location should be changed in order to improve cycle energy. The term direction refers to the relative reactivity level. Therefore, the rule from the rule base will indicate that the reactivity level at the identified core location should be changed in order to improve cycle energy. If no rules are available for a particular condition, e.g., an unsatisfied constraint or a particular cycle energy, then a reactivity level change is randomly selected for the core location. In any event, and in accordance with the foregoing, the reactivity value of the core location is changed and the bundles are re-arranged in the core so that the bundle reactivity level matches the reactivity level of its assigned core location 208. Once the new core arrangement has been made, new constraint values and cycle energy are determined for the new arrangement 210. If every core location has not been evaluated as explained above in connection with step 202 through 210, then another core location is either randomly or sequentially selected for analysis and processing returns to step 202 to perform the above described analysis in connection with the newly selected core location. The purpose for the above described searching, or evaluation, is to identify a most optimum core loading arrangement. Such searching can be performing in either a "depth" or "breadth" mode of operation. In the depth mode, once a change has been made that results in an improved core loading arrangement, then the subsequent change is made to such alternative arrangement. That is, processing continues by using the improved arrangement as the "base" arrangement and processing does not return to the initial, less optimum, core loading arrangement. Once all the core locations have been changed, the core loading arrangement under analysis is then selected as the best arrangement for further processing as described below. In the breadth mode, each alternative core loading arrangement is analyzed with respect to the initial core loading arrangement. This means that after evaluation of a new arrangement, and even if the new arrangement is an improvement over the initial arrangement, the next arrangement considered is a variation of the initial arrangement. That is, processing returns to the initial arrangement and selects another core location to change. Once all the core locations have been changed, then the best alternative arrangement is selected for further processing as described below. Once all locations have been perturbed and no further improvements are found, then random initial loading arrangement are generated 214 for analysis. The most optimum core loading arrangement identified up to this point in processing is selected for comparison to the random initial loading arrangements. Such "random jumps" are made to potentially identify previously unconsidered core loading arrangements that may be more optimum than the most optimum arrangement identified up to that point in processing. For example, a particular randomly selected core location may have its reactivity level changed. With such a change in the reactivity level at that core location, the bundles are re-arranged in the core so that the bundle reactivity level matches the reactivity level of its assigned core location. Once the core is so arranged, processing returns to step 202 to determine whether the randomly selected core arrangement is more optimum than the best known core loading arrangement. The number of random jumps executed may be selected by the operator based on the amount of time available for identifying the most optimum core loading arrangement. The number of random jumps may vary, for example, from as few as five (5) to as many as twenty (20). If the number of random jumps selected have been executed, then the most optimum core loading arrangement that satisfies all design constraints is selected as the best case 216. The above described method for identifying the optimum core loading arrangement reduces the amount of engineer time required to identify a core loading arrangement which optimize cycle energy and satisfies all design constraints. Importantly, such method is believed to be applicable to a wide range of reactors for consistently and reliably identifying optimum core loading arrangements. From the preceding description of various embodiments of the present invention, it is evident that the objects of the invention are attained. Although the invention has been described and illustrated in detail, it is to be clearly understood that the same is intended by way of illustration and example only and is not to be taken by way of limitation. Accordingly, the spirit and scope of the invention are to be limited only by the terms of the appended claims. |
044997086 | description | DESCRIPTION OF THE PREFERRED EMBODIMENT FIGS. 1-5 illustrate an extrusion die 10 in a schematic representation of how it is made with an electric discharge machine 12. The die 10 has a wall 14 through which a slot 16 (FIGS. 3-5) is to be formed so that a thin ribbon can be extruded from the slot. The slot 16 thus can be in excess of 1 inch in length, but less than 0.01 inch in width; and the wall 14 initially is generally in excess of 0.1 inch thick. The die will typically be formed of a structural material like steel. In order to form the slot 16 in the wall 14 of the die or work piece, a carbon electrode 18 formed to a very thin rectangular cross section is used, connected to frame 20 of the electric discharge machine 12. The electrode 18 is slightly wider than the length of cut (approximately the length of the slot 16), is slightly thinner than the width of the cut, and is longer than the thickness of the wall 14. The normal techniques of using the electric discharge machine is to mount the electrode normal to the work piece and then to move the electrode 18 straight into the work piece 10. The electrode 18 is generally at ground potential and the work piece 10 is held at a high potential (for example 250 volts) relative to the ground. Arcing thus occurs between the electrode 18 and the work piece 10 as they approach sufficiently close together or even touch; and this arcing is done in a bath of oil 22. The oil thus flushes away the material of the work piece 10 as it is eroded away by the arcing. With the extremely thin electrode 18 described herein, there was insufficient oil flushing of the slot during cutting. The invention provides drilling two holes 24 at the opposite ends of where the slot 16 is to be formed so that the oil can be circulated through these holes and the slot as the slot is being cut in the work piece 10, upon the electrode 18 being advanced toward and into the work piece. The holes 24 are larger than approximately 0.1 inch and possibly even as large as 0.5 inch, depending in part on the thickness of the wall 14. After the slot is cut in the work piece, the holes 24 are closed by metal plugs 26 (FIGS. 3-5) welded as at 28 to the die wall 14. This thereby leaves only the narrow slot 16 as a through opening in the die 10. The interior walls 30 and 32 of the die 10 are preferably tapered toward the slot 16, and each plug 26 can also be tapered at the inner end to match up flush with the wall 30. Another aspect of the invention is to extrude a solid material, even a material such as sodium or lithium that is highly reactive to air or moisture, through the die slot 16 to form a thin ribbon or foil 36. Specifically, the material is melted in a vessel 38 on a hot plate 40 and the molten material is then poured into cylinder 44 of a press 46, confined by plunger 48 in the cylinder by shifting the plunger from that illustrated in solid to that illustrated in phantom, and allowed to cool to a solid. The press is then actuated to shift the plunger 48 to the left in FIG. 1 beyond that shown in phantom to extrude the solid material as the very fine ribbon or foil 36 out the slot 16. If the material is reactive, this is all done in an inert atmosphere of argon, nitrogen, helium, etc. such as in a glovebox 42. Thereafter, separate slugs 52 of the foil are stamped from the ribbon 36 in a fixture 50. The slugs 52 are shaped and sized as needed, but typically might be cylindrical discs between 0.5 and 1.0 inch in diameter. The fixture 50 has a frame 54 with a moving punch 56 sized and shaped like the desired disc, a bed 58 to support the underside of the ribbon 36, and an opening 60 in the bed under the punch to receive the disc as it is severed from the ribbon. The punch 56 can be hand actuated by striking head 62, and spring 64 returns the punch to the up position as illustrated. This stamping operation preferably also is performed in the inert atmosphere of the glovebox 42, particularly if the ribbon material is reactive. Each formed disc 52 is then sandwiched between two larger foil-like sheets 68, 69 of aluminum preferably each between 0.05 and 0.2 inch thick. The meeting peripheral edges of the aluminum sheets outwardly beyond the disc 52 are then cold formed together between press heads 70, 71 of hydraulic press 72 by moving the heads initially against the sheets and then with a force sufficient to generate pressures of perhaps up to 20,000 psi. The rounded faces of the press heads not only form the cold weld seam 74 annularly of the disc to seal the disc in a sample pouch 76, but also sever the sheets sufficiently along line 78 to allow the resultant sample pouch 76 to be separated from the remaining annular scrap piece 80. The sample pouch 76 thus encapsulates the disc 52 of material of extreme purity and of very thin cross section in isolation from the air atmosphere for durability and extended shelf life. This packaging of the disc in the protective pouch 76 also is preferably performed in the inert atmosphere of the glovebox 42, again particularly if the material is reactive. The thin disc sample as formed herein of highly reactive material of laboratory grade purity can be used in very sophisticated tests involving a thermal reactor and the bombardment or radiation by neutrons in a selected environment. The sealed sample pouch 76 maintains the disc isolated from the atmosphere for long shelf life, but also can be examined by accurate weight charges, etc., for analyzing the reaction with, attraction to or release of any component from the disc. A specific use for such a sample is outlined in Argonne National Laboratory Report ANL/NDM-55 entitled "Thermal Neutron Calibration of a Tritium Extraction Facility Using the .sup.6 Li(n,t).sup.4 He/.sup.197 Au(n,.gamma.).sup.198 Au Cross Section Ratio for Standardization" by M. M. Bretscher and D. L. Smith. |
claims | 1. A method of using a radiation protection system comprising a table having a top surface for supporting a patient, a radiation-shielding screen attached to the table for covering a portion, generally extending between at least a lower portion to a middle portion of the patient and a corresponding portion of the top surface of the table, and controls for controlling the system, wherein the radiation-shielding screen includes at least one port, said method comprising:extending the radiation-shielding screen over a portion of the patient on the table;accessing the controls through the port; andcontrolling the system using the controls. 2. A method of performing a medical procedure comprising:providing a radiation-shielding wall having an opening therein;providing a table having a top for supporting a patient;positioning the wall adjacent the table so a portion of the table extends through the opening in the wall;joining the table to the wall using a radiation-shielding flexible interface;covering the opening in the first wall using a flexible radiation-resistant skirt wherein at least a portion of the skirt extends below said table top; andseparating medical personnel and a radiation source using the wall to shield the medical personnel from radiation emitted by the radiation source. 3. A radiation protection system for shielding medical personnel from radiation emitted by a radiation source during a radiologic procedure performed on a patient supported by a table having a right side and a left side opposite the right side, said system comprising:a radiation-shielding barrier having an opening and being positionable adjacent the table so a portion of the table extends through said opening and the barrier separates said medical personnel and the radiation source to shield the medical personnel from radiation emitted by the radiation source; anda radiation-shielding screen connected to the barrier and securable to the table between said medical personnel and the patient for shielding the medical personnel from radiation emitted from the patient, wherein the radiation-shielding screen generally extends between at least a lower portion and a middle portion of the patient. 4. A radiation protection system as set forth in claim 3 further comprising a radiation-shielding interface connecting the radiation-shielding barrier and the radiation-shielding screen. 5. A radiation protection system as set forth in claim 3 wherein the barrier comprises a substantially planar wall. 6. A method of using the radiation protection system set forth in claim 3 wherein the radiation-shielding screen includes at least one port, the method comprising:positioning the radiation-shielding screen over the patient supported by the table so the screen conforms to a shape of the patient;attaching the radiation-shielding screen to the table;inserting procedural equipment through the port to access the patient with the procedural equipment; andperforming a medical procedure on the patient using the procedural equipment. 7. A method as set forth in claim 6 wherein the radiation-shielding screen comprises a vascular access drape having at least one port for accessing the patient and a circumferential pleated portion, said method further comprising connecting the circumferentially pleated portion of the vascular access drape to the table to form a radiation-resistant seal. 8. A method as set forth in claim 6 wherein said positioning the radiation-shielding screen comprises positioning the radiation-shielding screen so the port is located over one of the right and left femoral vascular access regions of the patient, and said inserting procedural equipment through the port to access the patient with the procedural equipment comprises inserting a catheter through the port to access one of the right and left femoral vessels of the patient with the catheter. 9. A method as set forth in claim 6 wherein the radiation protection system further comprises at least one radiation-closing cloak having a re-closable radial slit and a central orifice, said method further comprising positioning the radiation-closing cloak over the port and around the procedural equipment passing through the port to create a substantially radiation-resistant seal over the port and around the procedural equipment. 10. A method as set forth in claim 6 wherein the radiation protection system further comprises at least one radiation-closing cloak sized for positioning over the port, said method further comprising positioning the cloak over any unused ports to create radiation-resistant seal over the port. 11. A radiation protection system for shielding medical personnel from most radiation emitted by a radiation source during a radiologic procedure in which the medical personnel operate in close proximity to a patient on a table, the system comprising:a radiation-shielding wall having an opening and being positionable adjacent the table so a portion of the table extends through said opening and the wall separates the medical personnel from the radiation source to shield the medical personnel from radiation emitted by the radiation source; anda radiation-shielding flexible interface attached to said wall for joining the wall with the table, said flexible interface having a flexible radiation-resistant skirt covering said opening in said wall. 12. A radiation protection system for use during a radiographic procedure performed on a patient supported by a table, the system comprising:a radiation-shielding screen attachable to the table, generally extending between at least a lower portion and a middle portion of the pateint, for blocking radiation transmitted through the patient from reaching medical personnel using the system, the screen including:a corrugated portion comprising a plurality of supports extending in a lateral direction across the screen and radiation-resistant partitions connecting adjacent supports of the plurality of supports; anda pliable vascular access drape attached to the corrugated portion and including at least one access port for accessing the patient. 13. A radiation protection system as set forth in claim 12 wherein said drape further includes a circumferential pleated portion surrounding the drape. 14. A radiation protection system as set forth in claim 12 wherein said screen further comprises a cloak for covering at least one of the access ports, the cloak having a slit extending from a periphery of the cloak to a generally central orifice. 15. A radiation protection system as set forth in claim 12 wherein said drape further includes a channel extending from each access port toward the patient. 16. A radiation protection system as set forth in claim 15 wherein each channel includes a flap for accessing the patient through the flap. |
|
061809519 | description | DETAILED DESCRIPTION The present invention relates to a method of electron beam irradiation which produces a substantially constant dose of electrons throughout the thickness of an irradiated target material. FIG. 2 shows a perspective view of an apparatus for performing electron beam irradiation configuration in accordance with one embodiment of the present invention. Electron beam 200 is emitted from scan horn 202, with a direction of sweep 203 along the Y-axis as indicated. Because of intrinsic physical properties of the irradiation apparatus, emitted electrons at periphery 200a of the beam sweep have less energy than emitted electrons present at center 200b of the beam sweep. Cylindrical reel 204 is positioned within electron beam 200, and is rotated around center axis 206. Center axis 206 is oriented along the X-axis, perpendicular to the direction of the beam sweep of scan horn 202. As a result of this orthogonal orientation of beam sweep relative to axis of rotation 206, frontside of reel 204 receives only emitted electrons at center 200b of the beam sweep. Target material 208 is disposed around reel 204. Core 210 of reel 204 possesses sufficient density that electron beam 200 does not pass through. FIG. 3 shows a depth/dose profile of electron beam irradiation of two thicknesses (0.5" and 1") of polyethylene material disposed around a rotating reel as shown in FIG. 2. Inspection of FIG. 3 reveals that for both material thicknesses, a linear depth/dose profile is produced, with surface regions receiving a lesser dose than subsurface regions. The linear depth/dose profile shown in FIG. 3 contrasts markedly with the non-linear depth/dose profile shown in FIG. 1 resulting from conventional irradiation techniques. It has also been discovered that where the dense core of the reel is replaced with a less-dense core which permits electrons of the beam to pass and thereby irradiate target material on the backside of the reel, a constant depth/dose profile may be achieved. FIG. 4 compares the depth/dose profiles resulting from irradiation of polyethylene material disposed around reels having a solid core and a core of lower density. Inspection of FIG. 4 reveals that for reels having either types of core, a substantially constant depth/dose profile was observed. Moreover, with the less dense (porous) core, a substantially constant depth/dose profile was observed. Thus, surface regions received approximately the same dose as subsurface regions. This result is central to the present invention, and is now examined in detail. FIG. 5 shows a cross-sectional view of a reel 500 positioned in beam 502 of electron radiation. Target material 504 is disposed around reel core 506 having a diameter. Electron beam 502 is emitted from scan horn 506. The relative size of scan horn 506 and reel 500 are not shown to scale in FIG. 5. Unlike the reel shown in FIG. 2, core 506 of reel 500 is of a sufficiently low density that the electrons from beam 502 pass through target material 504 disposed on the frontside of reel 500, pass through core 506, and then further irradiate target material 504 disposed on the backside of reel 500. Dosimeters 508 are positioned at four depths of target material 504 (at the surface, 2/3 off of the core, 1/3 off of the core, and at the core) at each of sites 1-31. Measurement of the dose resulting from this irradiation reveals four general regions of dosing. These regions, labeled A-D, are listed below in order of decreasing electron dose received: TABLE 1 REGIONS OF DOSING OF TARGET MATERIAL POSITIONED ON STATIONARY REEL REGION SITE NOS. Region A 1, 2, 31 Region B 3-7; 26-30 Region C 8-12; 21-25 Region D 13-20 FIGS. 6A-6D plot the effect upon the depth/dose profile of material of different thicknesses positioned on a stationary reel as shown in FIG. 5. The depth/dose profiles plotted in FIGS. 6A-6D generally confirm the conventional dopant profile shown in FIG. 1. For example, the electron dose received in frontside surface portions directly in the beam path (FIG. 6A, Region A-sites 1, 2, and 31) is generally lower than the electron dose received in subsurface portions in the same region (FIGS. 6B-6D, Region A-sites 1, 2, and 31). Moreover, the highest doses in Region A appear at intermediate depths (FIGS. 6B-6C, Region A-sites 1, 2, and 31). Where the irradiated material curves away from the beam, a spike in dosage in surface portions is observed. (FIG. 6A, Region B-sites 7 and 26). This dosing behavior likely attributable to intervening target material causing the "surface" regions to actually receive "subsurface" type doses. As stated above, irradiation of target material on the backside of the reel is critical to achieving a constant depth/dose profile in accordance with the present invention. For target material positioned on the backside of the reel, surface portions (FIG. 6A, Region D-sites 12-21) receive a lower dose than portions at the core (FIGS. 6B-6D, Region D-sites 12-21). This is likely attributable to the shadowing effect of target material intervening between the beam and the surface of target material on the backside of the reel. The increased dose observed at the backside surface with a thinner target material further supports this view, as there is significantly less intervening target material. (Compare FIG. 6A, Region D-sites 12-21, for 0.507" thick material versus 1.014" thick material and 1.482" thick material). Further consistent with this theory, the shadowing effect diminished with material closer to the core on the reel backside, due to the presence of less intervening target material. (Compare FIGS. 6A-6B, Region D-sites 12-21, with FIG. 6D, Region D-sites 12-21). Thus, from FIGS. 6A-6D it is seen that the thickness of the target material can significantly affect the depth/dose profile. FIGS. 7A-7D plot the effect upon the depth/dose profile for target material disposed about stationary reels having three different core diameters. FIGS. 7A-7D also shows that the size of the core diameter affects the dosage received at various regions of the target material. An additional parameter affecting the depth/dose profile is the density of the irradiated material. FIGS. 8A-8D plot the effect upon dose for target materials of different densities disposed around the stationary reel of FIG. 5. FIGS. 8A-8D reveal that the density of the target material will also affect the dose of radiation received. Where a reel having a low density core is rotated within the electron beam, a substantially linear depth/dose profile will result. FIG. 9A plots the depth/dose profile for three thicknesses of polyethylene material positioned on a rotating reel having a 10" diameter core. All three samples show a substantially linear depth/dose relationship. Moreover, the sample of intermediate thickness (1") evidences a substantially constant depth/dose relationship. FIG. 9B plots the slope of the linear depth/dose profiles shown in FIG. 9A, versus depth into the target material. FIG. 9B indicates that polyethylene material having a thickness of about 1" disposed around a 10" diameter core should exhibit a constant (slope=0) depth/dose profile. The reproducibility of this result was confirmed by performing the same experiment using a reel having a different diameter core. FIG. 10A plots the depth/dose profile for three samples of polyethylene material of varying thickness positioned on a rotating 8" reel. FIG. 10B plots the dose slope versus material thickness for the samples shown in FIG. 10A. Again, all three samples exhibit a substantially linear depth/dose profile. Moreover, based upon the slopes of the depth/dose curves of the 0.5", 1", and 1.5" thick samples, FIG. 10B predicted that a constant depth/dose should be obtained by a polyethylene material having a thickness between 0.5" and 1.0". This was confirmed by experimentation, as FIG. 10C shows that polyethylene material having a thickness of approximately 0.780" produced a substantially constant depth/dose profile having a slope of -2.2 kGy/inch. To explore the effect of target material density upon irradiation in accordance with the present invention, the experiments described above in FIGS. 9A-9B were repeated using target material made of cork having a significantly lower density (0.390 g/cm.sup.3) than polyethylene material (0.643 g/cm.sup.3). FIG. 11A plots the depth/dose profile for three thicknesses of cork material positioned on a rotating 10" reel. All three samples show a substantially linear depth/dose profile. Moreover, the sample of least (0.5") thickness evidences a substantially constant depth/dose relationship. FIG. 11B plots the dose slope versus target material depth of the linear depth/dose curves shown in FIG. 11A. FIG. 11B indicates that polyethylene material having a thickness of about 0.79" disposed around a 10" reel will exhibit a constant (slope=0) depth/dose profile. The reproducibility of this result was confirmed by performing the same experiment using a reel with a different diameter core. FIG. 12A plots the depth/dose profile for three thicknesses of cork material positioned on a rotating 8" reel. FIG. 12B plots dose slope versus target material depth for the cork samples shown in FIG. 12A. Again, all three samples exhibit a substantially linear depth/dose relationship. Moreover, based upon the slopes of the depth/dose curves of the 0.5", 1", and 1.5" samples, FIG. 12B predicted that a constant depth/dose should be obtained by a cork material having a thickness between 0.5" and 1" disposed around an 8" core. This was also confirmed by experimentation, as FIG. 12C shows that cork material having a thickness of approximately 0.78" produced a substantially constant depth/dose profile having a slope of 1.1 kGy/inch. To further explore the effect of target material density upon irradiation in accordance with the present invention, the experiments described above in FIGS. 9A-9B and 11A-11B were repeated using target material made of nylon strap material having a significantly higher density (0.746 g/cm.sup.3) than either polyethylene (0.643 g/cm.sup.3) or cork (0.390 g/cm.sup.3). FIG. 13A plots the depth/dose profile for three thicknesses of nylon strap material positioned on a rotating reel having a 10" core. All three samples show a substantially linear depth/dose relationship. Moreover, the sample of least (0.5") thickness evidenced a constant depth/dose relationship. FIG. 13B plots the dose slope versus material thickness for the three nylon strap samples shown in FIG. 13A. FIG. 13B indicates that nylon strap material having a thickness of about 0.5" that is disposed around a 10" core will exhibit a constant (slope=0) depth/dose relationship. The reproducibility of this result was confirmed by performing the same experiment using a reel having a different diameter. FIG. 14A plots the depth/dose profile versus depth for three thicknesses of nylon strap material positioned on a rotating real having an 8" core. FIG. 14B plots the dose slope versus material thickness for the nylon strap samples shown in FIG. 14A. Again, all three samples exhibit a substantially linear depth/dose relationship. Moreover, based upon the slopes of the depth/dose curves of the 0.5", 1.0", and 1.5" samples, FIG. 14B predicted that a constant depth/dose should be obtained by a polyethylene material having a thickness of between 0.5" and 1.0" disposed around an 8" core. This was also confirmed by experimentation, as FIG. 14C shows that nylon strap material having a thickness of approximately 0.816" produced a substantially constant depth/dose profile having a slope of 0.84 kGy/inch. Orientation of direction of rotation of the reel relative to the direction of beam sweep plays a critical role in performing the process for irradiation in accordance with the present invention. In order for the present method to function, the axis of rotation of the reel must be substantially perpendicular to the direction of beam sweep. This is illustrated in FIG. 15, which shows the result of irradiating 75 ft of polyethylene material wrapped around a 22" rotating core, with the polyethylene material having dosimeters positioned every 5 ft. Irradiation of the reel having an axis of rotation perpendicular to the beam sweep yielded relatively constant dosing throughout the sample: the maximum dose differed from the surface dose by about 12.3% (73-65=8; 8/65.times.100=12.3%). By contrast, irradiation of the reel under the same conditions, except with the axis of rotation parallel to the beam sweep, yielded a much wider range of dosing throughout the sample (106-84=22; 22/84.times.100=26.2%). This variation is probably attributable to the fact that where the axis of rotation of the reel is parallel to the beam sweep, target material located at the periphery of the beam sweep receives a lower dose of radiation than target material located at the center of the beam sweep. Thus, the lack of constant dosing evidenced by the triangles in FIG. 15 is likely the result of the orientation of the beam sweep relative to the axis of rotation. Irradiation of target material in accordance with the present invention offers a number of important advantages over conventional methods. Most importantly, irradiation in accordance with the present invention results in the target material having a substantially constant dose of radiation extending into a depth of the material. The permissible amount of variation in dose will vary with the particular application. In general however, irradiation in accordance with the present invention achieves a depth/dose profile whose maximum subsurface dose varies by 10% or less from the surface dose. Irradiation in accordance with the present invention is particularly suited for sterilization applications in which traditional processes of irradiation could generate unwanted heat. Thus, where heat-sensitive material such as plastic is being exposed to radiation under tension between two spools, conventional irradiation could cause heating of the plastic, resulting in stretching or even fracture of the tubing. The constant dosing provided by the present invention eliminates this problem. Other advantages of the present invention include reduced power consumption, and, in cross-linking applications, a greater degree of control over the polymerization reaction throughout the thickness of the target material. Although the invention has been described in connection with one specific preferred embodiment, it must be understood that the invention as claimed should not be limited to such specific embodiments. Various other modifications and alterations in the method of operation of this invention will be apparent to those skilled in the art without departing from the scope of the present invention. For example, the experimental examples provided above describe the result of electron beam irradiation in which 1) target material thickness, 2) reel core diameter, and 3) target material density were varied, with the energy of the electron beam maintained constant (at 6 MeV). However, it is also possible to vary other irradiation parameters in order to affect the depth/dose profile. For example, it may be possible to vary the energy of the electron beam in order to ensure constant a constant depth/dose profile. Variation of this parameter is particularly important where cumulative radiation exposures will be employed to avoid the heat associated with a single heavy exposure. Moreover, it may also be possible to vary the speed of rotation of the target material within the radiation beam in order to ensure constant dosing. The speed of rotation of the reel must create sufficient exposure at different points on the reel during the irradiation process, in order to harmonize or normalize the dose received by the target material. Certain practical realities may dictate which irradiation parameters can be varied to produce the desired constant depth/dose profile. For example, in many electron beam irradiation devices, the energy of the beam is fixed, and a change of the beam's energy requires calibration and adjustment. Moreover, the density of the target will be dictated by the target material chosen for irradiation. Finally, the core diameter may be determined by the reel apparatus employed in a particular laboratory or industrial setting. Therefore, one likely procedure for producing a constant depth/dose profile in an irradiated target material would be to maintain a constant core diameter and electron energy, while varying the thickness of the target material. While the above discussion includes experimental examples involving exposing a target material to electron beam irradiation, the present invention is not limited to this form of irradiation. Other forms of radiation, such as X-ray and gamma radiation, could also be utilized in the present method to produce a constant depth/dose profile. The physical mechanism giving rise to the constant depth/dose profile of the present invention is not yet completely understood. It is possible that rotating the target in front of the beam continuously shifts the position of each point of the irradiated material relative to the beam, thereby distributing electron dose throughout the various depths of the target material. For example, with reference to FIG. 5, if the reel is rotated relative to the beam, at a first point in time the surface dosimeter at site 1 will receive a typical surface dose. However, after rotation of the reel 1/4 turn, this same dosimeter will be positioned at a different, "subsurface" location relative to the electron beam. Moreover, by reducing the density of the core, it is possible to ensure further homogenization of dosing. Thus, again considering the reel shown in FIG. 5 rotating in the electron beam, at a first point in time the surface dosimeter at site 1 will receive a "surface" type dose. However, once the reel has rotated 1/2 turn, this dosimeter will be positioned at a polar opposite position (site 16) relative to the beam, such that the "surface" of the target material will receive a "core" type dose. This is shown in FIG. 16, where target material 1600 disposed around core 1602 having diameter D is rotated in the path of electron beam 1604. Averaging the total dose received by the target material over time would produce a constant depth/dose profile. Given the specific embodiments of the present invention described above, it is intended that the following claims define the scope of the present invention, and that the methods and structures within the scope of these claims and their equivalents be covered hereby. |
047449420 | claims | 1. A nuclear fuel assembly containing a plurality of generally cylindrical fuel rods, comprising: a top nozzle; a bottom nozzle; a control rod guide thimble extending between said top and bottom nozzles; a plurality of fuel rod spacer grid assemblies axially disposed along said control rod guide thimble for maintaining the said fuel rods in a spaced lateral array, each of said spacer grid assemblies comprising a plurality of grid straps interlocked into an egg-crate configuration to form cells through which said fuel rods pass, each of said cells having a grid spring and at least one opposing dimple, each said grid spring and opposing dimple having a first selected spring force for a lowermost grid, a second selected spring force for an intermediate grid, and a third selected spring force for an uppermost grid less than said first and second spring forces, said first, second and third spring forces allowing the fuel rods to expand in their respective cells. a plurality of grid straps interlocked into an egg-crate configuration to form a cell through which said fuel rod is adapted to pass; a grid spring and an opposing dimple disposed in said cell for supporting said fuel rod; said grid spring and opposing dimple in each grid having a selected spring force, a lowermost grid being formed of a material having a spring force of about 2 to 6 kg and which material is resistant to radiation-induced relaxation, at least next superadjacent intermediate spacer grid being formed of a material having a spring force of about 1.5-6 kg and which material has a relatively low neutron absorption cross-section, and an uppermost spacer grid formed of a material having a spring force of about 0.5 to 2 kg. a plurality of grid straps interlocked into an egg-crate configuration to form cells through which a corresponding one of said fuel rods is adapted to be located; spring means disposed in each cell for supporting said fuel rod therein; said spring means in each cell having a selected spring force, the first of said grids being formed of a material having a relatively high spring force and which material is resistant to radiation-induced relaxation for securing the fuel rods axially in place, at least one axially adjacent intermediate spacer grid being formed of a material having a spring force less than the first grid and which material has a relatively low neutron absorption cross-section, and a remote spacer grid axially adjacent and furthest removed from the first grid, said remote grid being formed of a material having a spring force less than the first grid, said remote grid allowing the fuel rods to axially expand and slip in the cells. 2. The nuclear fuel assembly of claim 1, wherein said first spring force is between about 2 to 6 kg. 3. The nuclear fuel assembly of claim 2, wherein said first spring force is about 3.5 kg. 4. The nuclear fuel assembly of claim 1, wherein said second spring force is between about 1.5 to 6 kg. 5. The nuclear fuel assembly of claim 4, wherein said second spring force is about 5 kg. 6. The nuclear fuel assembly of claim 1, wherein said third spring force is between about 0.5 to 2 kg. 7. The nuclear fuel assembly of claim 6, wherein said third spring force is about 1.5 kg. 8. An assembly for supporting a generally cylindrical fuel rod in a nuclear fuel assembly including at least three superadjacent spacer grids, comprising: 9. An assembly as set forth in claim 8, wherein said lowermost grid secures the fuel rod axially and laterally, and wherein said uppermost superadjacent grid supports the fuel rods with diminishing axial and lateral force so as to permit axial expansion of the fuel rods with diminishing compressive force thereon. 10. An assembly as set forth in claim 8 wherein said uppermost and lowermost grids contain springs fabricated from Inconel and said at least one intermediate grid is formed of at least one of zirconium and zirconium alloy. 11. An assembly for supporting rods in a nuclear fuel assembly, which rods axially expand during use, and including at least three superadjacent spacer grids, comprising: |
summary | ||
047284897 | description | DESCRIPTION OF THE PREFERRED EMBODIMENT The fuel element support grid of the invention, which includes intersecting integral fluid flow directing vanes "contained" within the strip width, is generally designated by the numeral 10. In FIG. 1, a fuel element or rod 12 is shown in position within one of the fuel cells for illustrative purposes. The support grid itself is made up of Type 1 strips 14 which show in FIG. 2 as being horizontal and parallel and Type 2 strips 16 which show in FIG. 2 as vertical and parallel. The strips 14 and 16 are stamped strips and are preferably of zircaloy, a common name for an alloy of zirconium with low percentages of tin, iron, chrome and nickel. Other well known grid materials are Inconel and AM-350. Type 1 strip 14 is illustrated in FIGS. 4 and 5. The strip blank 14a, prior to stamping is seen in elevation in FIG. 3. Blank 14a includes slots 18 along its upstream marginal edge regularly spaced for receipt of Type 2 strips which will intersect with it in an "egg-crate" relationship. On the opposite edge of strip blank 14a are areas which will become major flow directing vanes 20a and minor flow directing vanes 22a. Separating each two major flow directing vanes 20a is a slot 24a each in alignment with a slot 18, and separating each two minor flow directing vanes 22a are V-cuts 26a, each in alignment with a slot 18. When the zircaloy strip blank 14a is stamped to create the Type 1 strips as seen in FIGS. 3 and 4, its portions 20a and 22a become the finally shaped major integral flow directing vane portions 20a and 22a, respectively, separated by slots and cuts 24a and 26a, as shown in FIGS. 4 and 5. FIGS. 6-8 correspond to FIGS. 3-5, except that FIG. 6 illustrates the Type 2 strip blank 16b and the integral fluid flow directing vane portions 20b and 22b are defined by V-cuts 26b and slots 28 along the downstream edge. The strips 16 cooperate with the strips 14 to provide the pairs of first and second intersecting and slottedly interlocking grid-forming orthogonal strips. Each of the integral fluid flow directing vane portions 20a, 20b, 22a and 22b have a weld material providing tab 30 thereon. Weld material providing tabs 30 also are located on either side of slots 18 and at the bottom edge of strip 16 opposite slots 28, on the lines of strip intersection. Turning again to FIG. 2, it will be seen that the fuel element support grid of the present invention has geometry unlike the geometry of previous spacer grid designs because the strips on one side of the grid intersect at three points for each of the intersections formed by two orthogonal strips 14 and 16. During operation, the spacer grid illustrated is oriented normally such that the grid side with the integral fluid flow directing vanes is downstream. If required for adequate circulation or further improvement to loading resistance, however, the geometry of the strips 14 and 16 could be such that they may be formed and assembled with integral fluid flow directing vane portions 20 and 22 at both the upstream and downstream extremities of the grid cells. The three point intersection of the flow directing vanes is accomplished by the illustrated shapes of the individual strips 14 and 16. Obviously, additional types of strips can be formed and used in association with strips 14 and 16, if necessary, to produce a grid design with special fuel rod support features or with special cells to accommodate structural components of the fuel assembly. The strips 14 and 16 engage and are welded to a perimeter strip 40 which has no outward projections. It will be seen that certain portions of the flow directing vane portions 20 and 22 may be cropped to maintain the rectangular configuration within the perimeter. It is contemplated that only two of the three contact points could be welded at each intersection, as shown at points 32 in FIG. 2. Alternately, a third weld 34 can be provided for added strength. A third alternative exists for only using weld 34 to form the completed structure. In other words, the welds 32 of the illustrated embodiment would be located at the intersections of the edges of the plurality of pairs of the integral fluid flow directing vane portions 20a, 20b, 22a and 22b remote from the areas of integral attachment of the vane portions 20a, 20b, 22a and 22b to their respective strips 14 and 16. The areas of integral attachment referred to for the weld 34 locations are at the base of cuts and slots 24a, 26a, 24b and 26b when the strips 14 and 16 are assembled. The welds 32 typically are formed from the material 30 by a tungsten inert gas welding operation and the welds 34 typically by electron beam or laser welding. It will be seen that in assembling the Type 1 and Type 2 strips into an orthogonal intersecting relationship alternate Type 1 strips are rotated 180.degree. and alternate Type 2 strips are rotated 180.degree.. This creates a pattern of generally sinusoidal diagonal curves on the downstream side of the grid. In strength tests of models of selected internal grid portions designed to simulate both a conventional fuel element support grid and a fuel element support grid of the instant invention with its "contained" integral fluid flow directing vanes, a strength improvement of at least 63% was found in the new structure over the conventional grid structure of the same strip height and the same strip thickness. This 63% strength improvement figure was obtained for samples with the mixing vanes on one side of the grid only, and for two of the three alternative weld configurations. Fuel element support springs and arches were not included in any of the samples. The testing of the simulated grids suggested that the presence of springs and arches on the grid structure would be no more deleterious than in a standard grid structure. The strength improvement was found in tests involving ten samples of grids similar to that depicted in FIG. 1. All samples had weld nuggets at the strip intersections on the upstream side or the side of the grid remote from the integral fluid flow directing vanes. The welds were tungsten inert gas welds. Samples A and B had welds as seen in FIG. 2 at locations 32 provided to attach the vanes to each other, thereby attaching the first and second intersecting and slottedly interlocking strips of each pair of Type 1 and Type 2 strips together. Samples A and B were loaded in a direction parallel to the Type 2 strips. Samples C and D were the same as Samples A and B but the load direction was applied at right angles. Sample E was like Samples A and B but included two cells spaced from each other containing thimbles. Sample F was the same as Sample E with the load applied at right angles. Samples E and F had no vane welds in the grid cells containing the thimbles (location 32 in FIG. 1). Samples G and H had electron beam welds at the point of intersection of the integral fluid flow directing vanes adjacent their areas of integral connection with the strips (location 34 in FIG. 1) but were otherwise the same as Samples A and B. Samples I and J were the same as Samples G and H with the load applied at right angles. In the case of Sample J, one electron beam weld on the downstream side was missing. All grids were the same size, to the extent possible. TABLE I ______________________________________ Samples Load Capability (lb.) ______________________________________ A 1120 B 1300 C 1270 D 1230 E 1200 F 1100 G 1210 H 1337 I 1490 J 1337 (Conventional Grids) (654, 697, 642, 689, 680) ______________________________________ An obvious strength improvement over conventional grids was obtained with the grid of the present invention and its integral fluid flow directing vanes. While the third possible weld configuration was not tested (welds only at location 34 in FIG. 1), a strength improvement would also be expected with this grid type. |
abstract | An optical element for diffracting x-rays that includes a substrate, a diffraction structure applied to the substrate, the diffraction structure including an exterior surface facing away from the substrate and the diffraction structure capable of diffracting x-rays and a protective layer applied to the exterior surface. |
|
description | 1. Field of the Invention The present invention relates to a method and apparatus for correcting coordinates so as to arrange a sample in a field of view in a review apparatus for moving a sample stage onto the specified coordinates to review the sample. More particularly, the present invention relates to an apparatus for deciding a position for review based on information of a position of a defect detected by a higher-level checking apparatus like an SEM (Scanning Electron Microscope) based defect review apparatus. 2. Background Art In semiconductor manufacturing, it is important to find defects appearing during a manufacturing process in early phases and take measures against the defects in order to ensure yield enhancement. In recent years, even slight defects have nonnegligible effects on yields as semiconductors become smaller, hence making the size of defects to be reviewed smaller. An SEM-based defect review apparatus is an apparatus for reviewing such slight defects. The apparatus generally reviews defects based on positions of the defects detected by an optical checking apparatus. In this way, before the SEM-based defect review apparatus reviews in detail the defects detected by the checking apparatus, the checking apparatus executes the defect detecting processing as preprocessing. So the detecting apparatus is herein defined as a “higher-level” apparatus. A defect is reviewed manually using the SEM-based defect review apparatus as follows: a sample stage is moved onto coordinates outputted by the higher-level checking apparatus for image pickup at a low magnification (in a wide field of view); after a position of the defect is confirmed visually, the sample stage is moved such that the defect position is in the middle of the field of view; and a defective image is picked up at a high magnification (in a small field of view). These steps have been automated as the ADR (Automatic Defect Review). In the ADR, a defect appearing in a field of view of an image at a low magnification is detected using image processing, and then a sample stage is moved such that the detected defect is in the middle of the field of view to pick up a high magnification image at a relevant magnification for review of details of the defect. From the perspective of the image processing, a low magnification image is preferably magnified to fully magnify the defect for the review. However, a too high magnification may cause the defect to be out of the view field if a deviation of the position is substantial. Because of this, ADR configuration has a difficulty in setting a parameter of a magnification for a low magnification image, so that user experience is needed for the setting. This is not preferable since the ADR steps depend on user's skill based on the user experience. To address the above problem, JP Patent Publication (Kokai) No. 2001-338601 (2001) proposes a method of efficiently performing a task of setting a magnification for a low magnification image including: a function of visualizing a deviation between a defect position outputted by a higher-level checking apparatus and a defect position detected in the ADR by displaying the deviation as a vector on a wafer map; a function of correcting a coordinate system such that the deviation is minimum; and a function of optimizing the magnification for the low magnification image depending on the amount of the detected deviation. These functions can visualize a deviation, optimize a correction table, and optimize a magnification for a low magnification image. However, if there are a plurality of higher-level checking apparatuses, or if different deviation tendencies are shown depending on, for example, check conditions or a deviation tendency changes over time even in the case of that there is only a single checking apparatus, the optimal correction result cannot be obtained using a single correction table. In view of the foregoing, an object of the present invention is to provide a method and an apparatus for correcting coordinates so as to arrange a sample in a field of view properly and quickly in a review apparatus for moving a sample stage onto the specified coordinates to review the sample. To solve the above problems, the present invention is mainly characterized in that a plurality of coordinate correction tables are retained, correction effectiveness of the coordinate correction tables in review is evaluated, and the review is performed using an optimal correction table. More specifically, the present invention relates to a review apparatus for moving a sample stage onto coordinates (a defect position on a wafer), for example, previously calculated by a checking apparatus so as to review the sample. The review apparatus according to the present invention identifies a combination of the checking apparatus calculating a coordinate value and a condition (for example, a check mode) to calculate the coordinates. Based on the identified combination of said apparatus and said calculation condition, one of a plurality of coordinate correction tables is selected that are provided in correspondence to the combination of said checking apparatus and the calculation condition of said coordinates. Then, the coordinates calculated by said checking apparatus are corrected according to said selected coordinate correction tables. In this way, an optimal correction result can be obtained quickly and properly compared to the conventional case that correction table switching depends on a checking apparatus ID. Furthermore, the present invention relates to a review apparatus for moving a sample stage onto coordinates (a defect position on a wafer), for example, previously calculated by a checking apparatus so as to review the sample, including: a plurality of coordinate correction tables to correct a deviation between a pre-calculated coordinate value and a sample position on said review apparatus; and coordinate correction table evaluation means for evaluating accuracy of the correction according to said plurality of coordinate correction tables. Based on the result of the evaluation by said table evaluation means, one of said plurality of coordinate tables is chosen for use to correct said pre-calculated coordinate value. In this way, even when the coordinate correction table selected based on the combination of the checking apparatus ID and the check mode is no longer optimal due to change over time, a more suitable table can be used to correct the above amount of deviation. Other features of the present invention will become apparent in the following best embodiment and the attached drawings to practice the present invention. According to the present invention, an optimal coordinate correction table can be automatically selected for use from a plurality of coordinate correction tables. This can reduce phenomena in that a reviewed object is out of a field of view because a coordinate correction table is not a proper one. Further, using an optimal correction table, the amount of a deviation can be reduced and a review magnification to identify a defect position can be increased. This makes possible to improve defect detection performance by increasing a low magnification (a magnification to detect a defect position) particularly in the ADR. Referring to the attached drawings, embodiments of the present invention will be described below. A review apparatus according to a first embodiment prepares a plurality of coordinate correction tables to switch to one of the coordinate correction tables statically depending on a checking apparatus and its check mode. On the other hand, a review apparatus according to a second embodiment prepares a plurality of coordinate correction tables to always switch dynamically to one of the coordinate correction tables evaluated as an optimal one by performing the evaluation in parallel to the review, thereby obtaining a better correction result. FIG. 1 is a cross-sectional view of configuration of an SEM-based semiconductor defect review apparatus (a review apparatus) according to an embodiment of the present invention. The SEM-based defect review apparatus in FIG. 1 consists of an electron gun 101, a lens 102, a deflector 103, an objective lens 104, a sample 105, a stage 106, a secondary particle detector 109, an electro-optic system control unit 110, an A/D converting unit 111, a stage control unit 112, a central control unit 113, an image processing unit 114, a display 115, a keyboard 116, a storage device 117, a mouse 118 and the like. An electron beam 107 emitted by the electron gun 101 converges on the lens 102, is deflected on the deflector 103, converges on the objective lens 104 and then is radiated onto the sample 105. Secondary particles 108 such as secondary electrons or reflected electrons are generated from the sample 105 radiated with the electron beam 107 depending on a form or materials of the sample. The generated secondary particles 108 are detected by the secondary particle detector 109 and converted into digital signals by the A/D converting unit 111 to form an SEM image. The produced SEM image is subjected to image processing such as defect detection executed by the image processing unit 114. The lens 102, the deflector 103 and the objective lens 104 are controlled by the electro-optic system control unit 110. A sample is positioned on the stage 106 controlled by the stage control unit 112. The central control unit 113 interprets an input from the keyboard 116, the mouse 118 or the storage device 117 to control the electro-optic system control unit 110, the stage control unit 112, the image processing unit 114 and the like, and outputs details of the processing on the display 115 and to the storage device 117 as necessary. The storage device 117 stores coordinate correction tables and a control program illustrated in flowcharts in FIGS. 4 and 6 as described below. FIG. 2 is a diagram of network connection between higher-level checking apparatuses and a review apparatus according to the embodiment of the present invention. A network (201) connects to a checking apparatus (ID: 1) (202), a checking apparatus (ID: 2) (203), a checking apparatus (ID: 3) (204) and a review apparatus (205). The network 201 can also connects to a plurality of review apparatuses. A review apparatus connects to a storage device (206). The storage device can be integrated into the review apparatus or separated from the review apparatus for the network connection. The storage device saves coordinate correction tables (207, 208 and 209) corresponding to the checking apparatuses. The storage device switches to an optimal coordinate correction table based on an ID of a checking apparatus when the review is executed. In FIG. 2, the coordinate correction tables correspond to the checking apparatuses one-to-one. For example, a coordinate correction table A is selected when the checking apparatus (ID: 1) is used to detect a defect, a coordinate correction table B is selected when the checking apparatus (ID: 2) is used to detect a defect, and a coordinate correction table C is selected when the checking apparatus (ID: 3) is used to detect a defect. Since the checking apparatuses correspond to the coordinate correction tables one-to-one as described in the above, one of the checking apparatuses sends information of a defect position and a checking apparatus ID to at least a review apparatus, and the review apparatus selects a coordinate correction table corresponding to the checking apparatus ID. FIG. 3 is a drawing illustrating processing in the case of different tendencies of deviations of detected coordinates depending on check modes of higher-level checking apparatuses. Hereinafter, a check mode means a manner to detect a defect including, for example, a mode to detect a defect by exposing light onto a wafer at an angle, a mode to detect a defect by looking a wafer from the above and the like (such as a mode to detect a defect by scanning a wafer on XY coordinates or a mode to detect a defect by scanning a wafer on rotating coordinates). FIG. 3A is one example of display of differences between coordinate values detected by the higher-level checking apparatus and coordinate values detected by the review apparatus using vectors (also disclosed in JP Patent Publication (Kokai) No. 2001-338601 (2001)). FIG. 3A shows that, for example, when a position is farther apart from the center of the wafer, the deviation tends to be larger toward the wafer periphery in a check mode 1 (301), while a deviation toward the left tends to be larger in the left side of the wafer in a check mode 2 (302). In such instances, when the coordinate correction table switching depends on only an ID of a checking apparatus, it is difficult to obtain good correction results in both of the check modes because of different tendencies of deviations on coordinates depending on check modes. In view of the above difficulty, this embodiment has a function of switching to a coordinate correction table depending on a check mode of the checking apparatus in addition to the function of switching to a coordinate correction table based on a checking apparatus ID. Although an instance of different deviation tendencies depending on check modes is assumed herein, the different deviation tendencies depending on check modes may be due to a defect position identify algorithm of a checking apparatus or operation of a sample stage of the checking apparatus. Furthermore, the accuracy may decrease in detecting a defect position by a checking apparatus over time, so that the apparatus generally needs to be maintained regularly. FIG. 3B illustrates a function of switching to a correction table depending on a check mode (a condition for a checking apparatus to detect a defect and calculate coordinates of the defect). A checking apparatus (304) and a review apparatus (308) connect to a network 303. The review apparatus connects to a storage device (309). The storage device can be integrated into the review apparatus or separated from the review apparatus for the network connection. The checking apparatus 304 sends coordinates of a detected defect and information of a check mode together to the review apparatus. The information of a check mode includes, for example: information of a mode to detect a defect by exposing light onto a wafer at an angle, a mode to detect a defect by looking a wafer from the above and the like (such as a mode to detect a defect by scanning a wafer on XY coordinates or a mode to detect a defect by scanning a wafer on rotating coordinates) as described in the above; information of sensitivity of the checking apparatus in the detection; information of a serial number of the detecting apparatus and the like. The review apparatus 308 receives the information of a check mode from the checking apparatus 304 and determines a check mode of the checking apparatus from the information. Then, the review apparatus 308 switches to one of the coordinate correction tables (310, 311 and 312) based on the determined check mode. The coordinate correction tables are configured to perform coordinates correction optimally for any of the check modes. For example, the tables are used to obtain a deviation between coordinates actually detected in a check mode of the checking apparatus and coordinates detected by the review apparatus by a statistically process. As described in the above, the correction table switching depends on a pre-determined check mode of a pre-determined checking apparatus, enabling to obtain a good correction result in an instance with different deviation tendencies depending on the check modes. As described above, the review apparatus according to the first embodiment selects a coordinate correction table statically in correspondence to a check mode of the checking apparatus. That is, a checking apparatus and a check mode uniquely decide a coordinate correction table. However, because of temporal changes or the like in the apparatus, a coordinate correction table decided uniquely depending on a check mode is not always an optimal table. Although periodical maintenance is effective to the temporal changes as described above, its steps must be extremely complicated. To address the above problem, according to a second embodiment, even if a checking apparatus and/or a review apparatus change with a certain tendency over time, a plurality of coordinate correction tables are prepared in correspondence to the temporal changes, or a plurality of coordinate correction tables are prepared in correspondence only to a plurality of check modes to always switch dynamically to a coordinate correction table evaluated as an optimal one by performing the evaluation in parallel to the review, thereby obtaining a better correction result. The system configuration (FIG. 2) and the configuration of the review apparatus (FIG. 3) are similar to those of the first embodiment, and therefore will not be further described herein. FIG. 4 is a flowchart illustrating a function of automatically switching to a coordinate correction table. This function is operated by the central control unit 113 unless otherwise noted. The coordinate correction table switching is automatic herein although a user can set for the coordinate correction table switching. That is, this embodiment is characterized in that a deviation tendency is evaluated based on a coordinate value outputted by a checking apparatus and a coordinate value of a sample position detected in the review to switch to an optimal coordinate correction table in the review. In FIG. 4, at the start of the review (401), a coordinate correction table in its initial setting is in use (402). The coordinate correction table in its initial setting can be configured as any table, or configured based on a previous processing result as described below (see FIG. 6). During the review (403 to 409), if the coordinate correction table selecting function is enabled (the function is ON) (404), evaluation values of the coordinate correction tables are calculated (405), a maximum evaluation value is further calculated (406), and a coordinate correction table with the maximum evaluation value is selected (407). These processes allow for review using an optimal coordinate correction table even if a tendency differs from a default coordinate correction table. An equation (1) is an exemplary formula of calculating an evaluation value E of a coordinate correction table. The evaluation value is defined so as to be higher for a smaller deviation amount D after the correction by a coordinate correction table. Generally, a review order is often decided such that the amount of stage movement is minimum to improve throughput. In that case, samples will be reviewed from the closest sample in order. [ Formula 1 ] E n = 1 ∑ i = 1 n W i D i ( 1 ) Since deviation tendencies are local in most cases, close samples often have similar deviation tendencies. A value is effective that is evaluated by weighting the tendency of the closest deviation in the case of a review order with the minimum distance of a movement. In that case, an increasing function of a weighting coefficient W for the review order is effective. For example, it is effectual to ignore the deviation amount previous to closer points. Alternatively, in the case of a sufficient calculation cost including a processing time, the weighting function can be effectually a function of a distance between a review point to calculate an evaluation value and a review point with the previously calculated deviation amount. FIG. 5 shows one example of switching to a coordinate correction table with considering the weight coefficient described in the above. In FIG. 5A, there are seven review points on a wafer. A point on the wafer indicates checked coordinates (x0, y0) outputted by a checking apparatus, and also indicates detected coordinates (x, y) detected by the review apparatus as shown by the head of the arrow. Two coordinate correction tables are evaluated herein and coordinates corrected according to the tables are correction coordinates 1 (x1, y1) and correction coordinates 2 (x2, y2). For simplicity, a correcting equation for the correction tables are simplified to calculate the correction coordinates 1 by the equation (2) and the correction coordinates 2 by the equation (3): [Formula 2](x1,y1)=(x0−1,y0+2) (2)[Formula 3](x2,y2)=(x0+1,y0−2) (3) Set the weight coefficient W to be ½ for two previous points and ignore deviation tendencies of points previous to the two points to get the equation (4): [ Formula 4 ] W i = { 1 ( i = 1 ) 1 / 2 ( i ≥ 2 and i = n , n - 1 ) 0 ( i ≥ 3 and i ≤ n - 2 ) ( 4 ) The evaluation value E of a correction table is calculated using the following equation (5) based on the equations (1) and (4): [ Formula 5 ] E i = { 1 D i ( i = 1 ) 2 D i + D i - 1 ( i ≥ 2 ) ( 5 ) where D0=0. FIG. 5B shows coordinates checked by the checking apparatus and the review apparatus, corrected coordinates calculated using the equations (2) and (3), and specific numerical value examples of evaluation values calculated using the equation (5). Setting a table 1 (equation (2)) as an initial correction table, the review is executed using the correction table 1 from the first point through the fifth point inclusive, and a correction table 2 will be used after the fifth point where an evaluation value of the table 2 exceeds that of the table 1. Referring to FIG. 6, the switching to a table will be described more conceptually. FIG. 6 is an exemplary graph representing a correction result using coordinate correction tables A (604), B (605) and C (606) with a review order (601) on the abscissa axis and deviation amounts (602) on the ordinate axis. Inverse numbers of evaluation values (603) instead of the deviation amounts (602) on the ordinate axis can also yield the same graph tendency. If the coordinate correction table A (604) is selected as an initial table, the coordinate correction table C (606) is used after the second point according to an evaluation result at the first point. Further, the coordinate correction table B (605) is selected after a crossing point (607) according to an evaluation result at the crossing point (607). Similarly, If the coordinate correction table C (606) is selected as the initial table, the coordinate correction table C (606) continues to be used till the crossing point (607); the coordinate correction table B (605) is used after the crossing point (607) according to an evaluation result at the crossing point (607). Further, if the coordinate correction table C (606) is selected as the initial table, the coordinate correction table C (606) continues to be used after the second point according to an evaluation result at the first point, and the coordinate correction table B (605) is used after the next point to the crossing point (607) according to an evaluation result at the crossing point (607). In this way, an optimal correction table is used during the review to allow search for a defect with the minimum deviation amount. In addition, the computational complexity of the comparison and evaluation processing on the coordinate correction tables is so small that the processing can be executed without reducing ADR throughput. FIG. 7 is a flowchart illustrating a function of automatically updating the correction tables. This function is operated by the central control unit 113 unless otherwise noted. In FIG. 7, at the end of the ADR (701), if an automatic update function for the coordinate correction tables is enabled (702), evaluation values of the coordinate correction tables to be compared are calculated (703). Next, a coordinate correction table with the maximum evaluation value among the coordinate correction tables are calculated (704), and then the table is set as an initial coordinate correction table for ADR (705). The coordinate correction tables for the comparison can be newly created coordinate correction tables to be added based on a tendency of deviation measured in previous ADR, or coordinate correction tables to be added that are updated by adding measurement data to the existing coordinate correction tables. The coordinate correction and the creation of the coordinate correction tables can be performed as described in JP Patent Publication (Kokai) No. 2001-338601 (2001), or otherwise. The equation 6 is an exemplary calculating formula of an evaluation value to automatically update the coordinate correction tables. As opposed to the equation 1, the weight coefficient W reflecting a sample position is fixed (W=1). [ Formula 6 ] E n = 1 ∑ i = 1 n D i ( 6 ) FIG. 8 is an exemplary display screen for an evaluation result of coordinate correction tables. A name or ID of a checking apparatus to be evaluated is displayed in a box 801 and a check condition is displayed in a box 802. Coordinate correction tables to be evaluated can be selected in boxes 803, while deviation tendencies are displayed as vectors in circles 804 on a wafer map. Average values of deviation amounts are displayed in boxes 805, while values 3σ (triple of variance) (statistically, most information is included within a range of 3σ) are displayed in boxes 806. Values FOV (Field of View) representing sizes of recommended fields of view obtained from the values 3σ are displayed in boxes 807. Further, recommended magnifications corresponding to the recommended FOV are displayed in boxes 808. To notify a checking apparatus of the information as described above, one of send buttons 809 is pushed. A sending function is preferably automatic sending, but a user can push the send button 809 to notify the checking apparatus when the user desires to notify. In the automatic sending, the sending is performed only if a condition is satisfied, for example, a deviation is over a certain value or difference from a previous deviation is more than a certain value. Such automatic sending can be used to determine whether or not the checking apparatus needs maintenance. Although direct notification to a checking apparatus calculating coordinates is described herein as an example, notification to a system managing the checking apparatus has similar effect. As described hereinabove, in the review apparatus according to the second embodiment, the coordinate correction table switching is dynamic in correspondence to the change, thereby obtaining a good correction result even if a desired correction result cannot be obtained using a coordinate correction table initially selected depending on, for example, temporal changes in a checking apparatus or a review apparatus. Further, a coordinate correction table is automatically updated in the second embodiment, thereby allowing to use an optimal correction table and obtain a good correction result after the start of the coordinates correction processing. Furthermore, in the second embodiment, the amount of a deviation of a defect detected positions between a checking apparatus and a review apparatus is notified to the checking apparatus. If the deviation amount is too large, an administrator can maintain the checking apparatus. Meanwhile, the present invention can also be embodied in a software program code for realizing the functions of the embodiments. In that case, a system or an apparatus is provided with storage media recording the program code, and the program code is read out that stores a computer (or CPU, MPU) for the system or the apparatus in the storage media. The program code read out from the storage media realizes the functions of the previously mentioned embodiments, and the present invention is embodied in the program code and the storage media storing the code. The storage media for supplying the program code includes, for example, a floppy (R) disc, a CD-ROM, a DVD-ROM, a hard disk, an optical disc, an optical magnetic disc, a CD-R, a magnetic tape, a non-volatile memory card, a ROM and the like. An OS (operating system), for example, running on the computer can perform part or whole of actual processing based on indications by the program code, such that the previously mentioned functions of the embodiments can be realized by the processing. The CPU in the computer can also perform part or whole of actual processing based on indication by the program code after the program code read out from the storage media is written into a memory on the computer, such that the previously mentioned functions of the embodiments can be realized by the processing. The functions can be also achieved such that the software program code for realizing the functions of the embodiments is distributed via a network, stored in storage means such as the hard disk or the memory in the system or the apparatus or storage media such as a CD-RW or a CD-R, and executed after the program code is read out that is stored in the relevant storage means or the relevant storage media by the computer (or CPU, MPU) for the system or the apparatus. |
|
040653501 | summary | BACKGROUND OF THE INVENTION In the field of plasma physics, a need exists for magnetically confining a toroidal plasma column along an endless equilibrium axis in a vacuum containment means. Various means and methods have been proposed and used for this purpose, comprising the tokamak of U.S. Pat. No. 3,663,361, wherein poloidal field coil means are combined with a toroidal field and a plasma current stably to confine the plasma column in a circular cross-section. While these arrangements have been useful and have accomplished the desired confinement, they have been expensive, since they have required large diameter plasma cross-sections. Also, it has been difficult to increase the plasma volume in an equilibrium by using elliptical plasma columns, without decreasing the vertical stability of the plasma column. SUMMARY OF THE INVENTION This invention overcomes the difficulties and shortcomings of the heretofore known devices by adding a specific multipole forming a poloidal coil means, which is referred to herein as an octupole, to the outside of a round cross-section toroidal current carrying plasma column in a tokamak to change the plasma column into a rectangular cross-section characterized by an external separatrix. For purposes of this invention, an octupole is defined as the number of poles present at the center of the plasma vacuum space by taking away the current carrying toroidal plasma column. By taking away the plasma, the magnetic field wouldn't be square in cross-section, as understood in more detail hereinafter, and the field lines would form loops having eight poles, as understood from the Gulf General Atomic octupole shown in "Survey of the USAEC Program in Controlled Thermo-nuclear Research" June 1971. By elongating the spacing between the octupole coil means, which comprises at least four poloidal current carrying rings that are co-axial with the plasma column equilibrium axis, the plasma column cross-section is vertically elongated while the rectangular cross-section is maintained. This maintains vertical stability efficiently to increase the poloidal flux in the plasma column in linear proportion to the plasma cross-section height to achieve a much greater plasma volume than could be achieved with the heretofore known round cross-section plasma columns. Also, plasma column stability against vertical displacement is enhanced at larger volumes over elliptical cross-section plasma columns. Additionally, in another aspect, this invention provides poloidal magnetic divertors. In one embodiment, this invention provides in a tokamak of the type having a toroidal containment means and toroidal and poloidal current carrying magnetic field producing coil means for producing a nested set of concentric, circular cross-section, toroidal magnetic surfaces confining a plasma current carrying toroidal plasma column in the containment means so that the plasma column is stably located on a vertical axis and has equal radial axes defining an endless circular equilibrium axis around an axis of rotation in the annulus of the containment means, the improvement comprising: octupole means along the equilibrium axis and around the outside of the plasma column in the space between the equilibrium axis and the axis of rotation for producing poloidal separatrices external to the plasma column having stagnation points that define the four corners of a rectangular magnetic surface for confining and shaping the circular cross-section magnetic surface into a rectangular cross-sectional shape inside the rectangular surface. With the proper selection of elements and steps, as described in more detail hereinafter, the desired vertical stability and elongated cross-section in a tokamak are achieved. it is an object of this invention, therefore, to provide an elongated cross-section toroidal plasma column in an equilibrium having vertical stability. The above and further novel features and objects of this invention will appear more fully from the following detailed description when read in connection with the attached drawings, and the novel features will be particularly pointed out in the appended claims. |
claims | 1. An imaging system comprising:a radiation source;a radiation sensitive material;an exposure mask disposed between the radiation source and the radiation sensitive material, the exposure mask having radiation reflective or absorbing areas and radiation-transmissive openings, the exposure mask for exposing the radiation sensitive material only to radiation which passes through the radiation-transmissive openings, the exposure mask having a first area wherein some of the radiation transmissive openings are distributed in a first density, and having a second area wherein some of the radiation-transmissive openings are distributed in a second density which differs from the first density;a differential attenuator integral to the exposure mask and cooperable with the radiation-transmissive openings, the differential attenuator for attenuating radiation passing through the radiation transmissive openings of the first area by a first amount of attenuation; andthe differential attenuator for attenuating radiation passing through the radiation-transmissive openings in the second area by a second amount of attenuation that differs from the first amount of attenuation, wherein the differential attenuator includes first and second portions of a single layer of partial light shielding material overlying and secured to a transport support glass, the first amount of attenuation corresponding to a thickness of the differential attenuator in the first area from the support glass and the second amount of attenuation corresponding to a thickness of the differential attenuator in the second area from the support glass, where the thickness of the differential attenuator in the first area differs from the thickness of the differential attenuator in the second area. 2. The system of claim 1, wherein the first density is greater than the second density, and the first amount of attenuation is greater than the second amount of attenuation. 3. The system of claim 1, wherein the differential attenuator includes a partial radiation shielding material having first and second thicknesses thereof disposed in the radiation transmissive openings of the first and second areas, respectively, and the first thickness is greater than the second thickness. 4. The system of claim 3, wherein the first density is greater than the second density. 5. The system of claim 1, wherein the differential attenuator further comprises a first layer of partial radiation shielding material disposed in the radiation-transmissive openings of the first and second areas, and a second layer of partial radiation shielding material disposed in the radiation-transmissive openings of the first area. 6. The system of claim 5, wherein the first density is greater than the second density. 7. The system of claim 1, wherein the first amount of attenuation is based on the first density and the second amount of attenuation is based on the second density. 8. An exposure mask comprising:radiation reflective or absorbing areas and radiation-transmissive openings, the exposure mask for exposing radiation sensitive material only to radiation which passes through the radiation-transmissive openings;a first area wherein some of the radiation-transmissive openings are distributed in a first density;a second area wherein some of the radiation-transmissive openings are distributed in a second density which differs from the first density;a differential attenuator integral to the exposure mask and cooperable with the radiation-transmissive openings, the differential attenuator for attenuating radiation passing through the radiation transmissive openings of the first area by a first amount of attenuation; andthe differential attenuator for attenuating radiation passing through the radiation-transmissive openings in the second area by a second amount of attenuation that differs from the first amount of attenuation;wherein the differential attenuator includes first and second portions of a single layer of partial light shielding material overlying and secured to a transport support glass, the first amount of attenuation corresponding to a thickness of the differential attenuator in the first area from the support glass and the second amount of attenuation corresponding to a thickness of the differential attenuator in the second area from the support glass, where the thickness of the differential attenuator in the first area differs from the thickness of the differential attenuator in the second area. 9. The exposure mask of claim 8, wherein the first density is greater than the second density. 10. The exposure mask of claim 8, wherein the first density is greater than the second density and the first amount of attenuation is greater than the second amount of attenuation. 11. The system of claim 8, wherein the differential attenuator includes a partial radiation shielding material having first and second thicknesses thereof disposed in the radiation transmissive openings of the first and second areas, respectively, and the first thickness is greater than the second thickness. 12. The system of claim 11, wherein the first density is greater than the second density. 13. The system of claim 8, wherein the differential attenuator further comprises a first layer of partial radiation shielding material disposed in the radiation-transmissive openings of the first and second areas, and a second layer of partial radiation shielding material disposed in the radiation-transmissive openings of the first area. 14. The system of claim 13, wherein the first density is greater than the second density. 15. The system of claim 8, wherein the first amount of attenuation is based on the first density and the second amount of attenuation is based on the second density. |
|
046735461 | claims | 1. In a pressurized water nuclear reactor system of the type having a pressurizer, a safety valve, and an uninsulated loop seal pipe connecting the pressurizer and safety valve, the loop seal pipe having a first end communicating with the pressurizer to define a loop seal pipe/pressurizer interface and a second end communicating with the safety valve to define a loop seal pipe/safety valve interface, means for raising temperature at the loop seal pipe/safety valve interface comprising a plurality of thermally insulated panels interconnected to form a housing enclosing at least a portion of the loop seal pipe proximate the pressurizer and a portion of the pressurizer proximate the first end of the loop seal pipe, the said portion of the pressurizer having an uninsulated outer surface exposed to the loop seal pipe within the housing so that heat can be transferred from the outer surface to the loop seal pipe. 2. A pressurized water nuclear reactor system, as set forth in claim 1, wherein the insulated panels comprise reflective type insulation panels. 3. A pressurized water nuclear reactor system, as set forth in claim 2, wherein the pressurizer includes a top head including the first-mentioned portion of the pressurizer and a second portion, further comprising top head insulation mounted to the second portion, attachment means mounted to the top head insulation for supporting the insulated panels, and means for removably mounting the insulated panels to the attachment means. 4. A pressurized water reactor system, as set forth in claim 3, wherein the means for removably mounting the insulated panels to the attachment means comprises a plurality of self-locking buckles. |
abstract | Systems and methods for eliminating multi-path errors from atomic inertial sensors are provided. In certain embodiments, a system for performing atom interferometry includes a vacuum cell containing multiple atoms and a first plurality of lasers configured to trap the atoms within the vacuum cell. The system further includes a second plurality of lasers configured to impart momentum to the atoms and direct the atoms down multiple paths, wherein a primary path in the multiple paths has a first and second component that converge at a converging point, wherein a diverging part of the primary path in which the first and second components are diverging is asymmetrical with respect to a converging part of the primary path in which the first and second components are converging, such that only the first and second components converge at the converging point wherein other paths do not converge at the converging point. |
|
claims | 1. Method for removing flammable gases produced by radiolysis in a closed chamber which is a receptacle, tank or container, suitable for transporting and/or storing radioactive matters, said closed chamber containing radioactive matters comprising solid or liquid organic compounds and possibly water, or radioactive matters in the presence of solid or liquid organic compounds and possibly water, in which the following are placed inside the chamber:a first catalyst of at least one reaction for oxidizing the flammable gases by oxygen contained in the chamber atmosphere, supported by an inert solid support,a second catalyst of at least the reaction for oxidizing CO to CO2. 2. The method according to claim 1, in which the first catalyst is a catalyst of at least the reaction for oxidizing hydrogen to water. 3. The method according to claim 1, in which the first catalyst is a precious metal selected from the group consisting of platinum, palladium and rhodium. 4. The method according to claim 3, in which the inert solid support of the first catalyst supports less than 0.1% by weight of precious metal. 5. The method according to claim 1 in which the first catalyst is a rare earth, selected from the lanthanide group. 6. The method according to claim 1, in which the inert solid support of the first catalyst is a microporous inert solid support. 7. The method according to claim 6, in which the microporous inert solid support is selected from molecular sieves, possibly activated. 8. The method according to claim 7, in which the molecular sieve is made of a material selected from aluminas and activated aluminas. 9. The method according to claim 6, in which the microporous inert solid support has a specific surface area of at least 200 m2/g. 10. The method according to claim 1, in which the second catalyst is a catalyst specific of the reaction for oxidizing CO to CO2. 11. The method according to claim 1, in which the second catalyst comprises a mixture of manganese dioxide MnO2 and copper oxide CuO. 12. The method according to claim 1, in which the mass ratio of second catalyst to first catalyst is from 1/1 to 1/10. 13. The method according to claim 1, in which the following is also placed inside the chamber:an oxygen source. 14. The method according to claim 13, in which the oxygen source is in solid form or in gaseous form. 15. The method according to claim 14, in which the oxygen source is a solid source selected from solid peroxides. 16. The method according to claim 15, in which said solid peroxides are selected from peroxides of alkali and alkaline earth metals and mixtures thereof. 17. The method according to claim 14, in which the oxygen source is a gaseous source formed by replacing all or part of the chamber atmosphere by pure oxygen. 18. The method according to claim 1, in which a hygroscopic microporous support is also placed inside the chamber. 19. The method according to claim 18, in which the hygroscopic microporous support is selected from molecular sieves. 20. The method according to claim 19, in which the molecular sieve comprises an aluminosilicate. 21. The method according to claim 18, in which the hygroscopic microporous support has a specific surface area of at least 200 m2/g. 22. The method according to claim 6, in which the microporous inert solid support supporting the first catalyst, the second catalyst, and the hygroscopic microporous support, are fractionated into discrete elements. 23. The method according to claim 22, in which said discrete elements have an envelope diameter of between about 2 mm and about 20 mm. 24. The method according to claim 22, in which at least one of the first catalyst, the second catalyst, the oxygen source and the hygroscopic microporous support is placed, mixed or separately, in at least one receptacle that is at least partially permeable. 25. The method according to claim 24, in which the first catalyst and the second catalyst are mixed, and the oxygen source and the hygroscopic microporous support are separate. |
|
055576508 | claims | 1. A method for fabricating an anti-scatter x-ray grid for medical diagnostic radiography, the method comprising: providing a substrate having channels therein, the substrate comprising a plastic material that is substantially non-absorbent of x-radiation; and melting absorbing material that is substantially absorbent of x-radiation and flowing the melted absorbing material into the channels, the substrate comprising material capable of remaining stable at the melting temperature of the absorbing material. sawing channels in a substrate with a thin circular blade, the substrate comprising a plastic material that is substantially non-absorbent of x-radiation; and melting absorbing material comprising a metal alloy that is substantially absorbent of x-radiation and flowing the melted absorbing material into the channels, the substrate comprising material capable of remaining stable at the melting temperature of the absorbing material. 2. The method of claim 1, wherein the step of providing a substrate having channels therein is a technique selected from the group consisting of molding, drilling, and cutting of a substrate. 3. The method of claim 1, wherein the step of providing a substrate having channels therein comprises sawing a substrate with a thin circular blade. 4. The method of claim 3, wherein the step of sawing comprises sawing a single surface of the substrate. 5. The method of claim 3, wherein the step of sawing comprises sawing two surfaces of the substrate. 6. The method of claim 1, further including, after flowing the melted absorbing material into the channels, polishing at least one surface of the substrate. 7. The method of claim 1, further including the step of, prior to flowing the melted absorbing material into the channels, coating the surfaces of the channels with adhesion promoting material. 8. The method of claim 1, wherein the absorbing material comprises a metal alloy. 9. The method of claim 1, wherein the absorbing material comprises a lead-bismuth alloy. 10. The method of claim 1, wherein the step of providing a substrate having channels therein comprises providing at least some angled channels. 11. The method of claim 10, wherein the step of providing at least some angled channels includes situating the substrate on a rotatable support surface. 12. The method of claim 1, further including, after flowing the melted absorbing material into the channels, the step of applying a protective layer over at least one surface of the substrate, the protective layer comprising material that is substantially non-absorbent of x-radiation. 13. The method of claim 8, wherein the metal alloy comprises material selected from the group consisting of lead, bismuth, gold, barium, tungsten, platinum, mercury, thallium, indium, palladium, silicon, antimony, tin, and zinc. 14. A method for fabricating an anti-scatter x-ray grid for medical diagnostic radiography, the method comprising: 15. The method of claim 14, wherein the absorbing material comprises a lead-bismuth alloy. 16. The method of claim 14, wherein the step of sawing channels therein comprises sawing at least some angled channels. 17. The method of claim 16, wherein the step of sawing at least some angled channels includes situating the substrate on a rotatable support surface. |
description | This application is a continuation of U.S. application Ser. No. 12/911,911 filed on Oct. 26, 2010, which claims priority from U.S. Provisional application No. 61/254,779 filed on Oct. 26, 2009. 1. Field of the Invention The invention relates to a charged particle multi-beamlet lithography system for transferring a pattern onto the surface of a target using a plurality of beamlets. The invention further relates to a modulation device for use in a charged particle multi-beamlet lithography system, and to a method of manufacturing such a modulation device. 2. Description of the Related Art Charged particle multi-beamlet lithography systems are known, for example from U.S. Pat. No. 6,958,804. The system described in this patent preferably uses a plurality of electron beamlets to transfer a pattern to the target surface. The electron beamlets generated by a radiation source are modulated in a modulation device by electrostatic deflection in accordance with pattern data. The modulated beamlets are then transferred to the target surface. To enable high speed transfer of the pattern to the target surface, the pattern data for controlling the electrostatic deflection are transferred at least partly using optical transmission using modulated light beams. The present invention provides a charged particle lithography system for transferring a pattern onto the surface of a target. The system comprises a beam generator for generating a plurality of charged particle beamlets, the plurality of beamlets defining a column, a beam stop array having a surface for blocking beamlets from reaching the target surface and an array of apertures in the surface for allowing the beamlets to reach the target surface, and a modulation device for modulating the beamlets to prevent one or more of the beamlets from reaching the target surface or allow one or more of the beamlets to reach the target surface, by deflecting or not deflecting the beamlets so that the beamlets are blocked or not blocked by the beam stop array. The modulation device comprises a plurality of apertures arranged in arrays for letting the beamlets pass through the modulation device; a plurality of modulators arranged in arrays, each modulator provided with electrodes extending on opposing sides of an aperture for generating a voltage difference across the aperture, and a plurality of light sensitive elements arranged in arrays, for receiving modulated light beams and converting the light beams into electric signals for actuating the modulators, wherein the light sensitive elements are located within the column, wherein the modulation device is subdivided into a plurality of alternating beam areas and non-beam areas, the arrays of modulators are located in the beam areas, and the arrays of light sensitive elements are located in the non-beam areas and are in communication with the modulators in an adjacent beam area. The invention also provides in another aspect, a modulation device for use in a charged particle lithography system for patterning a plurality of charged particle beamlets in accordance with a pattern, the beamlets defining a column, the modulation device serving to modulate the beamlets to prevent one or more of the beamlets from reaching the target surface or allow one or more of the beamlets to reach the target surface, by deflecting or not deflecting the beamlets. The modulation device comprises a plurality of apertures arranged in arrays for letting the beamlets pass through the modulation device, a plurality of modulators arranged in arrays, each modulator provided with electrodes extending on opposing sides of an aperture for generating a voltage difference across the aperture, and a plurality of light sensitive elements arranged in arrays, for receiving modulated light beams and converting the light beams into electric signals for actuating the modulators, wherein the light sensitive elements are located within the column. The modulation device is subdivided into a plurality of alternating beam areas and non-beam areas, the arrays of modulators are located in the beam areas, and the arrays of light sensitive elements are located in the non-beam areas and are in communication with the modulators in an adjacent beam area. The system or modulation device may have a plurality of the non-beam areas located within the beamlet column, where the charged particle beamlets are arranged to intersect with the modulation device only in the beam areas of the device. The modulators in a beam area are preferably controlled by light sensitive elements arranged in non-beam areas located on more than one side of the beam area. The modulators in the beam areas may be more densely packed together than the light sensitive elements in the non-beam areas. The beamlets may be arranged in groups and the modulators may be arranged in groups, each group of modulators for deflecting or not deflecting one of the groups of beamlets, and wherein each group of modulators is located in a single one of the beam areas of the modulation device. Each group of beamlets may be arranged to converge at a common point. The common point of convergence for a group of beamlets may be on the optical axis of the group of beamlets. The individual modulators of each group of modulators may be rotated for deflection of the beamlets of a group of beamlets along radial lines extending from the point of convergence of the group of beamlets. Each group of beamlets may be arranged to be directed towards a single aperture in the beam stop array, and each group of modulators may be arranged in a rectangular array in one of the beam areas, and controlled by a single light sensitive element in an adjacent non-beam area. Alternatively, each group of modulators may be arranged in a radial arrangement around a centrally located axis of the corresponding groups of beamlets. The system or modulation device may have beam areas and the non-beam areas in the form of elongated slits. The slits may extend over substantially an entire width of the beamlet column, and the non-beam areas have a width larger than the beam areas. The orientation of the slits is either substantially transverse to a relative direction of movement of a wafer positioning system of the lithography system, or substantially parallel to a direction of scanning deflection of the beamlets. Each of the light sensitive elements may provide a signal for controlling a plurality of the modulators. The modulated light signals received by the light sensitive elements may be multiplexed to provide information for controlling more than one modulator, and each light sensitive element may communicate with a demultiplexer for demultiplexing the received signal for control of a plurality of modulators. The demultiplexer for a corresponding light sensitive element is preferably located between the light sensitive element and the beam area containing the modulators controlled by the signal received by the light sensitive element. The modulation device preferably includes a plurality of memory elements located in the beam areas, each memory element storing a signal for control of one of the modulators. The modulators may be arranged in a two dimensional array, wherein the rows and columns are addressed by bit-lines and word-lines. Each of the light sensitive elements may provides a signal for controlling at least 25 modulators. The non-beam areas of the modulation device preferably have a greater structural strength than the beam areas. In another aspect the invention also provides a method of transferring a pattern on to a target surface using a charged particle lithography system in particular according to any of the preceding claims. The method comprises the steps of generating a plurality of beamlets defining a column; modulating the beamlets by deflecting or not deflecting the beamlets, for the purpose of completely or partly preventing the beamlets from reaching the target surface, under control of a control unit; and transferring the passed beamlets to the target surface. The modulating further comprises the steps of: optically transmitting data as modulated light beams carrying the pattern, to light sensitive elements; converting the modulated light beams received by the light sensitive elements into electric signals; and actuating one or more modulators, on the basis of the electrical signals, to selectively deflect the beamlets for blocking or not blocking the beamlets from reaching the target surface, by means of deflection in an electric field. The following is a description of various embodiments of the invention, given by way of example only and with reference to the figures. The figures are not drawn to scale and merely intended for illustrative purposes. The expression “interconnect structure” as used in the context of this application refers to a structure such as typically applied for integrated circuits with critical dimensions of 0.25 μm or smaller. It usually comprises from four up to ten levels of connection levels. The individual levels are mutually interconnected using vertical connections, also referred to as vias. An interconnect as discussed below may comprise a portion residing within one or more connection levels as well as comprise portions corresponding to one or more vias. FIG. 1 shows a simplified schematic drawing of an embodiment of a charged particle multi-beamlet lithography system 1. Such lithography system is for example described in U.S. Pat. Nos. 6,897,458 and 6,958,804 and 7,084,414 and 7,129,502, which are assigned to the applicant of the present application and which are hereby incorporated by reference in their entirety. Such lithography system 1 suitably comprises a beamlet generator generating a plurality of beamlets, a beamlet modulator patterning the beamlets to form modulated beamlets, and a beamlet projector for projecting the modulated beamlets onto a surface of a target. The beamlet generator typically comprises a source and at least one beam splitter. The source in FIG. 1 is an electron source 3 arranged to produce a substantially homogeneous, expanding electron beam 4. The beam energy of the electron beam 4 is preferably maintained relatively low in the range of about 1 to 10 keV. To achieve this, the acceleration voltage is preferably low, and the electron source 3 may be kept at a voltage between about −1 to −10 kV with respect to the target at ground potential, although other settings may also be used. In FIG. 1, the electron beam 4 from the electron source 3 passes a collimator lens 5 for collimating the electron beam 4. The collimator lens 5 may be any type of collimating optical system. Before collimation, the electron beam 4 may pass a double octopole (not shown). Subsequently, the electron beam 4 impinges on a beam splitter, in the embodiment of FIG. 1 an aperture array 6. The aperture array 6 preferably comprises a plate having through-holes. The aperture array 6 is arranged to block part of the beam 4. Additionally, the array 6 allows a plurality of beamlets 7 to pass through so as to produce a plurality of parallel electron beamlets 7. The lithography system 1 of FIG. 1 generates a large number of beamlets 7, preferably about 10,000 to 1,000,000 beamlets, although it is of course possible that more or less beamlets are generated. Note that other known methods may also be used to generate collimated beamlets. A second aperture array may be added in the system, so as to create subbeams from the electron beam 4 and to create electron beamlets 7 from the subbeam. This allows for manipulation of the subbeams further downstream, which turns out beneficial for the system operation, particularly when the number of beamlets in the system is 5,000 or more. The beamlet modulator, denoted in FIG. 1 as modulation system 8, typically comprises a beamlet blanker array 9 comprising an arrangement of a plurality of blankers, and a beamlet stop array 10. The blankers are capable of deflecting one or more of the electron beamlets 7. In embodiments of the invention, the blankers are more specifically electrostatic deflectors provided with a first electrode, a second electrode and an aperture. The electrodes are then located on opposing sides of the aperture for generating an electric field across the aperture. Generally, the second electrode is a ground electrode, i.e. an electrode connected to ground potential. To focus the electron beamlets 7 within the plane of the blanker array 9 the lithography system may further comprise a condenser lens array (not shown). In the embodiment of FIG. 1, the beamlet stop array 10 comprises an array of apertures for allowing beamlets to pass through. The beamlet stop array 10, in its basic form, comprises a substrate provided with through-holes, typically round holes although other shapes may also be used. In some embodiments, the substrate of the beamlet stop array 10 is formed from a silicon wafer with a regularly spaced array of through-holes, and may be coated with a surface layer of a metal to prevent surface charging. In some further embodiments, the metal is of a type that does not form a native-oxide skin, such as CrMo. The beamlet blanker array 9 and the beamlet stop array 10 operate together to block or let pass the beamlets 7. In some embodiments, the apertures of the beamlet stop array 10 are aligned with the apertures of the electrostatic deflectors in the beamlet blanker array 9. If beamlet blanker array 9 deflects a beamlet, it will not pass through the corresponding aperture in the beamlet stop array 10. Instead the beamlet will be blocked by the substrate of beamlet block array 10. If beamlet blanker array 9 does not deflect a beamlet, the beamlet will pass through the corresponding aperture in the beamlet stop array 10. In some alternative embodiments, cooperation between the beamlet blanker array 9 and the beamlet stop array 10 is such that deflection of a beamlet by a deflector in the blanker array 9 results in passage of the beamlet through the corresponding aperture in the beamlet stop array 10, while non-deflection results in blockage by the substrate of the beamlet stop array 10. The modulation system 8 is arranged to add a pattern to the beamlets 7 on the basis of input provided by a control unit 60. The control unit 60 may comprise a data storage unit 61, a read out unit 62 and data converter 63. The control unit 60 may be located remote from the rest of the system, for instance outside the inner part of a clean room. Using optical fibers 64, modulated light beams 14 holding pattern data may be transmitted to a projector 65 which projects light from the ends of fibers within a fiber array (schematically depicted as plate 15) into the electron optical portion of the lithography system 1, schematically denoted by the dashed box and reference number 18. In the embodiment of FIG. 1, the modulated light beams are projected on to the beamlet blanker array 9. More particularly, the modulated light beams 14 from optical fiber ends are projected on corresponding light sensitive elements located on the beamlet blanker array 9. The light sensitive elements may be arranged to convert the light signal into a different type of signal, for example an electric signal. A modulated light beam 14 carries a portion of the pattern data for controlling one or more blankers that are coupled to a corresponding light sensitive element. Suitably, in order to project the light beams 14 onto corresponding light sensitive elements optical elements such as a projector 65 may be used. Additionally, to allow projection of the light beams 14 at a suitable incident angle, a mirror may be included, for example suitably placed between a projector 65 and the beamlet blanker array 9. The projector 65 may be appropriately aligned with the plate 15 by a projector positioning device 17 under control of the control unit 60. As a result, the distance between the projector 65 and the light sensitive elements within the beamlet blanker array 9 may vary as well. In some embodiments, the light beams may, at least partially, be transferred from the plate towards the light sensitive elements by means of an optical waveguide. The optical waveguide may guide the light to a position very close to the light sensitive elements, suitably less than a centimeter, preferably in the order of a millimeter away. A short distance between an optical waveguide and a corresponding light sensitive elements reduces light loss. On the other hand, the use of plate 15 and a projector 65 located away from the space that may be occupied by the charged particle beamlets has the advantage that the beamlet disturbance is minimized, and the construction of the beamlet blanker array 9 is less complex. The modulated beamlets coming out of the beamlet modulator are projected as a spot onto a target surface 13 of a target 24 by the beamlet projector. The beamlet projector typically comprises a scanning deflector for scanning the modulated beamlets over the target surface 13 and a projection lens system for focusing the modulated beamlets onto the target surface 13. These components may be present within a single end module. Such end module is preferably constructed as an insertable, replaceable unit. The end module may thus comprise a deflector array 11, and a projection lens arrangement 12. The insertable, replaceable unit may also include the beamlet stop array 10 as discussed above with reference to the beamlet modulator. After leaving the end module, the beamlets 7 impinge on a target surface 13 positioned at a target plane. For lithography applications, the target usually comprises a wafer provided with a charged-particle sensitive layer or resist layer. The deflector array 11 may take the form of a scanning deflector array arranged to deflect each beamlet 7 that passed the beamlet stop array 10. The deflector array 11 may comprise a plurality of electrostatic deflectors enabling the application of relatively small driving voltages. Although the deflector array 11 is drawn upstream of the projection lens arrangement 12, the deflector array 11 may also be positioned between the projection lens arrangement 12 and the target surface 13. The projection lens arrangement 12 is arranged to focus the beamlets 7, before or after deflection by the deflector array 11. Preferably, the focusing results a geometric spot size of about 10 to 30 nanometers in diameter. In such preferred embodiment, the projection lens arrangement 12 is preferably arranged to provide a demagnification of about 100 to 500 times, most preferably as large as possible, e.g. in the range 300 to 500 times. In this preferred embodiment, the projection lens arrangement 12 may be advantageously located close to the target surface 13. In some embodiments, a beam protector (not shown) may be located between the target surface 13 and the projection lens arrangement 12. The beam protector may be a foil or a plate provided with a plurality of suitably positioned apertures. The beam protector is arranged to absorb the released resist particles before they can reach any of the sensitive elements in the lithography system 1. The projection lens arrangement 12 may thus ensure that the spot size of a single pixel on the target surface 13 is correct, while the deflector array 11 may ensure by appropriate scanning operations that the position of a pixel on the target surface 13 is correct on a microscale. Particularly, the operation of the deflector array 11 is such that a pixel fits into a grid of pixels which ultimately constitutes the pattern on the target surface 13. It will be understood that the macroscale positioning of the pixel on the target surface 13 is suitably enabled by a wafer positioning system present below the target 24. Commonly, the target surface 13 comprises a resist film on top of a substrate. Portions of the resist film will be chemically modified by application of the beamlets of charged particles, i.e. electrons. As a result thereof, the irradiated portion of the film will be more or less soluble in a developer, resulting in a resist pattern on a wafer. The resist pattern on the wafer can subsequently be transferred to an underlying layer, i.e. by implementation, etching and/or deposition steps as known in the art of semiconductor manufacturing. Evidently, if the irradiation is not uniform, the resist may not be developed in a uniform manner, leading to mistakes in the pattern. High-quality projection is therefore relevant to obtain a lithography system that provides a reproducible result. No difference in irradiation ought to result from deflection steps. FIG. 2 schematically shows the operation of an embodiment of the beamlet blanker array 9 in the lithography system of FIG. 1. In particular, FIG. 2 schematically shows a cross-sectional view of a portion of a beamlet modulator comprising a beamlet blanker array 9 and beamlet stop array 10. The beamlet blanker array 9 is provided with a plurality of apertures 35. For sake of reference the target 24 has also been indicated. The figure is not drawn to scale. The shown portion of the beamlet modulator is arranged to modulate three beamlets 7a, 7b, and 7c. The beamlets 7a, 7b, 7c may form part of a single group of beamlets that may be generated from a beam originating from a single source or from a single subbeam. The beamlet modulator of FIG. 2 is arranged for converging groups of beamlets towards a common point of convergence P for each group. This common point of convergence P is preferably located on an optical axis O for the group of beamlets. Considering the shown beamlets 7a, 7b, 7c in FIG. 2, beamlets 7a, 7c have an incident angle extending between the beamlet and the optical axis O. The orientation of beamlet 7b is substantially parallel to the optical axis. The direction of beamlet deflection to establish blocking of deflected beamlets by the substrate of the beamlet stop array 10 may be different for each beamlet Beamlet 7a is blocked by deflection towards the left, i.e. towards the “−”-direction in FIG. 2, indicated by dashed line 7a−. Beamlets 7b, 7c on the other hand are to be deflected towards the right, i.e. towards the “+”-direction, to established blocking of the respective beamlets. These blocking directions are indicated by dashed lines 7b+ and 7c+ respectively. Note that the choice of deflection direction may not be arbitrary. For example, for beamlet 7a, dashed line 7a+ shows that deflection of beamlet 7a towards the right would result in passage through the beamlet stop array 10. Therefore, deflection of beamlet 7a along line 7a+ would be inappropriate. On the other hand, deflection of beamlet 7b towards the left, indicated by dashed line 7b−, would be an option. FIG. 3A schematically shows a top view of an arrangement of electrodes within a beamlet blanker array wherein the beamlet blanker array is arranged to converge groups of beamlets towards a common point of convergence. In this embodiment the beamlet blankers take the form of electrostatic modulators 30, each modulator 30 comprising a first electrode 32, a second electrode 34, and an aperture 35 extending through the body of the beamlet blanker array. The electrodes 32, 34 are located on opposing sides of the aperture 35 for generating an electric field across the aperture 35. The individual modulators 30 form a radial arrangement around a centrally located optical axis O. In the embodiment shown in FIG. 3A, both electrodes 32, 34 have a concave shape, which makes the shape of the electrodes 32, 34 conform to the cylindrical apertures 35. This cylindrical aperture shape is in itself suitable for preventing the introduction of certain optical aberrations, such as astigmatism. In this embodiment, the electrodes 32, 34 of the individual modulators 30 are rotated, such that when deflected, the beamlets are still directed along lines converging to points of convergence on the optical axis. This deflection along radial lines extending from the optical axis turns out to be beneficial to prevent disturbance of other beamlets and/or any undesired passing of deflected beamlets through the beamlet stop array 10. In particular if the lateral distances between beamlets and also between groups of beamlets are small in comparison to the vertical distance between the beamlet blanker array 9 and the beamlet stop array 10, such disturbance and/or undesired passing can be significant. Although FIG. 3A suggests an area without modulators 30 in the vicinity of the optical axis O, that is not a necessary feature of this embodiment. FIG. 3B shows an alternative arrangement of electrodes within a beamlet blanker array wherein the beamlet blanker array is arranged to converge groups of beamlets towards a common point of convergence. In this arrangement the individual modulators 30 do again form a radial arrangement around a centrally located optical axis O. However, the individual modulators 30 are not placed in concentric circles around the optical axis, but in an array formed by columns and rows with orientations substantially perpendicular to each other. Simultaneously, the electrodes 32, 34 of the individual modulators 30 do have an orientation such that they can deflect beamlets along radial lines extending from the optical axis O. In particular when the beamlets passing through an electrode arrangement as shown in FIGS. 3A and 3B are arranged to be directed towards a single aperture within a beamlet stop array as shown in FIG. 2, the deflection directions are preferably such that beamlets that are to blocked by the beamlet stop array are directed to blocking positions onto the beamlet stop array that are substantially homogeneously spread around the respective beam stop aperture. By evenly spreading the blocking positions of beamlets within a group of beamlets, degradation of the beam stop array by impingement of charged particles is spread as evenly as possible. FIG. 4 schematically shows a top view of yet another embodiment of electrodes within a beamlet blanker array. In this embodiment, the electrodes 32, 34 are again situated around apertures 35, but the second electrodes 34 of several modulators 30 are integrated into a single strip. The modulators 30 are arranged in rows. An isolation zone 39 is suitably present between a first row 37 of modulators 30 and a second row 38 of modulators 30. The isolation zone 39 is designed to prevent undesired discharge. In many applications the potential of the second electrode 34 is put at ground potential, i.e. 0V. However, the potential shared by the second electrodes 34 of several modulators 30 may also be set at a different potential, for example a reference voltage of about 1 kV or about −1 kV. FIG. 5 schematically shows a top view of a topographic arrangement of components that may be used in a beamlet blanker array 9 according to embodiments of the invention. The beamlet blanker array is divided into beam areas 51 and non-beam areas 52. The beam areas 51 represent areas arranged to receive and modulate beamlets. The non-beam areas 52 are areas arranged to provide an area for components needed to support the components within the beam areas 51. Components being present within the beam areas 51 include the modulators 30. The modulators 30 may take the form of electrostatic deflectors as discussed with reference to FIGS. 2-4. Components within the non-beam areas 52 may include light sensitive elements 40 arranged to receive modulated light signals, for example in a way as discussed with reference to FIG. 1. Suitable examples of light sensitive elements 40 include but are not limited to photodiodes and phototransistors. The non-beam areas in the embodiment shown in FIG. 5 further include demultiplexers 41. The light signals received by the light sensitive elements 40 may be multiplexed signals to include information for more than one modulator 30. Therefore, after reception of the light signal by the light sensitive element 40, the light signal is transferred to a demultiplexer 41 where the signal is demultiplexed. After demultiplexing, the demultiplexed signals are forwarded to the correct modulators 30 via dedicated electrical connections 42. As a result of the use of multiplexed light signals and an arrangement of light sensitive elements 40 and demultiplexers 41, the number of light sensitive elements 40 is lower than the number of modulators 30. Having a limited number of light sensitive elements 40 enables reduction of the dimensions of the non-beam areas 52. The beam areas 51 may then be placed more closely together to increase the number of modulators 30 per unit area in the blanker array. In comparison to the non-multiplexed embodiment, the lay-out of the beamlet blanker array would then be more compact if the same number of modulators would be used. If the dimensions of the blanker array would remain substantially the same, more modulators could be used. Alternatively, instead of decreasing the size of the non-beam areas 52 the use of the multiplexed embodiment could enable the use of light sensitive elements 40 with a greater light receiving area. The use of a greater light receiving area per light sensitive element 40 reduces the complexity of the optics needed to direct the light signals towards the correct light sensitive element 40 and makes the light receiving structure more robust. The modulators 30 may be suitably arranged in columns and rows to allow addressing via wordlines 80 and bitlines 90 as shown in FIG. 6. Such arraywise addressing reduces the number of connections extending from the demultiplexer 41 to the modulators 30. For example, in FIG. 6 only 10 connection lines are present, while individual addressing would result in 25 connection lines to address the 25 modulators 30. Such reduction of connection lines improves the reliability of the beamlet blanker array 9 as it becomes less susceptible to failure due to a malfunctioning connection between a demultiplexer 41 and a modulator 30. Furthermore, the connections may occupy less space if placed in such arraywise addressing arrangement. While the embodiment in FIG. 5 shows four deflectors 30 per light sensitive element 40, and FIG. 6 shows 25 deflectors 30 per light sensitive element 40, a ratio between deflectors 30 and light sensitive elements 40 may be increased up to 100 or even more, for example 250. The advantage of the reduction of connections between demultiplexers 41 and corresponding modulators 30 then becomes significant in that the robustness and reliability of the beamlet blanker array 9 improves considerably. Suitably, the demultiplexers 41 may be moved towards the beam-area 51 to shorten the connections with the respective modulators 30. This is particularly useful when the distance between light sensitive elements 40 and the deflectors 30 is relatively large, for example in the order of 100 micrometer or more. In order to ensure that the modulators 30 deflect a passing beamlet during a full deflection period, the beam area 51 may further include memory elements 95 coupled to respective modulators 30 for temporarily storing a control signal dedicated for the respective modulator 30 for a predetermined period of time. The predetermined period of time may correspond to or be larger than the full deflection period to ensure that the control signal is available for such entire deflection period. This arrangement allows the deflection step to be independent from the transmission of control signals time wise. Furthermore, the transmission of control signals may thus be done sequentially, whereas the deflection of beamlets is performed simultaneously. FIG. 7 schematically shows a cross-sectional view of a portion of a beamlet blanker array or modulation device according to an embodiment of the invention. Though not shown, it will be understood that the blanker array extends in two dimensions (in a direction into and out from the page in FIG. 7), for instance in accordance with the division of the beamlet blanker array into beam areas and non-beam areas as schematically shown in FIG. 5. The array 9 comprises a body comprising an interconnect structure 100. The interconnect structure 100 is provided with a plurality of modulators. The interconnect structure 100 provides different connection levels that enable connection of the modulators to one or more pattern data receiving elements, for example the light sensitive elements 40 shown in FIGS. 5 and 6. The connection between a modulator and a pattern data receiving element is referred to as “interconnect”. Each modulator comprises a first electrode 132, a second electrode 134, and an aperture 135 extending through the body. The electrodes 132, 134 are located on opposing sides of the aperture 135 for generating an electric field across the aperture 135. The electrodes 132, 134 are formed by conductive elements 110 at different levels of the interconnect structure 100, where the conductive elements 110 are connected with each other by one or more vias 120. The interconnect structure 100 may be supported by a substrate 101, for example a silicon substrate, for enhancing the structural integrity of the beamlet blanker array. The use of electrodes 132, 134 formed by conductive elements 110 at different levels connected by vias 120 has the advantage that the beamlet blanker array can be produced with known semiconductor techniques, for example techniques used in CMOS-technology, where CMOS stands for Complementary Metal-Oxide Semiconductor. Furthermore, the use of conductive elements 110 at multiple levels enables connection of modulators to pattern data receiving elements such as the light sensitive elements described earlier at different levels. For example, in an arrangement as shown in FIG. 6, wordlines may connect to the electrodes at a different level within the interconnect structure than bitlines. Consequently, the density of connection lines per unit area of the beamlet blanker array may improve, which provides the opportunity to place the modulators at a closer pitch than would be possible if all connections were to be located within the same level. The top layer 140 of the body may be used to define a shield. The shield may be set at the same potential as the second electrode 134, which may act as a ground electrode. The shield serves the purpose of preventing cross-talk between neighboring modulators. As mentioned above, the interconnect structure 100 may use techniques typically applied for integrated circuits with critical dimensions of 0.25 μm or smaller. In some of these techniques, for example CMOS, the structure usually comprises 4-10 levels for interconnection purposes. The individual levels are mutually interconnected using vertical interconnect areas or vias. The layer thickness of an individual level is typically about 1 μm. In a type of interconnect structure that can be used in embodiments of the invention, the interconnect structure comprises copper (Cu) levels and Cu vias made using so-called dual damascene technology. In another type of interconnect structure that may be used, the interconnect structure comprises Aluminum (Al) levels and Tungsten (W) vias. The materials being used may be optimized with alloying elements. Additionally, as will be known to the skilled person, barrier layers may be used. Such barrier layer is in particular useful in cases where Cu is used in the interconnect structure. Cu tends to migrate very easily and may contaminate the structure. Al is less susceptible to migration due to the formation of native oxide on an exposed Al-surface. However, the thickness of this native oxide layer is generally rather thin, and a barrier layer may be used to improve the performance of the interconnect structure. A barrier layer may comprise materials selected from the group of materials consisting of TiN, TaN, and TiW. To obtain the desired functionality, each of the levels within the interconnect structure typically has its own different pattern. The top of the interconnect structure may be covered with a passivation layer to protect the interconnect structure against moisture and contamination. Bond pads for providing electrical contacts of the interconnect structure with exterior elements may be defined in the most upper metallization level or even on top of the passivation layer. The bond pads may be suitable for wire bonding or solder bumping. Furthermore, the top side of the interconnect structure may be suitably provided with a metallized surface to avoid local charging and attraction of charged particles, for example electrons. Note that although the orientation shown in FIG. 7 may imply otherwise, the charged particle beamlets may pass through the apertures 135 downwards as well as upwards. The actual orientation of the array 9 may depend on available space and other constraints caused by other components in the charged particle multi-beamlet lithography system. FIGS. 8A-8F schematically show a cross-sectional view of steps in the manufacturing of the beamlet blanker array 9 of FIG. 7. FIG. 8A shows a first stage in the manufacturing, which includes providing a body comprising an interconnect structure 100. The interconnect structure comprises a stack of layers defining a stack of levels 136, 137. Each level may include one or more layers. Layers used for making connections between structures within such layer are defined as being part of a metallization level 136. Layers arranged to enable connections between different layers within the stack are defined as being part of a via level 137. The interconnect structure 100 is provided with a plurality of modulators and interconnects at different levels within the interconnect structure. The interconnects enable connection of the modulators to one or more pattern data receiving elements, for example the light sensitive elements 40 discussed with reference to FIG. 5. The body generally includes a supporting substrate 101 for improving the structural integrity and to provide further electrical switching and connection capabilities. For this reason, active elements such as transistors, diodes and capacitors may be defined suitably within the substrate 101. The substrate 101 typically comprises silicon, or silicon-on-insulator, or another modified silicon substrate, such as SiGe. The substrate surface facing the interconnect structure may be covered by a dielectric layer 105 for preventing diffusion into the substrate 101. The dielectric layer 105 may in such case be provided with LOCOS (Local oxidation of Silicon) or STI (Shallow trench insulation), or any other suitable technique known to a person skilled in the art. On top of the substrate 101 and optional thermal oxide layer 105, the multilevel interconnect structure 100 is defined. The interconnect structure 100 comprises multiple layers, typically arranged in such a way that metallization levels 136 are coupled to each other by via levels 137. In the different levels, conductive structures are present in accordance with a predetermined pattern surrounded by insulating material 145. The conductive structures in a metallization level 136 typically take the form of connecting structures, e.g. wires, while the conductive structures in a via level 137 typically take the form of a so-called contact hole or via. The pattern of conductive material within the metallization levels and position and number of vias correspond, at least at some locations within the interconnect structure, to the desired pattern of modulators to be formed. For this purpose, aperture areas 135 are kept free of metal structures and filled with insulating material 145. Additionally, conductive elements 110 are placed circumferentially around the aperture area 135 within one or more metallization levels and suitably connected to each other via vias 120 in the via levels. The metal used in the metallization levels 136, for example for conductive elements 110, typically comprises Aluminum (Al). Additionally, or alternatively, the metal may comprise Copper (Cu). A typical material used for the vias 120 is Bismuth (W) or Cu manufactured in a so-called dual damascene manufacturing process. The insulating material 145 being used typically comprises silicon dioxide (SiO2). Although not shown, the interconnect structure 100 may be suitably covered with a passivation layer for protecting the structure. For use in an application for charged particle lithography, such passivation layer is preferably covered with a conductive coating to avoid any undesired buildup of charge within the system. The body can be manufactured using known semiconductor processing techniques, for example techniques to produce a CMOS-chip. The use of known semiconductor processing techniques to provide a basic building block of the beamlet blanker array significantly reduces the costs of manufacturing. Furthermore, the use of such body improves the reliability of the beamlet blanker array manufactured in accordance with the process of manufacturing described below. After providing the body, the interconnect structure 100 may be covered by three layers, i.e. a first resist layer 151, an insulating layer 153, and a second resist layer 155. The end result after this step is shown in FIG. 8B. The first resist layer 151 typically is a photo-resist layer. The second resist layer 155 typically is an electron-beam resist layer. The insulating layer 153 typically comprises SiO2. The resist layers 151, 155 may be deposited by means of spinning The insulating layer 153 may be deposited by sputtering. The second resist layer 155 is then exposed in accordance with a pattern, and subsequently developed to obtain the structure shown in FIG. 8C. Partial exposure in accordance with a pattern may be done with an electron beam pattern generator, where the second resist layer 155 comprises an electron beam resist. Alternatively, in case the second resist layer 155 would be a photo-resist, exposure by means of a pattern could be executed with a suitable light source in combination with a mask as will be understood by a person skilled in the art. The patterned second resist layer 155 is now used as an etch mask for the insulating layer 153. The etched insulating layer 153 may then be used as an etch mask for etching the first resist layer 151. The etching may include inductively coupled plasma (ICP) etching using a suitable plasma, for example a fluorine plasma and/or an oxygen plasma. During the etching of the first resist layer 151, the second resist layer 155 may be consumed. The end result of the process steps described above is schematically shown in FIG. 8D. Next, the first resist layer 151 is used as an etch mask for removal of insulating material. The etching may again include ICP etching in a suitable plasma, e.g. a fluorine plasma. A result of this etching step is shown in FIG. 8E. Subsequently, holes 160 are etched into the substrate 101, preferably by using an anisotropic etching technique. A suitable etching technique is so-called Bosch-etching, in particular if the substrate is a silicon substrate. Bosch etching is method of anisotropic etching by cyclic etch and deposition steps in a plasma environment and described in more detail with respect to the etching of silicon in German patent DE4241045 and U.S. Pat. No. 5,501,893. Other materials such as GaAs, Ge, and SiGe can be etched in a similar way. Additionally, a chemically selective etching technique may be used to widen the free space in the interconnect structure 100 by removing insulating material while leaving the metal structures substantially intact. A suitable chemically selective etching technique includes wet etching. As a result of the widening of the free space within the interconnect structure 100 the conductive elements 110 in the different metallization levels may be exposed, as well as one or more of the vias 120 in the via levels. A result of the abovementioned etching steps is schematically shown in FIG. 8F. Exposure of the conductive elements 110 in the metallization levels, and preferably also at least one via 120 in the one or more via levels improves performance of the electrodes 132, 134 of the modulator. The electric field as provided by the electrodes 132, 134 across the aperture 135 may be more uniform. Furthermore, the removal of insulating material 145 that may face electron beamlets during use, prevents charging of this material during use by scattered charged particles such as electrons. Charge buildup within apertures of the beamlet blanker array tends to reduce performance over time and is therefore undesired. Although the structure shown in FIG. 8E suggests that removal of insulating material 145 is needed to expose side faces of the conductive elements 110, exposure of one or more of these side faces may already have been achieved in an earlier etching step. Although not indicated in the Figures, at least the exposed surfaces of the conductive elements 110, and preferably also the one or more vias 120 that are exposed to the inner volume of the aperture 135, may be provided with a substantially inert conductive coating, e.g. a coating of a material that does not or not substantially oxidize. Examples of such coatings include but are not limited to coatings of CrMo, Au, and Pt. In order to depict the further processing steps, a cross-sectional view of a larger portion of the beamlet blanker array is provided in FIGS. 9A, 9B. In this case, the cross-sectional view includes three apertures 135 as discussed with reference to FIGS. 8A-8F. After the chemically selective step used to widen the free space within the interconnect structure 100 and the etching of holes 160 in the substrate 101, a large aperture 170 is formed into the semiconductor substrate 101 by etching from the side facing away from the interconnect structure 100, i.e. the “backside”. For this etching a third resist layer 157 is selectively deposited on the backside of the substrate 101 (see FIG. 9A). The third resist layer 157 is then used as an etch mask for the etching which leads to the result shown in FIG. 9B. Subsequent removal of the third resist layer 157 would lead to the beamlet blanker array portion shown in FIG. 7. For the backside etching use can be made of dry etching, for example reactive ion etching (RIE), or wet etching as known to a skilled person in the art. Note that the step of chemically selective removal of insulating material so as to expose conductive material to the aperture is not necessarily performed after etching one or more holes 160 in the semiconductor substrate 101, but may also be applied after the back-etching step discussed with reference to FIGS. 9A, 9B. Furthermore, although the back-etching step discussed with reference to FIGS. 9A, 9B creates an aperture from the backside with dimensions sufficient to define through holes through the entire structure for more than one modulator, it is to be understood that such back-etch aperture may be arranged per modulator as well. The advantage of using a single backside aperture for multiple modulators is that it simplifies manufacturing due to a lower complexity of the mask being used in the backside etching step, and lower alignment requirements. FIG. 10 shows a simplified, cross-sectional view of another portion of the beamlet blanker array. In particular, FIG. 10 schematically depicts a portion of the beamlet blanker array comprising a light sensitive element. In the shown embodiment the light sensitive element comprises a diode 241 having a first and second zone 241P, 241N and a junction 242 between those zones 241N, 241P. An antireflection coating 243 is present on top of the diode 241. Such antireflection coating 243 is arranged to prevent reduction of light intensity due to reflections. In the shown embodiment, the interconnect structure 100 has been removed on top of the diode 241 to create a cavity 250. Such removal can be performed by etching after completion of the interconnect structure 100. Alternatively, the cavity 250 may be created during the etching step carried out to obtain the structure shown in FIG. 8E. The antireflection coating 243 may be deposited before creation of the cavity 250. By choosing a selective etchant and/or provided the coating with a suitable and optically transparent etch stop layer, the coating 243 will not be removed in an etching step. Alternatively, the antireflection coating 243 may be deposited later, i.e. after creation of the cavity 250. As shown in the embodiment of FIG. 10, an additional electrically conductive layer 260 may be added to the interconnect structure 100. Such additional interconnect layer 260 may serve as a so-called redistribution layer and/or a bump metallization layer as will be known to a person skilled in the art of chip scale packaging. In some embodiments, the additional interconnect layer 260 comprises two sublayers, i.e. a bottom passivation layer and a top conductive layer. The passivation layer is arranged to protect the interconnect structure 100 against damages caused by exterior influences, for example further mechanical handling of the body during manufacturing. The top conductive layer may be used to enable conductive connections with other structures. Furthermore, in particular when the top conductive layer is sputtered on top of the body after all processing steps, the top conductive layer may cover insulating particles that are roaming through the system. The coverage of such insulating particles reduces the number of stray field sources within the system. In another suitable implementation, a first and a second border area 247, 248 are present laterally between the photodiode 41 and the interconnect structure 100. The first border area 247 is herein present at a side towards the not-shown deflector. The first border area 247 is herein smaller than the second border area 248. This embodiment allows for a transmission of light beam with incident angles somewhat smaller than exactly 90 degrees. The provision of the light sensitive element within a cavity 250 as shown in FIG. 10 is particularly suitable for light sensitive elements with a diameter that is smaller or comparable to the height of the cavity 250. In this case, the side faces of the cavity 250 effectively block an electric field originating from the light sensitive element, and particularly the antireflection coating 243. This field is due to collection of scattered charge particles. The tangent of angle β is equal to the ratio of diameter and height of the cavity. Suitably, the angle β is larger than about 45 degrees, more suitably larger than about 60 degrees. If a light sensitive element starts to act as a source of charged particles this may disturb a clean passage of one or more proximate beamlets (not shown in FIG. 10). Leaving out the antireflection coating 243 may reduce this undesired effect. The antireflection coating 243 is generally made of or predominantly comprises an insulating material, in which scattered charged particles may be collected relatively easily. However, leaving out the antireflection coating 243 would reduce the efficiency of light incoupling. In particular if the amount of data to be optically transmitted is designed to be large—it may be in the order of 100 MBit/s per deflector—light incoupling efficiency is important. A high efficiency enables the transmission of light beams that are modulated with a high frequency, for instance at a frequency above 10 MHz, preferably above 100 MHz and suitably above 1 GHz. In the embodiment shown in FIG. 10, an optically transparent, electrically conductive coating 270 is present on top of the antireflection coating to act as a beam protector. Such coating 270 may be used instead or in addition to other embodiments of beam protectors some of which will be described hereafter. The provision of the conductive coating 270 within the cavity 250 can be done in ways known to a person skilled in the art. For example, one may pattern the antireflection coating 243 and the conductive coating 270 in a single step. Alternatively, the conductive coating 270 may be provided with a suitable printing process. The conductive coating 270 may comprise materials selected from the group of materials consisting of indium-tin-oxide (ITO), and a conductive polymer, such as poly-3,4-ethylenedioxythiophene (PEDOT), combined with a polyacid. The diode 241 shown in FIG. 10 typically is a diode formed in the supporting substrate 101 by suitable doping to obtain the doped regions 241P, 241N. Typically, the substrate 101 predominantly contains silicon, and the diode 241 is referred to as a silicon diode. The reaction time of silicon diodes may be too slow for some applications that are in need of high-speed operations. Therefore, in particular for higher speed applications, Ge-diodes are preferably used. The Ge-diodes do not have to be integrated in the supporting substrate 101. Instead, they may be formed by bonding a Ge-plate on top of the interconnect structure 100, for example by using anodic bonding. The bonding may be executed by depositing an intermediate insulating layer, for example a silicon dioxide layer, on top of the interconnect structure 100 followed by a suitable polishing step to obtain a substantially flat surface. The substantially flat surface then serves the purpose of receiving the Ge-plate for bonding. After bonding the Ge-plate, the plate can be suitably patterned to obtain diodes, hereinafter referred to as Ge-diodes, at predetermined locations. Note that Ge-diodes formed in this way are not residing in a cavity 250 as is the case for the Si-diode 241 in FIG. 10. Electric fields originating from the Ge-diodes are therefore not substantially blocked by the interconnect structure 100. For these embodiments, the use of a beam protector may be desirable. Embodiments of such a beam protector will be described with reference to FIGS. 11, 12 and 13. As mentioned earlier, embodiments of the structure may be provided with a beam protector. Such beam protector may take the form of a plate assemble substantially parallel to the substrate 101 of the beamlet blanker array 9. Alternatively, it may be embodied as a side wall extending from such plate. Different embodiments of beam protectors will be discussed with reference to FIGS. 11-13. FIG. 11 shows a further embodiment of the structure shown in FIG. 10. In the embodiment of FIG. 11, a body 280 is assembled to the interconnect structure 100. Use is made of solder balls 275 for the assembly. The solder balls 275 extend through a passivation layer 265 commonly used in IC manufacturing. The body 280 is suitably used as a beam protector, so as to block the electric field originating from the light sensitive element. An exemplary orientation of field lines 290 representing such electric field is shown in FIG. 11 as well. FIG. 12 shows a schematic cross-sectional view of a beamlet blanker array 309 with beam protector 300. The beamlet blanker array 309 may be subdivided into beam areas and non-beam areas, as schematically shown in FIG. 5. The non-beam areas herein comprise a plurality of light sensitive elements 340 arranged to receive light beams 317. The beam areas include a plurality of mutually adjacent deflectors 330. The light beams 317, indicated herein with dashed-dotted arrows have an incident angle of approximately 90 degrees. Note that this is not essential. The embodiment of the beam protector 300 shown in FIG. 12 includes a substrate 310 provided with side walls 320 extending thereon. The side walls 320 are located on the substrate 310 adjacent to an aperture 335 with is aligned with the trajectories of the beamlets 307. Note that although the beamlets 307 in FIG. 12 pass the beamlet array 309 perpendicularly, this is not essential. The side walls 320 are suitably made of conductive material. In some embodiments, a side wall 320 is arranged circumferentially around an aperture 335. In some other embodiments, a side wall 320 is arranged circumferentially around a lateral area defined by the one or more light sensitive elements 340. In such case, a structure of side walls 320 may be provided, including a side wall extending around the lateral area of light sensitive elements and a side wall extending around the aperture 335. FIG. 13 shows yet another embodiment of a beamlet blanker arrangement 309 with a beam protector 300. The beamlet blanker arrangement 309 of this embodiment comprises a first substrate 400 and a second substrate 410. The deflectors 330 are defined on the first substrate 400. The light sensitive elements 340 are defined at a surface of the second substrate 410. Solder balls 420 or other types of connectors provide mechanical connections from the first substrate 400 to the second substrate 410 and electrical connections between the light sensitive elements 340 and the deflectors 330, and/or any intermediate circuitry. Light beams 317 arrive now at the light sensitive elements 340 from an opposite direction, e.g. the top side of the column Thereto, radiation apertures 435 are present in the first substrate 400. A beam protector 300 is embodied as a side wall extending circumferentially around the plurality of light sensitive elements 340. The invention has been described by reference to certain embodiments discussed above. It will be recognized that these embodiments are susceptible to various modifications and alternative forms well known to those of skill in the art without departing from the spirit and scope of the invention. Accordingly, although specific embodiments have been described, these are examples only and are not limiting upon the scope of the invention, which is defined in the accompanying claims. |
|
description | This application claims the benefit of U.S. provisional application 61/882,336 which was filed on 25 Sep. 2013, and U.S. provisional application 61/897,046 which was filed on 29 Oct. 2013, and U.S. provisional 61/905,053 which was filed on 15 Nov. 2013, and EP application EP13199009.5 which was filed on 20 Dec. 2013, and EP application EP14152443.9 which was filed on 24 Jan. 2014, and EP application EP14151497.6 which was filed on 16 Jan. 2014, and EP application EP14155980.7 which was filed on 20 Feb. 2014, and EP application EP14165675.1 which was filed on 23 Apr. 2014, and EP application EP14171051.7 which was filed on 4 Jun. 2014, and EP application EP14172951.7 which was filed on 18 Jun. 2014, and EP application EP14171050.9 which was filed on 4 Jun. 2014, and EP application EP14173446.7 which was filed on 23 Jun. 2014 and which are incorporated herein in its entirety by reference. The present invention relates to a beam delivery apparatus. Particularly, but not exclusive, the present invention has application within lithographic systems that incorporate one or more free electron lasers. A lithographic system comprises a radiation source and at least one lithographic apparatus. A lithographic apparatus is a machine constructed to apply a desired pattern onto a substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). A lithographic apparatus may for example project a pattern from a patterning device (e.g. a mask) onto a layer of radiation-sensitive material (resist) provided on a substrate. The wavelength of radiation used by a lithographic apparatus to project a pattern onto a substrate determines the minimum size of features which can be formed on that substrate. A lithographic apparatus which uses EUV radiation, being electromagnetic radiation having a wavelength within the range 4-20 nm, may be used to form smaller features on a substrate than a conventional lithographic apparatus (which may for example use electromagnetic radiation with a wavelength of 193 nm). A lithographic apparatus may be provided with radiation from a radiation source which forms part of a lithographic system. A plurality of lithographic apparatus may be supplied by a single radiation source. The radiation source may comprise at least one free electron laser which emits EUV radiation. It is desirable to provide a beam delivery apparatus or method that is suitable for a radiation source for providing one or more tools with radiation and which obviates or mitigates one or more of the problems associated with known beam delivery apparatuses or methods. According to a first aspect there is provided a beam splitting apparatus for use within a lithographic system, comprising a plurality of static mirrors each arranged to receive a different part of a first radiation beam from a radiation source and to reflect a respective portion of radiation along one of a plurality of directions to form a plurality of branch radiation beams for provision to a plurality of tools. The first aspect provides an efficient apparatus for splitting a single radiation beam into a plurality of radiation beams for provision to a plurality of tools, such as lithographic tools. By utilizing a plurality of static mirrors, the apparatus of the first aspect is easy to maintain. The radiation source may comprise one or more free electron lasers. Each of the plurality of directions may provide a respective branch optical path, each branch optical path being associated with a respective one of the plurality of tools. At least one branch optical path may be associated with a plurality of the static mirrors such that at least one branch radiation beam comprises a plurality the reflected portions. Each of the branch optical paths may be associated with a respective plurality of the static mirrors such that each branch radiation beam comprises a plurality of said reflected portions. The branch radiation beams may therefore be formed from different parts of the first radiation beam. For example, each branch radiation beam may be formed from portions corresponding to different parts of an intensity distribution of the first radiation beam. Each static mirror may be arranged to extend partially across the first radiation beam. At least some of the plurality of static mirrors are configured to reflect a solid area of the first radiation beam. At least some of the plurality of static mirrors may be provided by a reflective grating. Each of a plurality faces of the grating may provide a respective one of the plurality of static mirrors. Each reflective face of the grating that is associated with a same one of the plurality of directions may extend substantially parallel to a single silicon crystal plane. In this way, the grating may be particularly efficient to manufacture. The grating may be a macro-scale grating. For example, a width of faces of the grating and/or a pitch between faces of the grating may be greater than 100 micrometres, for example 1 millimetre. The reflective faces of the grating may be arranged such that expansion of each reflected portion causes partial overlap of at least two reflected portions associated with one branch optical path at the one of the plurality of tools associated with the one branch optical path. The reflective faces are arranged such that the overlapping reflected portions provide a branch radiation beam having an intensity profile substantially the same as an intensity profile of the first radiation beam. The expansion of each reflected portion may be caused, at least in part, by diffraction. The grating may comprise a first plurality of faces associated with a first branch optical path to provide a first branch radiation beam. Each one of the first plurality of faces may be arranged to reflect a respective portion of the first radiation beam to form a respective sub-beam of the first branch radiation beam. The first plurality of faces may be arranged such that if a position of the first radiation beam changes in a plane perpendicular to a propagation direction of the first radiation beam, a power received by at least one of the first plurality of faces increases and a power received by at least one of the first plurality of faces decreases. In this way, the grating may be made insensitive to shifts in the position of the first radiation beam with respect to the grating. The grating may be a micro-scale grating. For example, a width of the faces of the grating, and/or a pitch between faces of the grating may be of the order of micrometers, and may be less than 100 micrometers. The reflective faces of the grating may be arranged such that portions of radiation reflected from the grating diffract to provide the plurality of branch radiation beams. For example, portions of the radiation reflected from the grating will spread out/expand. Those reflected portions will overlap as they propagate from the grating causing interference between the reflected portions. The interference (or diffraction) results in a plurality of positions of maximum intensity (or maxima). Each maxima may provide a respective branch radiation beam. The reflective faces of the grating may be arranged such that each branch radiation beam has an intensity profile substantially similar to an intensity profile of the first radiation beam. The reflective faces of the grating may have translational symmetry in at least one direction perpendicular to a direction of propagation of the first radiation beam. In this way, the grating may be made insensitive to variance in the pointing direction, and/or translation of, the first radiation beam. The beam splitting apparatus may comprise expansion and/or flat-top forming optics and the reflective grating may be disposed upstream of said expansion and/or flat-top forming optics. The reflective faces of the grating may be arranged to receive the radiation beam from a flat mirror disposed between the grating and the radiation source. This may help to protect the grating and other components from Bremsstrahlung radiation. The grating may be formed from etched silicon. Grooves and/or faces of the grating may take any appropriate form. For example, the grooves may be symmetric, asymmetric, periodic or aperiodic. The grating may comprise a reflective coating, the reflective coating comprising a material or composition selected for grazing incidence reflectivity of a desired wavelength. The grating may also cause divergence or convergence of the radiation beam (e.g. to focus or defocus the reflected radiation). For example, the grating may be formed into a cylindrical, or other, shape suitable for focusing/defocusing the radiation beam. Gratings of such shapes may additionally or alternatively be used to compensate for variance in the amount of thermal expansion experienced in different parts of the grating caused by intensity gradients within the profile of the radiation beam. The beam splitting apparatus may further comprise a further reflective grating arranged to further split at least one of the branch radiation beams provided by the grating. At least one of the static mirrors may be provided with one or more apertures arranged to permit a portion of the first radiation beam not reflected by the at least one static mirror through the aperture towards a further one of the plurality of static mirrors. At least one of said static mirrors may comprise a ring-shaped reflective surface arranged to reflect a portion of radiation along an associated branch optical path and to permit a portion of the first radiation beam through an aperture defined by the ring toward a further one of the plurality of static mirrors. Said ring-shaped reflective surface may be arranged such that if a position of the first radiation beam changes in a plane perpendicular to a propagation direction of the first radiation beam, a power received by at least one part of the ring-based reflective surface increases and a power received by at least a further part of the ring-based reflective surface decreases. At least one of the static mirrors may comprise a first surface and a second surface joined along an edge. The edge may be arranged for placement within a path of the first radiation beam, or within a path of a branch radiation beam provided by one or more of the other static mirrors. At least one of the static mirrors may be provided with active cooling. For example, single and/or double phase coolants may be circulated “behind” (i.e. on a non-radiation receiving surface) one or more of the static mirrors. For example, water and/or liquefied gas (e.g. N2, CO2, etc.) may be used. The beam splitting apparatus may further comprise at least one diverging optical element arranged to increase the divergence of a radiation beam. The beam splitting apparatus may comprise a plurality of diverging optical elements, each arranged to increase the divergence of a respective one of the branch radiation beams. According to a another aspect, there is provided a system comprising a radiation source operable to produce a first radiation beam; a plurality of tools arranged to receive respective branch radiation beams; and a beam splitting apparatus according to the first aspect, the beam splitting apparatus being arranged to split the first radiation beam into a plurality of branch radiation beams and to provide respective branch radiation beams to each of the plurality of tools. The radiation source may comprise one or more free electron lasers. The system may further comprise a respective diverging optical element for each of the plurality of tools. Where the beam splitting apparatus comprises a grating, each respective diverging optical element may be positioned downstream of the grating. The or each diverging optical element may comprise a convex, concave and/or saddle shaped grazing incidence mirror. The system may further comprise optics configured to modify the cross-sectional shape of a branch radiation beam. The optics may comprises an array of mirrors arranged to split the branch radiation beam into a plurality of sub-beams and to combine the sub-beams together. The first radiation beam may comprise EUV radiation. The plurality of tools may comprise a lithographic apparatus and a mask inspection apparatus each arranged to receive a different one of the branch radiation beams. According to another aspect, there is provided a method comprising: producing a first radiation beam in a radiation source; and directing the first radiation beam to a beam splitting apparatus according to the first aspect to produce a plurality of branch radiation beams. The radiation source may comprise one or more free electron lasers. The method may further comprise directing each branch radiation beam to a respective tool. According to another aspect, there is provided a lithographic method comprising using a free electron laser to produce a main radiation beam, using a plurality of static mirrors to reflect different parts of the main radiation beam, each static mirror directing the reflected part of the main radiation beam along an associated branch optical path thereby forming a branch radiation beam, wherein a first branch radiation beam is directed towards a first lithographic apparatus and a second branch radiation beam is directed towards a second lithographic apparatus. According to another aspect, there is provided a lithographic system comprising a free electron laser operable to produce a main radiation beam, a beam splitting apparatus comprising a plurality of static mirrors arranged to reflect different parts of the main radiation beam, each static mirror directing the reflected part of the main radiation beam along an associated branch optical path thereby forming a branch radiation beam, and a mask inspection apparatus and a lithographic apparatus, the mask inspection apparatus and the lithographic apparatus being arranged to receive a different branch radiation beam. According to another aspect, there is provided a lithographic method comprising using a free electron laser to produce a main radiation beam, using a plurality of static mirrors to reflect different parts of the main radiation beam, each static mirror directing the reflected part of the main radiation beam along an associated branch optical path thereby forming a branch radiation beam, wherein a first branch radiation beam is directed towards a mask inspection apparatus and a second branch radiation beam is directed towards a lithographic apparatus. According to another aspect, there is provided a system comprising a free electron laser operable to produce an EUV radiation beam and a mask inspection apparatus arranged to receive the EUV radiation beam. According to another aspect, there is provided a method comprising generating an EUV radiation beam using a free electron laser, directing the EUV radiation beam to a mask inspection apparatus, and using the EUV radiation beam to inspect a mask. According to another aspect, there is provided a beam splitting apparatus for use in a lithographic system, the beam splitting apparatus being operable to receive a main radiation beam and output at least one branch radiation beam, the beam splitting apparatus comprising: a first extraction optic arranged to direct a first part of the main radiation beam along a branch optical path to provide a first branch radiation beam; wherein the first extraction optic comprises a first plurality of portions, each one of the first plurality portions being arranged to reflect a respective part of the main radiation beam to form a respective sub-beam of the first branch radiation beam; and wherein the first plurality of portions is arranged such that if a position of the main radiation beam changes in a plane perpendicular to a propagation direction of the main radiation beam, a power received by at least one of the first plurality of portions increases and a power received by at least one of the first plurality of portions decreases. Advantageously, the invention provides an arrangement that can extract at least a first branch radiation beam from a main radiation beam, wherein the power of the first branch radiation beam is less sensitive to pointing variations of the main radiation beam than, for example, an arrangement wherein the first extraction optic comprises a single rectangular mirror. The first plurality of portions may be arranged such that a power of the first branch radiation beam is substantially invariant to changes in a position of the main radiation beam in a plane perpendicular to the propagation direction of the main radiation beam. The first extraction optic may be shaped such that the power received by at least one of the first plurality of portions will increase and the power received by at least one of the plurality of portions will decrease, irrespective of the direction of the change in position of the main radiation beam in the plane perpendicular to its propagation direction. Projections of each of the first plurality of portions onto the plane perpendicular to the propagation direction of the main radiation beam may be distributed generally evenly about a center of the main radiation beam. Each of the first plurality of portions may be arranged such that projections of each of the first plurality of portions onto the plane perpendicular to the propagation direction of the main radiation beam are of substantially the same size and shape. Each of the first plurality of portions may be arranged such that a projection of each of the first plurality of portions onto the plane perpendicular to the propagation direction of the main radiation beam is of square, triangular, rectangular, or hexagonal cross sectional area. Each of the first plurality of portions may be arranged such that a projection of one of the first plurality of portions onto the plane perpendicular to the propagation direction of the main radiation beam has substantially no overlap with a projection of any other of the first plurality of portions onto the plane perpendicular to the propagation direction of the main radiation beam. The orientation of each of the first plurality of portions may be such that the respective sub-beams are substantially adjacent. The first plurality of portions may be arranged such that the respective sub-beams have substantially no overlap and such that any gaps between them are minimal. The first plurality of portions may be arranged such that the respective sub-beams overlap substantially completely. The first branch optical path may comprise a ripple plate arranged to condition a branch radiation beam propagating along it. The first branch optical path may comprise a mechanism for adjusting the intensity of a branch radiation beam propagating along it. The first branch radiation beam may propagate in a direction that is substantially perpendicular to the main radiation beam. The beam splitting apparatus may further comprise one or more additional extraction optics each being arranged to direct a respective part of the main radiation beam along a respective branch optical path to provide a respective branch radiation beam; wherein each additional extraction optic comprises a respective plurality of portions, each one of each respective plurality of portions being arranged to reflect a respective part of the main radiation beam to form a respective sub-beam of the respective branch radiation beam; and wherein each plurality of portions is arranged such that if a position of the main radiation beam changes in a plane perpendicular to the propagation direction of the main radiation beam, a power received by at least one of the portions of the additional extraction optic will increase and a power received by at least one of the plurality of portions of the additional extraction optic will decrease. The portions of the first extraction optic and the portions of each of the additional extraction optics may be arranged so that a projection of all of the portions of the first extraction optic and the additional extraction optics onto the plane perpendicular to the propagation direction of the main radiation beam substantially coincides with the cross sectional area of the main radiation beam. According to another aspect there is provided a lithographic system comprising: a radiation source operable to produce a main radiation beam; a beam splitting apparatus according to one of the aspects described herein; and at least one lithographic apparatus, the at least one lithographic apparatus being arranged to a branch radiation beam from the beam splitting apparatus. The main radiation beam may have an intensity distribution which is rotationally symmetric about its centre. The main radiation beam may have a Gaussian-like intensity distribution. The radiation source may comprise one or more free electron lasers. The radiation source may comprise optics arranged to alter the size and/or shape of the cross section of the radiation beams received from the one or more free electron lasers. The lithographic system may further comprise one or more mask inspection apparatus. The main radiation beam may comprise EUV radiation. According to another aspect there is a provided a beam splitting apparatus, comprising: a beam spot region for receiving a radiation beam; a periodic array formed by a plurality of discrete reflective elements; and a mechanism for moving the periodic array such that the plurality of reflective elements move through the beam spot region, wherein the reflective elements are arranged such that a first portion of the radiation beam forms a first branch radiation beam and a second portion of the radiation beam forms a second branch radiation beam. Such an arrangement allows an incoming radiation beam to be split into outgoing first and second branch radiation beams. In general, as the plurality of discrete reflective elements moves through the beam spot region, the relative intensities of the first and second branch radiation beams will vary with time. The variation is periodic, with a frequency determined by the speed and pitch of the periodic array. In turn, this will cause the dose of radiation delivered by each of the first and second branch radiation beams to vary with time. This variation in dose will average out to zero over a time period equal to an integer number of periods of the oscillation. It may be desirable for the frequency of the oscillation to be as high as possible so that a stable dose may be achieved in a small time period. Since the periodic array comprises a plurality of discrete reflective elements, each of the reflective elements may be smaller and more closely spaced. This reduces the pitch of the periodic array and therefore increases the frequency at which the intensities of the first and second branch radiations beams oscillate for a given speed of the periodic array. Advantageously, this allows a stable dose to be achieved in a smaller time period for a given speed of the periodic array. Alternatively, it allows a stable dose to be achieved in a similar time period at a lower speed of the periodic array. An advantage of an arrangement wherein the reflective elements move through the beam spot region is that the (time averaged) relative intensities of the first and second branch radiation beams are relatively insensitive to the direction and position of the incoming radiation beam, at least in the direction of motion of the periodic array. This is in contrast to an arrangement using static mirrors wherein relative movement of the incoming radiation beam and the static mirrors can result in a significant change in the relative intensities of the branch radiation beams, especially where the diameter of the incoming radiation beam is small. This is because, for an arrangement with static mirrors, for a given relative position of the radiation beam and the static mirrors, the relative intensities of the branch radiation beams are substantially time independent and are dependent upon the position of the radiation beam relative to the static mirrors. For a small beam spot region, a pointing a relatively small radiation beam, a relatively small change in the relative positions of the radiation beam and the static mirrors can result in a significant change in the relative intensities of the branch radiation beams. However, for an arrangement wherein the reflective elements move through the beam spot region, the relative intensities of the branch radiation beams will oscillate with time but over an integer number of periods of the oscillation this variation in dose will average out to zero. Therefore the time averaged (over an integer number of oscillation periods) relative intensities of the first and second branch radiation beams are relatively insensitive to the direction and position of the incoming radiation beam, at least in the direction of motion of the periodic array. The beam splitting apparatus may comprise a generally disc-shaped body and the mechanism for moving the periodic array may be operable to rotate said body about a rotation axis. Each of the plurality of discrete reflective elements may comprise a surface of a generally radially extending spoke. A generally radially extending spoke is one that extends from an inner radial position to an outer radial position. A generally radially extending spoke may extend purely in a radial direction. Alternatively, a generally radially extending spoke may have a circumferential component such that it is arranged at an oblique angle to the radial direction. The reflective elements may be arranged such that the first portion of the radiation beam is incident on, and reflected by, the reflective elements so as to form the first branch radiation beam and the second portion of the radiation beam passes through one or more gaps between the reflective elements so as to form the second branch radiation beam. The one or more gaps between the reflective elements may each extend to an edge of a body of the beam splitting apparatus. With such an arrangement the gaps between the reflective elements are open on one side. Advantageously, if the radiation beam propagates generally towards this open side, with such an arrangement a range of allowable grazing incidence angles is not limited by the thickness of the body. This is in contrast to an arrangement wherein the gaps do not extend to the edge of the body, i.e. they are of the form of apertures in the body and are closed on all sides. With such an arrangement the range of allowable grazing incidence angles is limited both by the size of the gaps in the direction of propagation of the radiation beam and the thickness of the body. The thickness of the body sets a lower limit on the grazing incidence angles. An arrangement wherein the reflective elements each extend to an edge of a body of the beam splitting apparatus therefore allows smaller grazing incidence angles. This is beneficial for thermal reasons. The beam splitting apparatus may further comprise an inclined ramp in at least one of the one or more gaps. Advantageously such ramps can increase the stiffness and thermal conductivity of the beam splitting apparatus. Since the ramps are inclined, they may be arranged such that a surface of each ramp is generally parallel to the incoming radiation beam when its gap is in the beam spot region so that it does not interfere with the incoming radiation beam. The beam spot region may be disposed on an axially facing surface of the body. The plurality of discrete reflective elements may taper inwards in a direction of increasing radius. Advantageously, with a sufficient amount of tapering of the reflective elements, a fraction of radiation that is lost from reflection from side walls of the reflective elements can be reduced to a negligible amount. The plurality of discrete reflective elements may each taper inwards in an axial direction away from an axially facing upper surface of the reflective element. This provides each of the reflective elements with an undercut. Advantageously, with a sufficient amount of tapering of the reflective elements, a fraction of radiation that is lost from reflection from side walls of the reflective elements can be reduced to a negligible amount. Each of the plurality of reflective elements may extend in a direction that is at an oblique angle to a radial direction. The propagation direction of the radiation beam is generally aligned with the direction in which reflective elements within the beam spot region extend. Therefore, the radiation beam direction is at an oblique angle to the radial direction. Advantageously, since the incoming radiation beam does not pass through the rotation axis, a body of the beam splitting apparatus can be supported for rotation on both of its opposed axial sides. This allows, for example, a shaft to extend out of the upper axial surface of the body without blocking the radiation beam. The beam spot region may be disposed on a radially facing surface of the body. Advantageously, for such embodiments each of the reflective elements can be generally rectangular in shape. Another advantage is that the incoming radiation beam does not cross, or pass close to, the rotation axis and therefore bearings and actuators may be placed on both sides of the beam splitting apparatus, allowing for a symmetric, more balanced design. A radially facing surface of each of the plurality of reflective elements may be curved. A radially facing surface of each of the plurality of reflective elements may be flat. The plurality of discrete reflective elements may be tapered outwards in a direction of increasing radius. This will provide an undercut for each reflective element. By providing a sufficient radial taper, a fraction of radiation incident upon side walls of the reflective elements may be reduced or eliminated. The beam splitting apparatus may further comprise a cooling device and a mechanism for transferring heat from the reflective elements to the cooling device. The plurality of reflective elements move relative to said cooling device, which may be static. The cooling device may be disposed close to a surface of a body of the beam splitting apparatus, separated therefrom by a gap. Opposed surfaces of the body and the cooling device may be provided with coatings of a high emissivity material. This may promote radiation by the body and absorption of the emitted radiation by the cooling device. The gap provided between the body and the cooling device may be filled with layer of liquid metal, which is kept in place by capillary forces. The metal may comprise a fusible alloy. According to another aspect, there is provided a lithographic system comprising a beam splitting apparatus according one of the aspects described herein. According to another aspect, there is provided a composite beam splitting apparatus comprising a plurality of beam splitting apparatus according one of the aspects described herein. At least two of the plurality of beam splitting apparatuses may be arranged in series such that one of the branch radiation beams produced by a first one of the beam splitting apparatuses is received by a second one of the beam splitting apparatuses. The composite beam splitting apparatus may further comprise an adjustment mechanism operable to control a relative phase of the movement of the periodic arrays of the at least two of the plurality of beam splitting apparatuses. According to another aspect, there is provided a lithographic system comprising: two radiation sources, each operable to output a radiation beam; a plurality of lithographic apparatuses; two beam delivery systems, each beam delivery system arranged to receive a radiation beam and to distribute this to different set of the plurality of lithographic apparatuses; and at least one beam splitting apparatus according an aspect described herein, the at least one beam splitting apparatus being movable between an inactive position, wherein it is out of the path of both of the radiation beams output by the two radiation sources, and at least one deployed position, wherein it is disposed in the path of the radiation beam from one of the radiation beams, wherein when the at least one beam splitting apparatus is disposed in its inactive position, each of the two beam delivery systems receives a radiation beam from a different one of the two radiation sources and when the at least one beam splitting apparatus is disposed in its deployed position it is arranged to split the radiation beam output by one of the radiation sources into two branch radiation beams and each of the two beam delivery systems receives a different one of said branch radiation beams. The radiation beam output by either or both of the two radiation sources may comprise EUV or x-ray radiation. According to another aspect there is provided a lithographic system comprising: first and second radiation sources, each operable to output a radiation beam; a plurality of lithographic apparatuses; two beam delivery systems, each beam delivery system arranged to receive a radiation beam and to distribute this to a different set of the plurality of lithographic apparatuses; and first and second beam splitting apparatuses according to one of the aspects described herein, the first and second beam splitting apparatuses arranged such that: the radiation beam output by the first radiation source is received by the first beam splitting apparatus, a first portion of the radiation beam output by the first radiation source being incident on, and reflected by, the reflective elements of the first beam splitting apparatus so as to form first branch radiation beam, and a second portion of the radiation beam output by the first radiation source passing through gaps between the reflective elements of the first beam splitting apparatus so as to form a second branch radiation beam; and the radiation beam output by the second radiation source is received by the second beam splitting apparatus, a first portion of the radiation beam output by the second radiation source being incident on, and reflected by, the reflective elements of the second beam splitting apparatus so as to form a third branch radiation beam, and a second portion of the radiation beam output by the second radiation source passing through gaps between the reflective elements of the second beam splitting apparatus so as to form a fourth branch radiation beam; wherein the first and fourth branch radiation beams are generally adjacent and collinear and are directed towards a first one of the two beam delivery systems and wherein the second and third branch radiation beams are generally adjacent and collinear and are directed towards a second one of the two beam delivery systems. Such an arrangement is advantageous because it is not required to move optical components in and out of the paths of the radiation beams output by the first and second radiation sources when one radiation source is not operating. The radiation beam output by either or both of the two radiation sources may comprise EUV or x-ray radiation. According to an aspect, there is a provided an undulator for a free electron laser, comprising: at least one undulator module operable to produce a periodic magnetic field and arranged so as to guide an electron beam along a periodic path such that electrons within the electron beam interact with radiation in the undulator to stimulate emission of coherent radiation to provide a radiation beam; a steering unit arranged to alter a trajectory of the electron beam within the at least one undulator module; and a control unit arranged to control to the steering unit. In this way, the control unit can steer the electron beam, and therefore the radiation beam, within the at least one module of the undulator itself, thereby adjusting the radiation beam at the position in the path of the radiation beam where such adjustments have the greatest effect. The steering unit may be positioned within the undulator at a location that is nearer to an exit of the undulator than an entrance of the undulator in relation to the direction of propagation of the electron beam. The steering unit may be positioned between a final and a penultimate module of the undulator with respect to direction of propagation of the electron beam. That is, where a plurality of modules is provided, the electron beam moves between each module in turn. The final module that the electron beam passes through is the final module. The module through which the electron beam passes before entering the final module is the penultimate module. The undulator may further comprise a sensor arrangement for providing a signal indicative of a trajectory of the electron beam to the control unit. The sensor arrangement may comprise a first sensor positioned after a first one of the plurality of undulator modules and a second sensor positioned after a second one of the plurality of undulator modules. The first sensor may be positioned after a penultimate module and the second sensor may be positioned after a final module. The control unit may be arranged to determine a deviation of the trajectory of the electron beam from an ideal trajectory. The control unit may be arranged to control the steering unit to reduce a difference between the trajectory of the electron beam and the ideal trajectory, or to substantially align the electron beam with a trajectory parallel to the ideal trajectory. The control unit may be arranged to receive an indication of an intensity distribution within the radiation beam at a predetermined location. For example, the predetermined location may be a position at which optics for processing the radiation beam further are located. For example, the predetermined location may be at a position of a beam expander that is part of a lithographic system. The undulator may be arranged to determine a difference between the intensity distribution within the radiation beam at the predetermined location and an ideal intensity distribution within the radiation beam at the predetermined location; and to control the steering unit to reduce the difference between the intensity distribution within the radiation beam at the predetermined location and an ideal intensity distribution within the radiation beam at the predetermined location. The control unit may be arranged to control the steering unit to periodically vary the trajectory of the electron beam by a predetermined amount. The control unit may be arranged to control steering unit to sequentially direct the electron beam at a plurality of discreet angles with respect to a longitudinal axis of the undulator. The plurality of discreet angles may be selected so as to provide a plurality of spatially separate radiation beams. The control unit may be arranged to control the steering unit to sweep the electron beam through a predetermined angular range with respect to a longitudinal axis of the undulator. The control unit may be arranged to control the steering unit so as to provide a plurality of spatially overlapping radiation beams. In this way, averaged over time, a the plurality of overlapping beams may provide a substantially flat-top beam profile. The control unit may be arranged to control the steering unit to sweep the electron beam through the predetermined angular range with a substantially constant angular speed. The control unit may be arranged to control the steering unit to vary the trajectory up to an angle of 1000 μrad in a direction perpendicular to a longitudinal axis of the undulator. For example, the control unit may be arranged to control the steering unit to vary the trajectory up to angle of 1000 μrad within a planar undulator module when sweeping the electron beam in a plane perpendicular to magnetic field lines within the planar undulator module, and up to 100 μrad within a helical undulator module. The steering unit may be a first steering unit and the undulator may further comprise a second steering unit placed after a final module of the undulator, the control unit being arranged to control the second steering unit to reduce a difference between a propagation trajectory of the electron beam before variation of the electron beam by the first steering unit and a propagation trajectory after variation of the electron beam by the first steering unit. For example, the second steering unit may be controlled by the control unit to restore the trajectory of the electron beam to a trajectory of the electron beam before the electron beam interacted with the first steering unit. In this way, the electron beam can be made to follow a desired path (that may be different to a desired path of the radiation beam), such as a path to a beam dump. The undulator may further comprise a plurality of steering units controlled by the control unit to alter a direction of the electron beam. According to another aspect, there is provided a free electron laser arranged to produce at least one radiation beam, the electron laser comprising an undulator according to one of the aspects described herein. According to another aspect, there is provided a lithographic system comprising: a free electron laser arranged to produce at least one radiation beam according to an aspect described herein; and at least one lithographic apparatus, each of the at least one lithographic apparatus being arranged to receive at least one of the at least one radiation beams. The lithographic system may further comprise optics arranged to alter the size and/or shape of the cross section of the at least one radiation beams received from the free electron laser. For example, the lithographic system may comprise beam expander optics. The lithographic system may further comprise an intensity distribution sensor arranged to provide signals indicative of an intensity distribution within the at least one radiation beam to the control unit of the undulator. The intensity distribution sensor may be positioned, for example, in the vicinity of the beam expander optics. The at least one lithographic apparatus may comprise one or more mask inspection apparatus. The at least one radiation beam may comprise EUV radiation. According to another aspect, there is provided a computer implemented method for varying a direction of an electron beam within an undulator operable to produce a periodic magnetic field and arranged so as to guide the electron beam along a periodic path such that electrons within the electron beam interact with radiation in the undulator to stimulate emission of coherent radiation to provide a radiation beam, the method comprising: receiving a signal indicative of a trajectory of the electron beam and/or receiving a signal indicative of an intensity distribution within the radiation beam at a predetermined location; determining a deviation of the trajectory of the electron beam from an ideal trajectory and/or determining a difference between the intensity distribution within the radiation beam at the predetermined location and an ideal intensity distribution within the radiation beam at the predetermined location; and controlling a steering unit within the undulator to steer the electron beam within a module of the undulator so as to reduce the determined deviation and/or so as to reduce the determined difference. According to another aspect, there is a provided an undulator for a free electron laser, comprising: a first undulator section arranged to provide a first radiation beam and a second undulator section arranged to provide a second radiation beam, each undulator section comprising at least one undulator module arranged to guide an electron beam along a periodic path so that the electron beam interacts with radiation in the first and second undulator sections so as to stimulate emission of coherent radiation and provide the first and second radiation beams respectively; and a first steering unit disposed between the first undulator section and the second undulator section and arranged to alter a trajectory of an electron beam exiting the first undulator section such that the electron beam is at least partially separated from the first radiation beam so that there is at least a first portion of the first radiation beam that is decoupled from the electron beam as it propagates through the second undulator section. Such an arrangement allows for the production of two separate radiation beams: one from the first undulator section and one from the second undulator section. This allows a free electron laser using such an undulator to supply radiation beams to two different locations. The two separate radiation beams may, for example, be supplied to two different lithographic systems or sets of lithographic systems. This allows a single free electron laser to supply radiation to a plurality of lithographic apparatuses without the need to split a main radiation beam into a plurality of sub beams. Free electron lasers can be used to produce radiation, which may be used, for example, for lithography. However, free electron lasers can be expensive to build and run. Therefore, in order for free electron lasers to be cost effective, especially for extreme ultraviolet (EUV) lithography, it may be desirable for a single free electron laser to provide radiation for a plurality of lithographic apparatuses. Free electron lasers typically produce a single radiation beam with a relatively small étendue. For example, an EUV free electron laser beam may have a diameter of the order of hundreds of microns and may have a divergence of the order of hundreds of micro-radians. Splitting a high power radiation beam with such a small étendue is challenging. The present invention simplifies such splitting of radiation and may even completely eliminate the need to split a single radiation beam. The undulator may comprise more than two undulator sections and more than one steering unit, each steering unit being disposed between a different pair of adjacent undulator sections. The first steering unit may bend the electron beam by an angle with respect to an axis of the first undulator section. The angle through which the electron beam is bent in the first steering unit may exceed a divergence of the first radiation beam. The electron beam may pass through a beam line pipe within the undulator and the angle through which the electron beam is bent in the first steering unit may be sufficiently small that the first and second radiation beams both fit within the electron beam line pipe. For embodiments wherein the undulator comprises more than two undulator sections and more than one steering unit, the angle through which the electron beam is bent in each steering unit may be sufficiently small that all of the radiation beams fit within the electron beam line pipe. For embodiments, wherein the undulator comprises more than two undulator sections and more than one steering unit and where the undulator is planar the steering units may be arranged such that a trajectory of the electron beam may remain substantially in one plane. Advantageously, this allows the beam line pipe to remain small in the direction perpendicular to said plane, which in turn allows a separation between magnets in the undulator to remain small. For embodiments, wherein the undulator comprises more than two undulator sections and more than one steering unit and where the undulator is helical, the steering units may be arranged such that the directions of the electron beam in each undulator section lie substantially on a cone. Advantageously, this allows a diameter of the beam line pipe to remain small while still accommodating the electron beam and all generated radiation beams. The second undulator section may be arranged such that significant stimulated emission of coherent radiation within the second undulator section will only occur if the electron beam has an initial trajectory within a range of acceptable initial trajectories and the first steering unit may be arranged such that the electron beam enters the second undulator section with an initial trajectory within the range of acceptable initial trajectories. The first and/or second undulator sections may comprise helical undulator modules. A central axis of the second undulator section may not be aligned with a central axis of the first undulator section. An angle between central axes of the first and second undulator sections may substantially match an angle through which the electron beam is bent in the first steering unit. The first steering unit may be arranged to separate the electron beam from the first radiation beam in a direction substantially perpendicular to a central axis of the first undulator section. The electron beam may be completely separated from the first radiation beam. The or each steering unit may include magnets arranged to decrease aberrations due to the energy spread developed within the electron beam as it moves through the undulator. A second portion of the first radiation beam may serve as seed radiation in the second undulator section. The first or second radiation beam may serve as a seed radiation source. The undulator may further comprise a phase adjusting unit between the first and second undulator sections, which may be arranged to provide optimal matching between seed radiation and the electron beam. The first and second undulator sections may be tapered and the tapering of the first and second undulator sections may be independently controllable. The undulator may further comprise an electron beam expander before the or each steering unit and an electron beam compressor after the or each steering unit. The undulator may further comprise one or more electron beam shifting elements between the first and second undulator sections, which are operable to shift the electron beam in a direction substantially perpendicular to its propagation direction. According to another aspect, there is provided a free electron laser arranged to produce at least one radiation beam comprising the undulator of any preceding claim. According to another aspect, there is provided a lithographic system comprising: a free electron laser according to an aspect described herein, arranged to produce at least one radiation beam; and at least one lithographic apparatus, each of the at least one lithographic apparatus being arranged to receive at least a portion of one of the at least one radiation beams. The lithographic system may further comprise optics arranged to alter the size and/or shape of the cross section of the at least one radiation beam received from the free electron laser. The at least one lithographic apparatus may comprise one or more mask inspection apparatus. The at least one radiation beam may comprise EUV radiation According to another aspect, there is provided a method of generating radiation, comprising: producing a relativistic bunched electron beam; directing the electron beam through a first undulator section comprising at least one undulator module arranged to guide the electron beam along a periodic path such that it interacts with radiation in the undulator module stimulating emission of coherent radiation and producing a first radiation beam; altering a trajectory of an electron beam as it exits the first undulator section such that the electron beam is at least partially separated from the first radiation beam; and directing the electron beam through a second undulator section comprising at least one undulator module arranged to guide the electron beam along a periodic path such that it interacts with radiation in the undulator module stimulating emission of coherent radiation and producing a second radiation beam, wherein the at least partial separation between the electron beam and the first radiation beam ensures that at least a first portion of the first radiation beam is decoupled from the electron beam as it propagates through the second undulator section. According to another aspect, there is a provided an optical element comprising: a body; a reflective surface provided on the body for receiving a radiation beam so as to form a beam spot region and a reflected radiation beam; and a movement mechanism operable to move the body such that the beam spot region moves over the reflective surface following a periodic path and a direction of the reflected radiation beam remains substantially constant. A fraction of the power of the radiation beam is absorbed by the optical element, causing the reflective surface to heat up. Since the movement mechanism is operable to move the reflective surface such that the beam spot region moves over the reflective surface, the power absorbed by the optical element is spread over a larger area, decreasing the density of the heat load. This allows the optical element to receive radiation beams with higher power densities, unlike static optical elements. Since the beam spot region follows a periodic path on the reflective surface, provided the beam spot region moves sufficiently quickly, the curvature of the reflective surface caused by the radiation beam heating the reflective surface in a direction along the period path is negligible. The maximum induced curvature is in a direction perpendicular to the periodic path. Such a curvature may be simpler to correct for. The body may be generally disc-shaped and the movement mechanism may be operable to rotate the body about a rotation axis. A direction along, or parallel to the rotation axis may be referred to as an axial direction. A direction running to or from the rotation axis and perpendicular to said rotation axis may be referred to as a radial direction. The optical element may further comprise a distortion mechanism for altering a curvature of the reflective surface. The distortion mechanism may be arranged to alter the curvature of the reflective surface so as to at least partially correct for curvature of the reflective surface caused by the radiation beam incident upon the reflective surface. The energy absorbed by the optical element will cause a temperature gradient away from the reflective surface. As a result of this temperature gradient, different parts of the optical element will expand differently, which will cause the reflective surface to distort. The distortion mechanism is arranged to alter the curvature of the reflective surface so as to at least partially correct for curvature of the reflective surface caused by this distortion. The reflective surface may be disposed on an axially facing surface of the body. With such an arrangement, the beam spot region will trace out an annular shaped region of the reflective surface. The distortion mechanism may be operable to alter a radial curvature of the reflective surface. The distortion mechanism may be operable to apply a generally axial force to a radially outer edge of the body. The distortion mechanism may comprise one or more members extending away from the generally disc shaped body, said members being formed from a magnetic material, and one or more electrical coils, wherein the generally axial force may be applied to the radially outer edge of the body by a magnetic force from the one or more electrical coils acting on the one or more members. Such an arrangement provides a simple mechanism for altering the curvature of the reflective surface. The amount of curvature can be adjusted by varying the current through the one or more electrical coils. The distortion mechanism may comprise one or more masses extending axially away from the generally disc shaped body, rotation of the body may cause a centrifugal force to act on the plurality of masses in an outward radial direction, said centrifugal force may generate a moment that acts on a radially outer edge of the body, altering a radial curvature of the reflective surface. Such an arrangement provides a simple mechanism for altering the curvature of the reflective surface. The amount of curvature can be adjusted by varying the speed of rotation of the body. An axial thickness of the body may vary in a radial direction. Such an arrangement allows a different curvature to be applied at different radial positions by the application of a single generally axial force. The axial thickness of the body may generally match the thermal load applied by a radiation beam to the beam spot region such that the amount of curvature applied by the distortion mechanism to radial positions of the reflective surface that receive a relatively high thermal load is generally higher than the amount of curvature applied by the distortion mechanism to radial positions of the reflective surface that receive a relatively low thermal load. The thermal load applied by a radiation beam to the beam spot region may be proportional to the projection of the intensity distribution of the radiation beam onto the reflective surface. For example, the axial thickness may be smallest at the centre of the beam spot region, where the thermal load may be highest. The distortion mechanism may comprise one or more heating elements arranged to apply a thermal load to a surface of the body that is opposite to the reflective surface, in the vicinity of the beam spot region. Said thermal load may be generally complementary to the thermal load applied by the radiation beam to the beam spot region. Alternatively, said thermal load may be generally similar to the thermal load applied by the radiation beam to the beam spot region. It is to be understood that a second thermal load is generally complementary to a first thermal load if in regions where the first thermal load is relatively low, the second thermal load is relatively high and vice versa. The optical element may further comprise one or more channels in the body of the optical element for a flow of cooling fluid, wherein the one or more channels are at least partially disposed in a part of the body on which the reflective surface is disposed. Such internal cooling can provide cooling very close to the reflective surface thus minimizing thermal deformation of the reflective surface. The body may be shaped below the reflective surface so as to at least partially reduce a variation in a temperature of the reflective surface caused by a radiation beam incident upon the reflective surface. For such embodiments, the reflective surface may be disposed on a radially facing surface of the body. For such embodiments the incoming radiation beam does not cross, or pass close to, the rotation axis and therefore bearings and actuators may be placed on both sides of the optical element, allowing for a symmetric, more balanced design. According to another aspect, there is provided a radiation system, comprising: a radiation source operable to produce a radiation beam; and an optical element according to an aspect described herein, arranged so that the radiation beam is incident upon the beam spot region of the reflective surface. The radiation system may further comprise a radiation bunker in which the radiation source and the optical element are disposed. The radiation source may comprise a free electron laser. According to another aspect, there is provided a lithographic system comprising a radiation source according to an aspect described herein. According to another aspect, there is provided an apparatus for receiving radiation from a radiation source and delivering the radiation to an output aperture of the apparatus for subsequent delivery to at least one lithographic apparatus, the apparatus comprising an input aperture for receiving the radiation, the output aperture, and a passage between the input aperture and the output aperture comprising a plurality of chambers, wherein each of at least some of the chambers include a respective pumping port for connection to at least one vacuum pump, and the apparatus further comprises a source of electrons or other ionizing particles, or ionizing radiation, for ionizing gas atoms or molecules in the passage between the input aperture and the output aperture. By ionizing gas atoms or molecules between the input aperture and the output aperture, a trajectory of the gas atoms or molecules may subsequently be altered, for example by application of suitable electric or magnetic field, and in turn a probability of the gas atoms or molecules being pumped by one of the vacuum pumps may be increased. The source of electrons or other ionizing particles, or ionizing radiation, may be configured to ionize gas atoms or molecules in at least one of the chambers and/or in an aperture between a pair of the chambers. Optionally each chamber comprises a respective pumping port. Each chamber may be connected to at least one other of the chambers via a respective aperture. The passage may include a line-of-sight path along which the radiation may pass from the input aperture to the output aperture. The apparatus may further comprise at least one electric or magnetic field source for altering trajectories of the ionized gas atoms or molecules, for example for altering the trajectories in at least one of the chambers and/or in at least one of the apertures. The electric or magnetic field source may be configured to disrupt ballistic trajectories of gas atoms or molecules that are subject to ionization. The electric or magnetic field source may be configured to cause at least some of the ionized gas atoms or molecules to collide with a surface of a component of the apparatus. Thus, ballistic trajectories of said at least some ionized gas atoms or molecules may be broken. The electric or magnetic field source may be configured to cause at least some of the ionized gas atoms or molecules to collide with a surface of at least one of the chambers or a surface of an aperture between a pair of the chambers. Said surface of at least one of the chambers or said surface of an aperture between a pair of the chambers may be configured such that in operation the ionized gas atoms or molecules bounce off the surface. The apparatus may further comprise at least one electric or magnetic field source for altering trajectories of the electrons or other ionizing particles to increase a probability of collisions between the electrons or other ionizing particles and the gas atoms or molecules. The at least one electric or magnetic field source for altering trajectories of the electrons or other ionizing particles may be configured to increase path lengths of the electrons or other ionizing particles. The at least one electric or magnetic field source may be configured to increase path lengths of the electrons between a cathode that produces the electrons and an anode that is arranged to receive the electrons. The at least one electric or magnetic field source for altering trajectories of the electrons or other ionizing particles may be configured to cause at least some of the electrons or other ionizing particles to follow at least partially helical trajectories. The at least one electric or magnetic field source may be configured to concentrate electrons or other ionizing particles in a part of at least one of the chambers where gas atoms or molecules having a ballistic trajectory leading to the input aperture may be present. The at least one electric or magnetic field source may comprise circuitry for applying an electric potential to a wall of at least one of the chambers. The electrons may be produced by a cathode and the circuitry may be configured to hold said wall of at least one of the chambers at lower potential than said cathode in operation. The at least one electric or magnetic field source for altering trajectories of ionized gas atoms or molecules and the at least one electric or magnetic field source for altering trajectories of electrons may comprise a common at least one electric or magnetic field source. The electron source may comprise a cathode arrangement for producing electrons and an anode for collecting electrons produced by the cathode arrangement. The cathode arrangement and the anode may be arranged so that electrons produced by the cathode arrangement and collected by the anode pass through at least part of said at least one chamber. The cathode arrangement may comprise a cathode and a further anode located between the cathode and the anode. The further anode may comprise an accelerating anode for accelerating electrons produced by the cathode. The further anode may be configured to apply an electric field to reduce acceleration of electrons after they pass the further anode. The use of a suitably arranged further anode may reduce variation in kinetic energy of at least some of the electrons during their passage between the further anode and the anode. The kinetic energy of at least some of the electrons may be maintained in a desired range of values during passage between the further anode and the anode. The cathode arrangement may be configured to produce electrons by thermionic emission. The electron source may be configured such that electrons produced by the electron source have a kinetic energy during at least part of their passage through said at least one chamber in the range 20 eV to 300 eV, optionally in the range 60 eV to 100 eV, further optionally substantially equal to 80 eV. The cathode arrangement and anode may be configured such that at least some of the electrons produced by the cathode arrangement have kinetic energy in the range 20 eV to 300 eV, optionally in the range 60 eV to 100 eV, further optionally substantially equal to 80 eV, during substantially all of their passage between the cathode arrangement and the anode. The cathode arrangement and anode may be configured such that at least some of the electrons produced by the cathode arrangement have kinetic energy in the range 20 eV to 300 eV, optionally in the range 60 eV to 100 eV, optionally substantially equal to 80 eV, during substantially all of their passage between the cathode arrangement and the anode in the absence of collisions, for example in the absence of collisions between the electrons and gas atoms or molecules. The gas atoms or molecules may comprise hydrogen molecules. The gas atoms or molecules may comprise gas atoms or molecules resulting from outgassing. The apparatus may be configured such that, in operation, with vacuum pumps connected to and pumping via the pumping ports, a pressure at the input aperture is maintained at less than 10−7 Pa, optionally around 10−8 Pa, and a pressure at the output aperture is maintained at greater than 10−1 Pa, optionally around 1 Pa. The pressure at the input aperture may comprise a pressure outside the apparatus adjacent to the input aperture. The pressure at the output aperture may comprise a pressure outside the apparatus adjacent to the output aperture. The pressure at the input aperture may be less than or equal to 10−6 Pa optionally less than or equal to 10−6 Pa, further optionally less than or equal to 10−8 Pa. The pressure at the output aperture may be in a range 0.1 Pa to 5 Pa, optionally in a range 0.5 Pa to 3 Pa, optionally approximately equal to 1 Pa. Optionally, the radiation source comprises a free electron laser radiation source, or a synchrotron radiation source. The radiation may have a wavelength in a range 4 nm to 25 nm. The radiation may comprise a beam of radiation. The radiation may comprise EUV radiation. In a further aspect of the invention, which may be provided independently, there is provided a method of receiving a beam of radiation from a radiation source and delivering the beam of radiation via an apparatus to an output aperture of the apparatus for subsequent delivery to at least one lithographic apparatus, the apparatus comprising:—receiving the beam of radiation at an input aperture of the apparatus, pumping at least one chamber of the apparatus between the input aperture and the output aperture, wherein the at least one chamber forms part of a passage between the input aperture and the output aperture, and applying electrons or other ionizing particles, or ionizing radiation, to ionize gas atoms or molecules in the passage between the input aperture and the output aperture. The method may further comprise applying at least one electric or magnetic field to alter trajectories of ionized gas atoms or molecules in the passage between the input aperture and the output aperture. In a further aspect of the invention, which may be provided independently, there is provided a lithographic system comprising a radiation source, a lithographic apparatus arranged to project a pattern from a patterning device onto a substrate, and a system for delivering radiation from the radiation source to the lithographic apparatus, wherein the system for delivering the radiation comprises apparatus as claimed or described herein. According to another aspect, there is provided an apparatus for adjusting an intensity of radiation used in a lithographic process, comprising: a first element for receiving a first radiation beam and arranged to reflect a portion of the first radiation beam in the form of a second radiation beam towards a second element, the second element being arranged to reflect a portion of the second radiation beam in the form of a third radiation beam away from the second element; and adjustment means adapted to adjust an incidence angle between at least one of the first radiation beam and the first element and second radiation beam and the second element so as to vary an intensity of the third radiation beam. In this way, there is provided an apparatus for efficiently adjusting an attenuation of radiation entering the attenuation apparatus, thereby adjusting the intensity of the radiation beam output from the attenuation apparatus. A mechanism is provided which may be implemented in a mechanically efficient and straightforward manner, while allowing for rapid adjustments of the intensity of the third radiation beam. The third radiation beam may be output from attenuation apparatus, for example, towards a lithographic apparatus. Alternatively, the third radiation beam may be directed towards a further attenuation apparatus. The incidence angle of the first radiation beam at the first element may be the same as the incidence angle of the second radiation beam at the second element. The apparatus may be arranged to ensure that the incidence angle of the first radiation beam with respect to the first element is always substantially the same as the incidence angle of the second radiation beam with respect to the second element. In this way, the third radiation beam is reflected from the third element in substantially the same direction as the direction of propagation of the first radiation beam. The adjustment means may be adapted to adjust the incidence angle of the first and second radiation beams between approximately 1 degree and approximately 10 degrees. The first element may be arranged to rotate around a first point and/or the second element arranged to rotate around a second point. The adjustment means may be arranged to selectively rotate at least one of the first and second elements to adjust the incidence angles of the first or second radiation beams with the first and second elements. This provides a particularly effective and simple manner of implementing the apparatus for adjusting an intensity of radiation. The first element may be arranged to be rotated around the first point and/or the second element is arranged to be rotated around the second point through an angle of approximately 9 degrees. The attenuation apparatus may further comprise a third element for receiving the third radiation beam and for reflecting a portion of the third radiation beam in the form of a fourth radiation beam and a fourth element for receiving the fourth radiation beam and for reflecting a portion of the fourth radiation beam in the form of a fifth radiation beam away from the fourth element. By provision of the third and fourth elements, an attenuation range of the attenuation apparatus may be increased. Alternatively, or additionally, provision of the third and fourth elements allows for an effect of reflection by the elements of the attenuation apparatus on a polarity of radiation to be reduced for a given attenuation. The adjustment means may be adapted to adjust an incidence angle between at least one of the third radiation beam and the fourth element and the fourth radiation beam and the fourth element. The adjustment means may be adapted to adjust the incidence angle of the first, second, third and fourth radiation beams with the respective first, second, third and fourth elements between approximately 1 degrees and approximately 5 degrees. In this way, an attenuation range of between approximately 8% and 20% may be achieved while better maintaining a polarity of the first radiation beam in the third radiation beam. The first element may be arranged to rotate around a first point, the second element arranged to rotate around a second point, the third element arranged to rotate around a third point and the fourth element arranged to rotate around a fourth point. The adjustment means may be arranged to selectively rotate at least one of the first, second, third and fourth elements to adjust the incidence angles of the first, second, third or fourth radiation beams with the respective first, second, third or fourth elements. Each of the first, second, third and fourth elements may be arranged to be rotated around the respective first, second, third or fourth point through an angle of approximately 4 degrees. The apparatus may further comprise a controller arranged to control the adjustment means. The controller may be arranged to receive indications of a radiation intensity from a sensor and to control the adjustment means in response to said indications. In this way, the attenuation provided by the first attenuation apparatus may be better controlled. The controller may, for example, comprise part of a control loop arranged to maintain an intensity of radiation provided at a predetermined location within a predetermined intensity range. The apparatus may comprise a further attenuation apparatus. The further attenuation apparatus may comprise fixed attenuation apparatus. That is, the further attenuation apparatus may provide an attenuation that cannot be varied, or can be varied only by a small amount compared to the variation in attenuation achievable using the first and second elements, or using the first to fourth elements. The further attenuation apparatus may provide an attenuation factor larger than the attenuation of the variable attenuator. For example, the further attenuation apparatus may provide an attenuation factor of ten. Alternatively, the further attenuation apparatus may comprise adjustable attenuation apparatus. The further attenuation apparatus may be adjustable through a larger range of attenuations than the first attenuation apparatus, but may be adjustable with a lower frequency than the frequency with which the first attenuation apparatus may be adjusted. The further attenuation apparatus may comprise a chamber containing an EUV absorbing medium, the chamber being arranged in the path of a radiation beam. The further attenuation apparatus may comprise a pressure sensor operable to monitor a pressure within the chamber. The further attenuation apparatus may comprise a gas inlet and a gas outlet. The apparatus may further comprise a second controller, wherein the second controller is in communication with the pressure monitor and is arranged to control the gas inlet and gas outlet to maintain a pressure within the chamber within a predetermined range. The first and second controller may be the same controller. The adjustment means may comprise respective adjustment means for each element to be adjusted. The apparatus may further comprise a reflective membrane disposed at a non-normal angle with respect to the direction of propagation of one of the radiation beams, wherein the reflective membrane is arranged to transmit a portion of the one of the radiation beams and to reflect a portion of the one of the radiation beams. The one of the radiation beams may be, for example, the first, second, third, or fourth radiation beams. According to another aspect, there is provided a lithographic system comprising: a radiation source operable to produce a main radiation beam; an attenuation apparatus according an aspect described herein, arranged to receive at least a portion of the main radiation beam; and at least one lithographic apparatus, the at least one lithographic apparatus being arranged to receive an attenuated radiation beam from the attenuation apparatus. For example, the main radiation beam, or a portion of the main radiation beam may provide the first radiation beam described above. The lithographic system may comprise a beam splitting apparatus arranged to receive a main radiation beam and output at least one branch radiation beam. The attenuation apparatus may be arranged to receive the at least one branch radiation beam. The beam splitting apparatus may be arranged to output a plurality of branch radiation beams. The lithographic system may comprise a respective attenuation apparatus for each of said plurality of branch radiation beams, each attenuation apparatus arranged to receive a respective one of said plurality of branch radiation beams. Alternatively, the lithographic system may comprise one or more attenuation apparatus for some of the plurality of branch radiation beams. That is, some branch radiation beams may not pass through an attenuation apparatus in the lithographic system. The radiation source may comprise one or more free electron lasers. The at least one lithographic apparatus may comprise one or more mask inspection apparatus. The main radiation beam may comprise EUV radiation. According to another aspect, there is provided a radiation source for a lithographic system comprising: a free electron laser operable to produce a beam of radiation; an optical system provided with an adjustment mechanism and one or more movable optical elements arranged to receive the beam of radiation from the free electron laser, increase its cross sectional area, and provide an output beam; and a sensor apparatus for determining a direction of the output beam, wherein the adjustment mechanism is operable to move the one or more movable optical elements in response to the direction determined by the sensor apparatus to compensate for changes in the direction of the beam of radiation produced by the free electron laser. The active feedback loop provided by the sensor apparatus and the adjustment mechanism allows the optical system to be separated from the free electron laser by a significant distance, whilst ensuring the direction of the radiation beam output by the optical system remains stable. Advantageously, this allows free electron lasers of increased power to be used for lithography. The feedback loop may also be configured to ensure that the position of the radiation beam output by the optical system remains stable. This may similarly allow free electron lasers of increased power to be used for lithography. The beam of radiation produced by the free electron laser may comprise EUV radiation. The beam of radiation produced by the free electron laser may have a divergence of 1000 μrad or less. The output beam provided by the optical system has substantially zero divergence. The one or more movable optical elements may comprise a first optical element and a second optical element, the first optical element comprising a convex mirror and the second optical element comprising a concave mirror. The adjustment mechanism may be operable to move each of the one or more movable optical elements linearly. The adjustment mechanism may be operable to move each of the one or more movable optical elements linearly in two different directions. The adjustment mechanism may be operable to rotate each of the one or more movable optical elements. The adjustment mechanism may be operable to rotate each of the one or more movable optical elements about two different axes. The one or more movable optical elements comprise grazing incidence mirrors. A distance between the free electron laser and a first optical element of the optical system may be greater than 10 metres. The one or more movable optical elements may be shaped so as to alter the shape and/or intensity distribution of the radiation beam produced by the free electron laser. The one or more movable optical elements may be spherical, astigmatic or a-spherical shaped. The radiation source may further comprise a second free electron laser, operable to produce a second beam of radiation, wherein one or more movable optical elements are arranged to selectively receive a beam of radiation from one of the free electron lasers, increase its cross sectional area, and provide an output beam and the adjustment mechanism is operable to move the one or more movable optical elements in response to the direction determined by the sensor apparatus to compensate for changes in the direction of the beam of radiation produced by that free electron laser. The beams of radiation produced by the two different free electron lasers may enter the optical system in different directions, and the direction of the beam of radiation output by the optical system may be independent of the free electron laser from which it originated. According to another aspect, there is provided an apparatus comprising: an optical system provided with an adjustment mechanism and one or more movable optical elements arranged to receive the beam of radiation from a free electron laser, increase its cross sectional area, and provide an output beam; and a sensor apparatus for determining a direction of the output beam, wherein the adjustment mechanism is operable to move the one or more movable optical elements in response to the direction determined by the sensor apparatus to compensate for changes in the direction of the beam of radiation produced by the free electron laser. According to another aspect, there is provided a lithographic system comprising: a radiation source as claimed in any one of claims 1 to 15; and one or more lithographic apparatuses. The lithographic system may further comprise a mask inspection apparatus. According to another aspect, there is provided a method of producing a beam of radiation, comprising the steps of: producing an initial beam of radiation with a free electron laser; allowing the radiation beam to propagate over a distance before entering an optical system comprising one or more movable optical elements; increasing the cross sectional area of the beam using the one or more movable optical elements to produce an output beam; determining a direction of the output beam leaving the one or more movable optical elements; and moving the one or more movable optical elements in response to the determined direction to compensate for changes in the direction of the initial beam of radiation. The step of moving the one or more movable optical elements may involve moving two optical elements substantially simultaneously in order to ensure that the direction of the output beam remains substantially stable. The step of moving the one or more movable optical elements may involve moving translating and/or rotating two optical elements in order to ensure that the direction of the output beam remains substantially stable. According to a further aspect, there is provided a radiation source for a lithographic system comprising: two free electron lasers, each operable to produce a beam of radiation and switchable between an on state wherein it produces a beam of radiation and an off state wherein it does not; an optical system comprising a plurality of optical elements, arranged to receive a beam of radiation from each of the two free electron lasers and output an output radiation beam, wherein the optical system is arranged such that when both of the free electron lasers are in their respective on states, the output radiation beam comprises a composite radiation beam comprising radiation from each of the two free electron lasers and when only one of the free electron lasers is in its on state, the output radiation beam comprises radiation from that free electron laser. The plurality of optical elements may be arranged to alter the size and/or shape of the cross section of the radiation beams received from the free electron lasers. The plurality of optical elements may comprise a diverging optical element for each of the two free electron lasers, each diverging optical element arranged to increase the cross sectional area of the radiation beam received from a respective one of the free electron lasers. The plurality of optical elements may further comprise a converging optical element for each of the two free electron lasers, each converging optical element arranged to reduce a divergence of the radiation beam received from a respective one of the free electron lasers to substantially zero after the cross sectional area of that radiation beam has been increased. The plurality of optical elements may comprise one or more astigmatic or aspherical elements which are arranged to alter the cross sectional shape of the radiation beams received from the free electron lasers. The optical elements may be shaped so that the radiation beams received from the free electron lasers are altered so as to be more rectangular in shape. The optical system may be adjustable so that the size and/or shape of the cross section imparted to each of the radiation beams can be varied. A divergence of at least one of the diverging optical elements may be able to be varied to vary the size and/or shape of the cross section imparted to a corresponding one of the radiation beams. At least one of the diverging optical elements may comprise two reflective surfaces each having a different radius of curvature and is rotatable about an axis so as to selectively place each of the two reflective surfaces in a path of the radiation beam received from the respective one of the free electron lasers. For each of the two free electron lasers, the plurality of optical elements may comprise a plurality of diverging optical elements having different radii of curvature; wherein each optical element within each plurality of diverging optical elements may be movably mounted within the optical system so that each can be selectively moved in and out of a path of the radiation beam received from the respective one of the free electron lasers. The radiation source may further comprise a controller which is operable to adjust the size and/or shape of a cross section imparted to each of the radiation beams, in dependence on the states of the two free electron lasers. The controller may be operable adjust the optical system such that: when both of the free electron lasers are in their respective on states, the optical system alters beams of radiation from each of the free electron lasers to a first cross section, and the beams of radiation from the two free electron lasers combine to form a composite radiation beam with a second cross section, and when only one of the two free electron lasers is in its on state, the optical system alters the beam of radiation from that free electron laser to a third cross section. The third cross section may be more similar to the second cross section than the first cross section is to the second cross section. The third cross section may be substantially the same as the second cross section. The optical system may be arrangable so as to direct the radiation beams received from the free electron lasers so that they are adjacent and substantially mutually parallel. The radiation source may further comprise: a sensor apparatus for determining a direction of the output radiation beam; and an adjustment mechanism which is operable to move optical elements of the optical system in response to the direction determined by the sensor apparatus to compensate for changes in the direction of the beams of radiation produced by the two free electron lasers. The adjustment mechanism may be operable to rotate one or more of the plurality of optical elements about two different axes. The adjustment mechanism may be operable to move one or more of the plurality of optical elements linearly in two different directions. The beams of radiation produced by the two free electron lasers may comprise EUV radiation. According to a further aspect, there is provided a beam delivery system for use with a radiation source of an aspect described herein, comprising: an optical system comprising a plurality of optical elements, arranged to receive one or two beams of radiation and output an output radiation beam, wherein the optical system is arranged such that when two beams of radiation are received, the output radiation beam comprises a composite radiation beam comprising radiation from each of the two beams and when only one beam of radiation is received, the output radiation beam comprises radiation from that radiation beam. According to a further aspect, there is provided a lithographic system comprising: a radiation source according to an aspect described herein; one or more lithographic apparatuses; and a beam splitting apparatus operable to direct a portion of a radiation beam output by the radiation source to each of the one or more lithographic apparatuses. The beam splitting apparatus may comprise a plurality of static mirrors arranged to reflect different parts of the radiation beam output by the radiation source, each static mirror directing the reflected part of the main radiation beam along an associated branch optical path thereby forming a branch radiation beam. Each static mirror may be arranged to extend partially across the main radiation beam and is configured to reflect a solid area of the main radiation beam. The static mirrors may be substantially identical. According to a further aspect, there is provided a method of producing a beam of radiation, comprising the steps of: providing two free electron lasers, each operable to produce a beam of radiation and switchable between an on state wherein it produces a beam of radiation and an off state wherein it does not; using one or two of the free electron lasers to produce radiation; determining whether or not each of the two free electron lasers is producing radiation; and if both of the free electron lasers are in their respective on states forming a composite radiation beam comprising radiation from both of the two free electron lasers and outputting it; or if only one of the free electron lasers is in its on state, outputting a radiation beam comprising radiation from that free electron laser. According to another aspect, there is a provided a lithographic apparatus comprising: an optical system operable to receive radiation, impart the radiation with a pattern in its cross-section to form a patterned radiation beam and project the patterned radiation onto a substrate; and a plurality of focusing elements, wherein each of the plurality of focusing elements is arranged to receive a different radiation beam, focus it at a different intermediate focus and direct it to a first optical element of the optical system, such that at the first optical element the radiation from each of the different radiation beams at least partially overlaps. Such an arrangement allows the lithographic apparatus receive radiation from a plurality of radiation sources operable to produce a radiation beam, each radiation beam being received by a different one of the plurality of focusing elements. Further, the at least partial overlap between the radiation beams at the first optical element limits the effect on the operation of the lithographic apparatus when one of the radiation sources is not producing radiation. Each of the plurality of focusing elements may be arranged such that substantially the entire field of the first optical element is illuminated by each of the different radiation beams. It will be appreciated that “the entire field of the first optical element” comprises all those parts of the first optical element that project onto the substrate regardless of any pattern imparted to the radiation beam by the lithographic apparatus. That is, when those parts of the first optical element receive radiation, and no pattern is imparted to the radiation beam, that radiation will propagate through the optical system to the substrate. With such an arrangement, the operation of the lithographic apparatus is substantially independent of the number of focusing elements that receive radiation. When a radiation source is not producing radiation, and therefore not supplying radiation to one of m focusing elements, the lithographic apparatus will continue to operate in the same manner as it would when all m of the focusing elements receive radiation. No adjustments are necessary. When one of m focusing elements does not receive radiation, the lithographic apparatus will only receive a fraction (m−1)/m of the radiation that would be received when all m of the focusing elements receive radiation (assuming that the radiation sources supplying the plurality of focusing elements are of substantially equal output power). The intermediate foci of the plurality of focusing elements may be distributed around an optical axis of the first optical element. Each of the plurality of focusing elements may comprise a Wolter collector. The first optical element may comprise a multifaceted mirror. Each of the plurality of focusing elements may be arranged to receive a generally parallel beam and to focus it with a numerical aperture substantially matching that of the first optical element. According to another aspect, there is provided a lithographic system comprising: a plurality of radiation sources, each operable to produce a main radiation beam; a plurality of lithographic apparatuses; and a beam delivery system arranged to receive the main radiation beams produced by each of the plurality of radiation sources and direct a portion of each main radiation beam to the or each lithographic apparatus. Each of the plurality of lithographic apparatuses may comprise a lithographic apparatus according to an aspect described herein. The portion of each main radiation beam that is directed to each of the plurality of lithographic apparatuses may be received by a different one of its plurality of focusing elements. Each of the plurality of radiation sources may comprise a free electron laser. A numerical aperture of each of the plurality of lithographic apparatuses may be greater than that of each of the plurality of radiation sources. The beam delivery system may comprise beam combining optics arranged to receive a main radiation beam from each of the radiation sources and to output a composite radiation beam. The beam delivery system may further comprise beam splitting optics arranged to receive the composite radiation beam and output a plurality of branch radiation beams, such that each of the plurality of branch radiation beams is received by a different one of the plurality of lithographic apparatuses. Alternatively, the beam delivery system may comprise separate beam splitting optics for each of the plurality of radiation sources, each beam splitting optic arranged to receive a single main radiation beam and output a plurality of branch radiation beams, such that each of the plurality of branch radiation beams is received by a different one of the plurality of lithographic apparatuses. The beam delivery system may comprise beam expanding optics, arranged to increase a diameter of the main radiation beams. The beam delivery system may comprise beam shaping optics, arranged to alter a cross sectional shape and/or intensity profile of the main radiation beams. The main radiation beams may comprise EUV radiation. According to another aspect, there is provided a method of providing radiation to a lithographic apparatus comprising an optical system configured to receive radiation, impart the radiation with a pattern in its cross-section to form a patterned radiation beam and project the patterned radiation onto a substrate, the method comprising: producing a plurality of adjacent radiation beams; focusing each of the plurality of adjacent radiation beams at a different intermediate focus and directing it to a first optical element of the optical system, such that at the first optical element the radiation from each of the plurality of adjacent radiation beams at least partially overlaps. The focusing of each of the plurality of adjacent radiation beams may be such that substantially the entire field of the first optical element is illuminated by each of the plurality of adjacent radiation beams. Each of the plurality of adjacent radiation beams may be produced by a free electron laser. Each of the plurality of adjacent radiation beams may comprise EUV radiation. Focusing of each of the plurality of adjacent radiation beams elements may use a Wolter collector. According to another aspect, there is provided a mirror for use in a beam delivery system, comprising: a reflective surface arranged to receive a radiation beam, to reflect the radiation beam in a first direction and to clip the radiation beam such that an intensity profile of the reflected radiation beam is gradually reduced towards a clipped edge of the intensity profile. The mirror may be provided with soft-clipping means, the soft-clipping means being arranged to absorb an increasing amount of radiation in a direction extending radially outward from a central portion of the reflective surface. The soft-clipping means may comprise a radiation-absorbing material having a depth perpendicular to the reflective surface that increases in direction extending radially outward from the central portion of the reflective surface. The soft-clipping means may comprise a radiation-absorbing material that covers an increasing portion of the reflective surface in a direction extending radially outward from the central portion of the reflective surface. The radiation-absorbing material may comprise a material having a refractive index for EUV radiation substantially similar to that of a vacuum. The radiation-absorbing material may comprise a coating comprising at least one of aluminium, gold, nickel or rhenium. The mirror may be provided with soft-clipping means, the soft-clipping means being arranged to reflect a portion of the radiation beam in a second direction different to the first direction. The portion of the radiation beam reflected in a second direction increases in a direction extending radially outward from a central portion of the reflective surface. The soft-clipping means may comprise a plurality of wells in the reflective surface. The wells may be coated with a reflective coating. The mirror may further comprise an insulation portion arranged to insulate an edge portion of the mirror comprising said soft-clipping means from an inner portion of the mirror not comprising said soft-clipping means. According to another aspect, there is provided a beam delivery system for a lithographic system comprising one or more mirrors according to one of the aspects described herein. The beam delivery system may comprise a first mirror according to one of the aspects described herein, and a second mirror according to one of the aspects above. The first and second mirrors may be cooperatively arranged to soft-clip a radiation beam received by the beam delivery system. Soft-clipping means may be provided along a first edge portion of a reflective surface of the first mirror but not along a second edge portion of the reflective surface of the first mirror and soft-clipping means may be provided along a second edge portion of a reflective surface of the second mirror but not along a first edge portion of the reflective surface of the second mirror. According to another aspect, there is provided a lithographic system comprising: a radiation source operable to produce a radiation beam; at least one lithographic apparatus; and a beam delivery system according to one of the aspects described herein, arranged to receive the radiation beam and direct the radiation beam to the at least one lithographic apparatus. According to another aspect, there is provided a lithographic system comprising: a radiation source comprising a free electron laser, wherein the radiation source is configured to emit a first radiation beam having a first polarization state; and a beam delivery system comprising a plurality of reflective elements arranged to receive the radiation beam from the radiation source and direct at least some of the radiation beam to a lithographic tool so as to provide the lithographic tool with a second radiation beam having a second polarization state, wherein the reflective elements are configured to alter the polarization of radiation which is directed by the reflective elements such that polarization contrast of the second polarization state is less than a polarization contrast of the first polarization state. The beam delivery system may be configured to split the first radiation beam into a plurality of branch radiation beams and wherein the second radiation beam is one of the branch radiation beams. The beam delivery system may be configured to change the polarization of the branch radiation beams so as to output a plurality of branch radiation beams which have substantially the second polarization state. The second polarization state may be a substantially circular polarization state. The radiation source may comprise a plurality of free electron lasers and an optical system configured to combine radiation output from each of the free electron lasers to form the first radiation beam. The reflective elements of the beam delivery system are configured such that radiation which is incident on each of the reflective elements comprises an s-polarized component and a p-polarized component which have substantially the same magnitude. The reflective elements of the beam delivery system may be configured to cause a phase retardance between the s-polarized component and the p-polarized component at each reflective element. The radiation source may be configured to emit a first radiation beam which is substantially linearly polarized in a polarization plane. The beam delivery system may comprise a plurality of reflective elements which are orientated such that a plane of incidence at each of the reflective elements forms an angle of approximately 45° with the polarization plane. The plurality of reflective elements may comprise a first group of reflective elements which are orientated such that a plane of incidence at each of the reflective elements forms an angle of approximately +45° with the polarization plane and a second group of reflective elements which are orientated such that a plane of incidence at each of the reflective elements forms an angle of approximately −45° with the polarization plane. The difference between the total phase retardance which is caused by reflection at the first group of reflective elements and the total phase retardance which is caused by reflection at the second group of reflective elements may be approximately 90°. The radiation source may be configured to emit a first radiation beam which is substantially elliptically polarized. A free electron laser of the radiation source may comprise an undulator comprising a plurality of undulator sections, wherein at least one of the undulator sections is a helical undulator section and wherein at least one of the undulator sections is a planar undulator section. The polarization contrast of the second polarization state may be less than approximately 0.1. The lithographic tool may comprise a lithographic apparatus. The first radiation beam may be an EUV radiation beam. According to another aspect, there is provided a method of configuring a lithographic system comprising a free electron laser and a beam delivery system comprising a plurality of reflective elements, the method comprising: determining an output polarization state, wherein the output polarization state is a desired polarization state of a radiation beam which is output by the beam delivery system; determining an input polarization state of a radiation beam which is emitted from the free electron laser and input to the beam delivery system; determining a change in polarization which when applied to the input polarization state results in the output polarization state; and configuring reflective elements of the beam delivery system such that reflection of radiation at the reflective elements of the beam delivery system results in the determined change in polarization. The output polarization state may be a substantially circular polarization state. The input polarization state may be a substantially linear polarization state. Determining the change in polarization may comprise determining a phase retardance which when applied to the input polarization state results in the output polarization state. Configuring the reflective elements of the beam delivery system may comprise orientating the reflective elements such that radiation which is incident on each of the reflective elements comprises an s-polarized component and a p-polarized component which have substantially the same magnitude. Configuring the reflective elements of the beam delivery system may comprise orientating the reflective elements of the beam delivery system so as to cause a phase retardance between the s-polarized component and the p-polarized component at each reflective element. The total phase retardance which is caused by the reflective elements may be the determined phase retardance. According to another embodiment of the invention, a method of configuring a lithographic system comprising a free electron laser and a beam delivery system comprising a plurality of reflective elements, the method comprising: determining an output polarization state, wherein the output polarization state is a desired polarization state of a radiation beam which is output by the beam delivery system; determining a change in polarization which is caused by reflection of radiation at the reflective elements of the beam delivery system; determining an input polarization state, which when the determined change in polarization is applied to the input polarization state results in the output polarization state; and configuring the free electron laser such that the free electron laser outputs a radiation beam having the input polarization state. The output polarization state may be a substantially circular polarization state. Determining the change in polarization may comprise determining a phase retardance which is caused by reflection of radiation at the reflective elements of the beam delivery system. Determining the change in polarization may comprise determining a Jones matrix of the beam delivery system. Determining the input polarization state may comprises inverting the Jones matrix. Determining the input polarization state may further comprise multiplying the inverted Jones matrix by a Jones vector which represents the output polarization state. Configuring the free electron laser may comprise providing an undulator comprising a plurality of undulator sections, wherein at least one of the undulator sections is a helical undulator section and wherein at least one of the undulator sections is a planar undulator section. Configuring the free electron laser may further comprise configuring the length of the at least one helical undulator section relative to the length of the at least one planar undulator section such that the free electron laser outputs a radiation beam having the input polarization state. Features of one or more aspects described above may be combined with features of others of the aspects described above. The term “beam delivery system” as used herein may be used to refer to any combination of optical elements used to provide a beam produced by a source to a tool, such as a lithographic apparatus. FIG. 1 shows a lithographic system LS, comprising: a radiation source SO, a beam splitting apparatus 20 and a plurality of tools. In FIG. 1 twenty tools LA1-LA20 are provided. Each of the tools may be any tool which receives a radiation beam. The tools LA1-LA20 are generally referred to herein as lithographic apparatuses, although it will be appreciated that the tools are not so limited. For example, the tools may comprise lithographic apparatuses, mask inspection apparatuses, Arial Image Measurement Systems (AIMS). The radiation source SO comprises at least one free electron laser and is configured to generate an extreme ultraviolet (EUV) radiation beam B (which may be referred to as a main beam). The main radiation beam B is split into a plurality of radiation beams B1-B20 (which may be referred to as branch beams), each of which is directed to a different one of the lithographic apparatus LA1-LA20, by the beam splitting apparatus 20. The branch radiation beams B1-B20 may be split off from the main radiation beam B in series, with each branch radiation beam being split off from the main radiation beam B downstream from the preceding branch radiation beam. The beam splitting apparatus may, for example, comprise a series of mirrors (not shown) which are each configured to split off a portion of the main radiation beam B into a branch radiation beam B1-B20. The branch radiation beams B1-B20 are depicted in FIG. 1 as being split off from the main radiation beam B such that the branch radiation beams B1-B20 propagate in directions which are approximately perpendicular to the direction of propagation of the main radiation beam B. However, in some embodiments the branch radiation beams B1-B20 may instead be split off from the main radiation beam B such that an angle between the direction of propagation of each branch radiation beam B1-B20 and the direction of propagation of the main radiation beam is substantially less than 90 degrees. This may allow mirrors of the beam splitting apparatus to be arranged such that the main radiation beam B is incident on the mirrors at an angle of incidence which is less than normal. This may advantageously decrease the amount of radiation which is absorbed by the mirrors and therefore increase the amount of radiation which is reflected from the mirrors and which is provided to the lithographic apparatus LA1-LA20 via the branch radiation beams B1-B20. Additionally, it may be desirable to direct one or more branch radiation beams at an angle with respect to the entrance of the illuminator (as illustrated in FIG. 2). This may allow for the branch radiation beam to be supplied to the illuminator with fewer mirrors and hence less power loss/higher transmission. As will be apparent from the description below, although in FIG. 1 the branch beams B1-B20 are shown to originate directly from the main radiation beam B it will be appreciated that the main radiation beam B may be split into one or more sub-beams and one or more of the sub-beams may then be further split, at least one more time, to produce the branch radiation beams B1-B20. The lithographic apparatus LA1-LA20 may all be positioned on the same vertical level. The vertical level on which the lithographic apparatus LA1-LA20 are positioned may be substantially the same vertical level as the vertical level on which the beam splitting apparatus 20 is positioned and on which the main beam B is received from the radiation source SO. Alternatively, the beam splitting apparatus 20 may direct at least some of the branch radiation beams B1-B20 to one or more different vertical levels on which at least some of the lithographic apparatus LA1-LA20 are positioned. For example, the main radiation beam B may be received by the beam splitting apparatus on a basement or ground floor vertical level. The beam splitting apparatus 20 may direct at least some branch radiation beams B1-B20 to a vertical level which is positioned above the beam splitting apparatus and on which at least some of the lithographic apparatus LA1-LA20 are positioned. The lithographic apparatus LA1-LA20 may be positioned on multiple vertical levels and as such the beam splitting apparatus 20 may direct the branch radiation beams B1-B20 to different vertical levels in order to be received by the lithographic apparatus LA1-LA20. The radiation source SO, beam splitting apparatus 20 and lithographic apparatus LA1-LA20 may all be constructed and arranged such that they can be isolated from the external environment. A vacuum may be provided in at least part of the radiation source SO, beam splitting apparatus 20 and lithographic apparatus LA1-LA20 so as to minimise the absorption of EUV radiation. Different parts of the lithographic system LS may be provided with vacuums at different pressures (i.e. held at different pressures which are below atmospheric pressure) and different gas compositions (in which different gas mixtures are supplied to different locations within SO and beam splitting apparatus 20). FIG. 2 is a schematic depiction of a lithographic apparatus LA1, of the lithographic system LS shown in FIG. 1. The lithographic apparatus LA1, comprises an illumination system IL, a support structure MT configured to support a patterning device MA (e.g. a mask), a projection system PS and a substrate table WT configured to support a substrate W. The illumination system IL is configured to condition the branch radiation beam B1 that is received by the lithographic apparatus LA1, before it is incident upon the patterning device MA. The projection system PS is configured to project the branch radiation beam B1 (now patterned by the mask MA) onto the substrate W. The substrate W may include previously formed patterns. Where this is the case, the lithographic apparatus aligns the patterned radiation beam B1 with a pattern previously formed on the substrate W. The branch radiation beam B1 that is received by the lithographic apparatus LA1 passes into the illumination system IL from the beam splitting apparatus 20 through an opening 8 in an enclosing structure of the illumination system IL. Optionally, the branch radiation beam B1 may be focused to form an intermediate focus at or near to the opening 8. The illumination system IL may include a facetted field mirror device 10 and a facetted pupil mirror device 11. The faceted field mirror device 10 and faceted pupil mirror device 11 together provide the radiation beam B1 with a desired cross-sectional shape and a desired angular distribution. The radiation beam B1 passes from the illumination system IL and is incident upon the patterning device MA held by the support structure MT. The patterning device MA reflects and patterns the radiation beam to form a patterned beam B1′. The illumination system IL may include other mirrors or devices in addition to or instead of the faceted field mirror device 10 and faceted pupil mirror device 11. The illumination system IL may for example include an array of independently moveable mirrors. The independently moveable mirrors may for example measure less than 1 mm across. The independently moveable mirrors may for example be MEMS devices. Following reflection from the patterning device MA the patterned radiation beam B11 enters the projection system PS. The projection system comprises a plurality of mirrors 13, 14 which are configured to project the radiation beam B11 onto a substrate W held by the substrate table WT. The projection system PS may apply a reduction factor to the radiation beam, forming an image with features that are smaller than corresponding features on the patterning device MA. A reduction factor of 4 may for example be applied. Although the projection system PS has two mirrors 13, 14 in FIG. 2, the projection system may include any number of mirrors (e.g. six mirrors). In some embodiments a lithographic system LS may include one or more mask inspection apparatus (not shown). A mask inspection apparatus may include optics (e.g. mirrors) configured to receive a branch radiation beam B1-B20 from the beam splitting apparatus 20 and direct the branch radiation beam at a mask MA. The mask inspection apparatus may further include optics (e.g. mirrors) configured to collect radiation reflected from the mask and form an image of the mask at an imaging sensor. The image received at the imaging sensor may be used to determine one or more properties of the mask MA. The mask inspection apparatus may, for example, be similar to the lithographic apparatus LA1 shown in FIG. 2, with the substrate table WT replaced with an imaging sensor. In some embodiments a lithographic system LS may include one or more Aerial Image Measurement System (AIMS) which may be used to measure one or more properties of a mask MA. An AIMS may, for example, be configured to receive a branch radiation beam B1-B20 from the beam splitting apparatus 20 and use the branch radiation beam B1-B20 to determine one or more properties of a mask MA. The radiation source SO comprises a free electron laser FEL which is operable to produce a beam of EUV radiation. Optionally, the radiation source SO may comprise more than one free electron laser FEL as described with reference to the example embodiments below. It will be appreciated however that in other embodiments, the radiation source SO may comprise other means of generating radiation. For example, the radiation source SO may comprise one or more “laser produced plasma” (LPP) sources. Indeed, it is to be understood that in some embodiments, the radiation source SO may utilise any means operable to provide a suitably powerful radiation beam. A free electron laser comprises an electron source, which is operable to produce a bunched relativistic electron beam, and a periodic magnetic field through which the bunches of relativistic electrons are directed. The periodic magnetic field is produced by an undulator and causes the electrons to follow an oscillating path about a central axis. As a result of the acceleration caused by the magnetic fields the electrons spontaneously radiate electromagnetic radiation generally in the direction of the central axis. The relativistic electrons interact with radiation within the undulator. Under certain conditions, this interaction causes the electrons to bunch together into microbunches, modulated at the wavelength of radiation within the undulator, and coherent emission of radiation along the central axis is stimulated. FIG. 3 is a schematic depiction of a free electron laser FEL comprising an electron source 21, a linear accelerator 22, a steering unit 23 and an undulator 24. The electron source 21 may alternatively be referred to as an injector and the undulator 24 may alternatively be referred to as a wiggler. The electron source 21 is operable to produce a beam of electrons E. The electron source 21 may, for example, comprise a photo-cathode or a thermionic cathode and an accelerating electric field. The electron beam E is a bunched electron beam E which comprises a series of bunches of electrons. Electrons in the beam E are further accelerated by the linear accelerator 22. In an example, the linear accelerator 22 may comprise a plurality of radio frequency cavities, which are axially spaced along a common axis, and one or more radio frequency power sources, which are operable to control the electromagnetic fields along the common axis as bunches of electrons pass between them so as to accelerate each bunch of electrons. The cavities may be superconducting radio frequency cavities. Advantageously, this allows: relatively large electromagnetic fields to be applied at high duty cycles; larger beam apertures, resulting in fewer losses due to wakefields; and for the fraction of radio frequency energy that is transmitted to the beam (as opposed to dissipated through the cavity walls) to be increased. Alternatively, the cavities may be conventionally conducting (i.e. not superconducting), and may be formed from, for example, copper. The final energy of the beam E can be reached over several acceleration steps. For example, the beam E may be sent through a plurality of linear accelerator modules, which are separated by beam transport elements (bends, drift spaces, etc.). Alternatively, or additionally, the beam E may be sent through the same linear accelerator module repeatedly, with gains and/or losses of energy in the beam E corresponding to the number of repetitions. Other types of linear accelerators may also be used. For example, laser wake-field accelerators or inverse free electron laser accelerators may be used. The relativistic electron beam E which exits the linear accelerator 22 enters the steering unit 23. The steering unit 23 is operable to alter the trajectory of the relativistic electron beam E so as to direct the electron beam E from the linear accelerator 22 to the undulator 24. The steering unit 23 may, for example, comprise one or more electromagnets and/or permanent magnets configured to generate a magnetic field in the steering unit 23. The magnetic field exerts a force on the electron beam E which acts to alter the trajectory of the electron beam E. The trajectory of the electron beam E upon leaving the linear accelerator 22 is altered by the steering unit 23 so as to direct the electrons to the undulator 24. In embodiments in which the steering unit 23 comprises one or more electromagnets and/or permanent magnets, the magnets may be arranged to form one or more of a magnetic dipole, a magnetic quadrupole, a magnetic sextupole and/or any other kind of multipole magnetic field arrangement configured to apply a force to the electron beam E. The steering unit 23 may additionally or alternatively comprise one or more electrically charged plates, configured to create an electric field in the steering unit 23 such that a force is applied to the electron beam E. In general the steering unit 23 may comprise any apparatus which is operable to apply a force to the electron beam E to alter its trajectory. The steering unit 23 directs the relativistic electron beam E to the undulator 24. The undulator 24 is operable to guide the relativistic electrons along a periodic path so that the electron beam E interacts with radiation within the undulator 24 so as to stimulate emission of coherent radiation. Generally the undulator 24 comprises a plurality of magnets, which are operable to produce a periodic magnetic field which causes the electron beam E to follow a periodic path. As a result the electrons emit electromagnetic radiation generally in the direction of a central axis of the undulator 24. The undulator 24 may comprise a plurality of sections (not shown), each section comprising a periodic magnet structure. The undulator 24 may further comprise a mechanism for refocusing the electron beam E such as, for example, a quadrupole magnet in between one or more pairs of adjacent sections. The mechanism for refocusing the electron beam E may reduce the size of the electron bunches, which may improve the coupling between the electrons and the radiation within the undulator 24, increasing the stimulation of emission of radiation. As electrons move through the undulator 24, they interact with the electric field of the electromagnetic radiation in the undulator 24, exchanging energy with the radiation. In general the amount of energy exchanged between the electrons and the radiation will oscillate rapidly unless conditions are close to a resonance condition, given by: λ em = λ u 2 γ 2 ( 1 + K 2 A ) , ( 1 ) where λem is the wavelength of the radiation, λu is the undulator period, y is the Lorentz factor of the electrons and K is the undulator parameter. A is dependent upon the geometry of the undulator 24: for a helical undulator A=1, whereas for a planar undulator A=2. For a helical undulator which produces a light which is not circularly polarized, but elliptically polarized A will be in the range of 1 to 2. In practice, each bunch of electrons will have a spread of energies although this spread may be minimised as far as possible (by producing an electron beam E with low emittance). The undulator parameter K is typically approximately 1 and is given by: K = q λ u B 0 2 π mc , ( 2 ) where q and m are, respectively, the electric charge and mass of the electrons, B0 is the amplitude of the periodic magnetic field, and c is the speed of light. The resonant wavelength λem is equal to the first harmonic wavelength spontaneously radiated by electrons moving through the undulator 24. The free electron laser FEL may operate in self-amplified spontaneous emission (SASE) mode. Operation in SASE mode may require a low energy spread of the electron bunches in the electron beam E before it enters the undulator 24. Alternatively, the free electron laser FEL may comprise a seed radiation source, which may be amplified by stimulated emission within the undulator 24. The free electron laser FEL may operate as a recirculating amplifier free electron laser (RAFEL), wherein a portion of the radiation generated by the free electron laser FEL is used to seed further generation of radiation. Electrons moving through the undulator 24 may cause the amplitude of radiation to increase, i.e. the free electron laser FEL may have a non-zero gain. Maximum gain may be achieved when the resonance condition is met or when conditions are close to but slightly off resonance. An electron which meets the resonance condition as it enters the undulator 24 will lose (or gain) energy as it emits (or absorbs) radiation, so that the resonance condition is no longer satisfied. Therefore, in some embodiments the undulator 24 may be tapered. That is, the amplitude of the periodic magnetic field and/or the undulator period λu may vary along the length of the undulator 24 in order to keep bunches of electrons at or close to resonance as they are guided though the undulator 24. Note that the interaction between the electrons and radiation within the undulator 24 produces a spread of energies within the electron bunches. The tapering of the undulator 24 may be arranged to maximise the number of electrons at or close to resonance. For example, the electron bunches may have an energy distribution which peaks at a peak energy and the tapering maybe arranged to keep electrons with this peak energy at or close to resonance as they are guided though the undulator 24. Advantageously, tapering of the undulator has the capacity to significantly increase conversion efficiency. The use of a tapered undulator may increase the conversion efficiency (i.e. the portion of the energy of the electron beam E which is converted to radiation in the radiation beam B) by more than a factor of 2. The tapering of the undulator may be achieved by reducing the undulator parameter K along its length. This may be achieved by matching the undulator period λu and/or the magnetic field strength B0 along the axis of the undulator to the electron bunch energy to ensure that they are at or close to the resonance condition. Meeting the resonance condition in this manner increases the bandwidth of the emitted radiation. After leaving the undulator 24, the electromagnetic radiation is emitted as a radiation beam B′. The radiation beam B′ comprises EUV radiation and may form all or part of the radiation beam B which is provided to the beam splitting apparatus 20 (depicted in FIG. 1) and which forms the branch radiation beams B1-20 which are provided to the lithographic apparatus LA1-20. In the embodiment of a free electron laser which is depicted in FIG. 3, the electron beam E′ which leaves the undulator 24 enters a second steering unit 25. The second steering unit 25 alters the trajectory of the electron beam E′ which leaves the undulator 24 so as to direct the electron beam E′ back through the linear accelerator 22. The second steering unit 25 may be similar to the steering unit 23 and may, for example, comprise one or more electromagnets and/or permanent magnets. The second steering unit 25 does not affect the trajectory of the radiation beam B′ which leaves the undulator 24. The steering unit 25 therefore decouples the trajectory of the electron beam E′ from the radiation beam B′. In some embodiments, the trajectory of the electron beam E′ may be decoupled from the trajectory of the radiation beam B′ (e.g. using one or more magnets) before reaching the second steering unit 25. The second steering unit 25 directs the electron beam E′ to the linear accelerator 22 after leaving the undulator 24. Electron bunches which have passed through the undulator 24 may enter the linear accelerator 22 with a phase difference of approximately 180 degrees relative to accelerating fields in the linear accelerator 22 (e.g. radio frequency fields). The phase difference between the electron bunches and the accelerating fields in the linear accelerator 22 causes the electrons to be decelerated by the fields. The decelerating electrons E′ pass some of their energy back to the fields in the linear accelerator 22 thereby increasing the strength of the fields which accelerate the electron beam E arriving from the electron source 21. This arrangement therefore recovers some of the energy which was given to electron bunches in the linear accelerator 22 (when they were accelerated by the linear accelerator) in order to accelerate subsequent electron bunches which arrive from the electron source 21. Such an arrangement may be known as an energy recovering LINAC. Electrons E′ which are decelerated by the linear accelerator 22 are absorbed by a beam dump 26. The steering unit 23 may be operable to decouple the trajectory of the electron beam E′ which has been decelerated by the linear accelerator 22 from the trajectory of the electron beam E which has been accelerated by the linear accelerator 22. This may allow the decelerated electron beam E′ to be absorbed by the beam dump 26 whilst the accelerated electron beam E is directed to the undulator 24. The free electron laser FEL may comprise a beam merging unit (not shown) which substantially overlaps the trajectories of the beam E coming from the source 21 and the beam E′ coming from the steering unit 25. The merging is possible due to the fact that prior to acceleration by the accelerator 22, the energy of the beam E is significantly smaller than the energy of the beam E′. The trajectory of the accelerated electron beam E may be decoupled from the trajectory of the decelerated electron beam E′ by generating a substantially constant magnetic field. The difference in energies between the accelerated electron beam E and the decelerated electron beam E′ causes the trajectories of the two electron beams to be altered by different amounts by the constant magnetic field. The trajectories of the two electron beams will therefore become decoupled from each other. Alternatively, the steering unit 23 may, for example, be operable to generate a periodic magnetic field which has a substantially constant phase relationship with the electron bunches which form the accelerated electron beam E and the decelerated electron beam E′. For example at times at which electron bunches from the accelerated electron beam E enter the steering unit 23, the steering unit 23 may generate a magnetic field which acts to direct the electrons to the undulator 24. At times at which electron bunches from the decelerated electron beam E′ enter the steering unit 23, the steering unit 23 may generate a magnetic field which acts to direct the electrons to the beam dump 26. Alternatively, at times at which electron bunches from the decelerated electron beam E′ enter the steering unit 23, the steering unit 23 may generate little or no magnetic field such that the electrons pass out of the steering unit 23 and to the beam dump 26. Alternatively the free electron laser FEL may comprise a beam splitting unit (not shown) which is separate from the steering unit 23 and which is configured to decouple the trajectory of the accelerated electron beam E from the trajectory of the decelerated electron beam E′ upstream of the steering unit 23. The beam splitting unit may, for example, be operable to generate a periodic magnetic field which has a substantially constant phase relationship with the electron bunches which form the accelerated electron beam E and the decelerated electron beam E′. The beam dump 26 may, for example, include a large amount of water or a material with a high threshold for radioactive isotope generation by high energy electron impact. For example, the beam dump 26 may include aluminium with a threshold for radioactive isotope generation of approximately 15 MeV. By decelerating the electron beam E′ in the linear accelerator 22 before it is incident on the beam dump 26, the amount of energy the electrons have when they are absorbed by the beam dump 26 is reduced. This reduces the levels of induced radiation and secondary particles produced in the beam dump 26. This removes, or at least reduces, the need to remove and dispose of radioactive waste from the beam dump 26. This is advantageous since the removal of radioactive waste requires the free electron laser FEL to be shut down periodically and the disposal of radioactive waste can be costly and can have serious environmental implications. When operating as a decelerator, the linear accelerator 22 may be operable to reduce the energy of the electrons E′ to below a threshold energy. Electrons below this threshold energy may not induce any significant level of radioactivity in the beam dump 26. In some embodiments a decelerator (not shown) which is separate to the linear accelerator 22 may be used to decelerate the electron beam E′ which has passed through the undulator 24. The electron beam E′ may be decelerated by the decelerator in addition to being decelerated by the linear accelerator 22 or instead of being decelerated by the linear accelerator 22. For example, the second steering unit 25 may direct the electron beam E′ through a decelerator prior to the electron beam E′ being decelerated by the linear accelerator 22. Additionally or alternatively the electron beam E′ may pass through a decelerator after having been decelerated by the linear accelerator 22 and before being absorbed by the beam dump 26. Alternatively the electron beam E′ may not pass through the linear accelerator 22 after leaving the undulator 24 and may be decelerated by one or more decelerators before being absorbed by the beam dump 26. Optionally, the free electron laser FEL may comprise one or more bunch compressors. Bunch compressors may be disposed downstream or upstream of the linear accelerator 22. A bunch compressor is configured to bunch electrons in the electron beams E, E′ and spatially compress or stretch existing bunches of electrons in the electron beams E, E′. Compression may be used to increase the conversion efficiency in the undulator 24 by providing a high peak current. Stretching of the bunches may be used to enable transport bunches with low peak current. One type of bunch compressor comprises a radiation field directed transverse to the electron beam E. An electron in the electron beam E interacts with the radiation and bunches with other electrons nearby. Another type of bunch compressor comprises a magnetic chicane, wherein the length of a path followed by an electron as it passes through the chicane is dependent upon its energy. This type of bunch compressor may be used to compress a bunch of electrons which have been accelerated in a linear accelerator 22 by a plurality of conductors whose potentials oscillate at, for example, radio frequencies. It may be desirable for electron bunches entering the undulator 24 to be tightly bunched and therefore have a higher peak current than in other locations within the accelerator. It may therefore be desirable to compress the electron bunches before they pass into the undulator 24 using one or more bunch compressors. A separate bunch compressor (not shown) may therefore be disposed between the steering unit 23 and the undulator 24. Alternatively, or additionally, the steering unit 23 itself may act to bunch the electrons in the electron beam E. An electron bunch which is accelerated by the linear accelerator 22 may have a correlated spread of energies which is a gradient of mean energy along the length of the bunch. For example, some electrons in an electron bunch may have energies which are higher than an average energy of the electron bunch and some electrons in the bunch may have energies which are lower than the average energy. The alteration of the trajectory of an electron which is caused by the steering unit 23 may be dependent on the energy of the electrons (e.g. when the trajectory is altered by a magnetic field). Electrons of different energies may therefore have their trajectories altered by different amounts by the steering unit 23, which may be difference in trajectories may be controlled to result in a compression of an electron bunch. The free electron laser FEL shown in FIG. 3 is housed within a building 31. The building 31 may comprise walls which do not substantially transmit radiation which is generated in the free electron laser FEL whilst the free electron laser FEL is in operation. For example, the building 31 may comprise thick concrete walls (e.g. walls which are approximately 4 metres thick). The walls of the building 31 may be further provided with radiation shielding materials such as, for example, lead and/or other materials which are configured to absorb neutrons and/or other radiation types. Radiation shielding may comprise both materials with high density and high content of heavy elements (e.g. materials having a high Z value) in order to intercept electrons and gamma-photons and in materials with high content of light elements (e.g. materials having a low Z value, such as Hydrogen or Boron) to intercept neutrons. Providing walls of a building 31 with radiation absorbing materials may advantageously allow the thickness of the walls of the building 31 to be reduced. However adding radiation absorbing materials to a wall may increase the cost of constructing the building 31. A relatively cheap material which may be added to a wall of the building 31 in order to absorb radiation may, for example, be a layer of earth or sand. In addition to providing walls of the building 31 which have radiation shielding properties. The building 31 may also be configured to prevent radiation generated by the free electron laser FEL from contaminating ground water below the building 31. For example, the base and/or foundations of the building 31 may be provided with radiation shielding materials or may be sufficiently thick to prevent radiation from contaminating ground water below the building 31. In an embodiment the building 31 may be positioned at least partly underground. In such an embodiment ground water may surround portions of the exterior of the building 31 as well as being below the building 31. Radiation shielding may therefore be provided around the exterior of the building 31 in order to prevent radiation from contaminating ground water which surrounds the building 31. In addition to or as an alternative to shielding radiation at the exterior of the building 31, radiation shielding may also be provided inside of the building 31. For example, radiation shielding may be provided inside the building 31 at locations proximate to portions of the free electron laser FEL which emit large amounts of radiation. It will be appreciated that while an FEL having a particular layout is shown in FIG. 3, the FEL may be otherwise arranged. For example, in other embodiments, the accelerator 22 and the undulator 24 may be arranged in-line. In other embodiments the electron beam which exits the undulator may not be directed back to the accelerator. Generally, therefore, it is to be understood that the FEL may be arranged in any appropriate way. The source SO may comprise a single free electron laser FEL. The free electron laser FEL may supply an EUV radiation beam to the beam splitting apparatus 20 which provides branch radiation beams to the lithographic apparatus LA1-LA20. The radiation source SO may comprise an optical system which includes dedicated optical components configured to direct a radiation beam B output from a free electron laser FEL to the beam splitting apparatus 20 of a lithographic system LS. Since EUV radiation is generally well absorbed by all matter, reflective optical components are generally used (rather than transmissive components) so as to minimise losses. The dedicated optical components of the optical system may adapt the properties of the radiation beam produced by the free electron laser FEL so that it is suitable for acceptance by the tools (e.g. the illumination systems IL of the lithographic apparatus LA1-LA20 and/or a mask inspection apparatuses). Alternatively a radiation source SO may comprise a plurality of free electron lasers (e.g. two free electron lasers) which may each provide an EUV radiation beam, B′, B″ to an optical system. The optical system may be considered to form part of the radiation source SO, or may be considered to be separate to the radiation source SO. The optical system may receive a radiation beam from each of the plurality of free electron lasers and may combine the radiation beams into a composite radiation beam which is provided to the beam splitting apparatus 20 in order to provide the branch radiation beams B1-B20 to the lithographic apparatus LA1-LA20. FIG. 4 is a schematic depiction of a lithographic system LS which includes a radiation source SO comprising a first free electron laser FEL′ and a second free electron laser FEL″. The first free electron laser FEL′ outputs a first EUV radiation beam B′ and the second free electron laser FEL″ outputs a second EUV radiation beam B″. The first free electron laser FEL′ is housed within a first building 31′. The second free electron laser FEL″ is housed within a second building 31″. The first and second radiation beams B′, B″ are received by an optical system 40. The optical system 40 comprises a plurality of optical elements (e.g. mirrors) which are arranged to receive the first radiation beam B′ and the second radiation beam B″ and output a main radiation beam B. At times at which both the first and second free electron lasers are operating, the main radiation beam B is a composite radiation beam which comprises radiation from both the first and second radiation beams B′, B″. The composite radiation beam B is provided to the beam splitting apparatus 20 which provides branch radiation beams B1-B20 to lithographic apparatus LA1-LA20. The arrangement which is depicted in FIG. 4 in which two free electron lasers are arranged to provide radiation beams B′, B″ to form a main radiation beam B, may allow one of the free electron lasers to be turned off whilst radiation is continuously provided to the lithographic apparatus LA1-LA20. For example, one of the free electron lasers may be taken out of operation in order to, for example, allow the free electron laser to be repaired or to undergo maintenance. In this event the other free electron laser may continue to provide a radiation beam which is received by the optical system 40. In the event that only one of the free electron lasers provides radiation to the optical system 40, the optical system 40 is operable to form a main radiation beam B which comprises radiation from the free electron laser which is providing radiation to the optical system 40. This allows for continuous operation of the lithographic apparatus LA1-LA20 even when one of the free electron lasers is taken out of operation. FIG. 5 is a schematic depiction of an embodiment of an optical system 40 according to an embodiment of the invention which is arranged to receive a beam of radiation B′, B″ from each of the free electron lasers FEL′, FEL″ and to output an output radiation beam B. The radiation beam B that is output by the optical system 40 is received by the beam splitting apparatus 20 (see FIG. 1). The optical system 40 comprises four optical elements: first and second optical elements 50, 51 associated with the free electron laser FEL′; and first and second optical elements 52, 53 associated with the free electron laser FEL″. The optical elements 50, 51, 52, 53 are arranged to alter the size and shape of the cross section of the radiation beams B′, B″ from the free electron lasers FEL′, FEL″. In particular, the first optical elements 50, 52 are convex mirrors, which act to increase the cross sectional area of the radiation beams B′, B″ from the free electron lasers FEL′, FEL″. Although in FIG. 5 the first optical elements 50, 52 appear to be substantially flat in the x-y plane they may be convex both in this plane and in the z direction. Since the first optical elements 50, 52 are convex, they will increase the divergence of the EUV radiation beams B′, B″, thereby decreasing the heat load on mirrors downstream of them. The first optical element 50 is therefore a diverging optical element arranged to increase the cross sectional area of the radiation beam B′ received from the first free electron laser FEL′. The first optical element 52 is a diverging optical element arranged to increase the cross sectional area of the radiation beam B″ received from the second free electron laser FEL. This may allow mirrors downstream to be of a lower specification, with less cooling, and therefore less complex and expensive. Additionally or alternatively, it may allow the downstream mirrors to be nearer to normal incidence. In practice, as described below, the radiation beam B output by the radiation source SO may be split by a plurality of consecutive, static, edge-forming mirrors arranged in series in the path of the beam B. Increasing the size of the beam B (by, for example, using convex mirrors as the first optical elements 50,52) may reduce the accuracy with which such static mirrors need be located in the beam B path. Therefore, this allows for more accurate splitting of the output beam B by the splitting apparatus 20. The second optical elements 51, 53 are concave and are complementary in shape to the first optical elements such that the beams leaving the second optical elements 51, 53 have substantially zero divergence. Therefore, downstream of the second optical elements 51, 53 the beams are substantially collimated. Again, although in FIG. 5 the second optical elements 51, 53 appear to be substantially flat in the x-y plane they are in fact concave both in this plane and in the z direction. Alternatively, any of the mirrors 50, 51, 52, 53 may be hyperbolic-parabola-like in shape, so as to have both positive and negative curvatures. Alternatively, the mirrors 50 to 53 may be flat and used solely to control shift and tilt of the beam. Additionally, radiation absorbers may be provided behind the mirrors 50, 52, in order to intercept gamma photons, and neutrons co-propagating with beams B′ and B″ and originating in the undulators 24 due to Bremsstrahlung radiation. Again, radiation shielding may, for example, be provided by high density, high Z value materials, possibly in combination with low density, low Z value materials). It may be preferable for the output beam B, which is received by the beam splitting apparatus 20, to have a different shape and/or intensity distribution to that output by the free electron lasers FEL′, FEL″. For example, a rectangular shape may be preferable to a circular beam for consecutive edge-forming extraction mirrors within the beam splitting apparatus 20. Therefore, in addition to increasing the cross sectional area of the radiation beams B′, B″, the optical elements 50, 51, 52, 53 may act to alter the cross sectional shape of the radiation beams B′, B″. In particular, the optical elements 50, 51, 52, 53 may be astigmatic or aspherical and may be shaped so as to ensure that the radiation beams B′, B″ leaving the second optical elements 51, 53 are more rectangular in shape than the radiation beams B′, B″ produced by the free electron lasers FEL′, FEL″. For example, the optical elements may be shaped so that the beams B′, B″ leaving the second optical elements 51, 53 are generally rectangular but with rounded corners, although other shapes are also possible. The two dimensions of such a rectangular shape may be related to radii of curvature of the optical elements in two perpendicular directions such as, for example, in the x-y plane and in the z direction. Advantageously, this allows the mirrors that are used to split the output radiation beam B into branch radiation beams B1-B20 (see FIG. 1) before they enter the lithographic apparatuses LA1-LA20, to be identical or at least very similar. This is especially beneficial from a manufacturing point of view. In addition to the shape of the cross section of the beam leaving optical system 40, the optical system 40 may be operable to modify an intensity profile over the cross section of the radiation beam B in comparison to the intensity profiles of the beams B′ and B″. For example, the intensity profile may be modified from a Gaussian to a more flat “top hat” profile. Such modifications may allow for more straightforward extraction of portions of the beam B by the beam splitting apparatus 20, as is described in further detail below. When both of the free electron lasers FEL′, FEL″ are on, the optical system 40 is operable to combine their radiation beams B′, B″ to form a composite radiation beam B. In this embodiment, this is achieved by offsetting the first and second optical elements 50, 51 of the first free electron laser FEL′ from those 52, 53 of the second free electron laser FEL″ in the x-direction so that the beams B′, B″ leaving the second optical elements 51, 53 are both adjacent to each other and mutually parallel. In particular, the first and second optical elements 50, 51 of the first free electron laser FEL′ are disposed “downstream” (with respect to the direction of propagation of the laser beams B′, B″) of those 52, 53 of the second free electron laser FEL″. In such an arrangement, the optical system 40 is operable to combine the two radiation beams B′, B″ to form a composite radiation beam. The composite beam is the output radiation beam B output by the optical system 40. It will be appreciated that FIG. 5 is merely exemplary and that the optical system 40 may be implemented other than as shown in FIG. 5. Although embodiments of a free electron laser have been described above as comprising a linear accelerator 22, it should be appreciated that a linear accelerator 22 is merely an example of a type of particle accelerator which may be used to accelerate electrons in a free electron laser. A linear accelerator 22 may be particularly advantageous since it allows electrons having different energies to be accelerated along the same trajectory. However in alternative embodiments of a free electron laser other types of particle accelerators may be used to accelerate electrons to relativistic energies. Embodiments of a free electron laser have been described in which an electron beam propagates along a first path and substantially in a first direction and along a second path and substantially in a second direction, wherein the first path and the second path are vertically separated from one another. Whilst embodiments have been described and depicted in which the first and second paths are substantially parallel with each other and are substantially parallel with a horizontal direction, other arrangements may instead be used. For example, in some embodiments the first path and/or the second path may be disposed at a non-zero angle with respect to the horizontal whilst remaining vertically separated from each other. In some embodiments the first and second paths may form different angles with respect to the horizontal and may therefore be disposed at a non-zero angle with respect to each other. Whilst embodiments of a radiation source SO have been described and depicted as comprising two free electron lasers FEL, it should be appreciated that a radiation source may comprise any number of free electron lasers FEL. For example, a radiation source may comprise a single free electron laser FEL or may comprise a number of free electron lasers which is greater than two. Whilst embodiments of a radiation source SO have been described and depicted as comprising an optical system 40, it should be appreciated that some embodiments of a radiation source SO may not include an optical system 40. For example, a free electron laser may provide a radiation beam B′ directly to a beam splitting apparatus 20 of a lithographic system LS without first being directed to an optical system 40. As described above, the radiation beam B produced by the source SO may be split into a plurality of branch radiation beams for provision to a plurality of tools, such as lithographic apparatus and mask inspection apparatus. Beam splitting arrangements suitable for splitting the radiation beam B into branch radiation beams using a plurality of static mirrors are now described. By static it is to be understood that the mirrors do not move during normal operation, or put another way, that splitting is not achieved through movement of the mirrors. Therefore the relative fraction of the main radiation beam that is incident upon each static mirror remains substantially constant during normal operation. Although the mirrors described below are static, they may be adjustable, to allow, for example, for adjustment of overlap of the mirrors with the main radiation beam B and/or alignment of branch radiation beams B (e.g. during installation of the lithographic system or installation of new tools to an existing lithographic system). FIG. 6 is a schematic depiction a beam splitting apparatus 54 which is suitable for splitting the radiation beam B to provide two or more branch radiation beams. In the arrangement 54, the radiation beam B is directed at a splitting element 55 having a first reflective surface 56 arranged to reflect a first portion of the radiation beam B, and a second reflective surface 57 arranged to reflect a second portion of the radiation beam B. The first reflective surface 56 and the second reflective surface 57 meet to form an edge 58 that is disposed in the path of the radiation beam B. The splitting element 55 may be considered to provide two static mirrors. The splitting element 55 may be formed, for example, as a triangular prism, although it is to be appreciated that any construction may be used. Reflection of a first portion of the beam B that is incident on the first reflective surface 56 provides a first branch radiation beam B1, while reflection of a second portion of the radiation beam B from the second reflective surface 57 provides a second branch radiation beam B2. The branch radiation beams B1, B2 may be directed to tools such as, for example, a lithographic apparatus or mask inspection apparatus, without further splitting. Alternatively, either or both of the branch radiation beams B1, B2 may be provided to further splitting means, such as, for example, further edge-forming splitting elements. This possibility is illustrated by a further edge-forming splitting element 59, depicted in dashed outline, disposed in the path of the branch radiation beam B2. While not shown in FIG. 6, it will be appreciated that branch radiation beams provided by splitting the branch radiation beam B2 using the splitting element 59 may themselves be provided to further splitting elements. An angle α between the first surface 56 and the second surface 57 together with the angle α1 (not shown) between the knife edge of the prism (intersection of surface 56 and 57) and the beam B determine the angle of incidence of the portions of the radiation beam on the first and second surfaces 56, 57. The angle α and/or α1 may be made sufficiently small that the radiation beam B is at a grazing incidence angle with respect to each of the surfaces 56, 57 so as to reduce absorption and increase reflectance of EUV radiation. For example, the angle α and/or α1 may be 10 degrees or less. Reducing absorption by the splitting element 55 is also desirable to reduce heating, hence thermal stress, within the splitting element 55, and in particular heating of the edge 58, which may have a small cross sectional area. In order to reduce heating of the splitting element 55 further, the splitting element 55 may be cooled by active cooling means (not shown). For example, a liquid coolant may be circulated within the splitting element 55 to transport heat away. For example, channels may be provided on a reverse side of the reflective surfaces 56, 57 and along the edge 58. Other cooling means may alternatively be used. The splitting element 55 may be constructed from any appropriate material. For example, the splitting element 55 may be constructed from copper. Constructing the splitting element 55 from copper may be advantageous given copper's high thermal conductivity. In order to increase reflectivity, a material having a high reflectivity at a desired wavelength of radiation may be deposited on the reflective surfaces 56, 57 of the splitting element 55. For example, molybdenum (Mo) or ruthenium (Ru) which have a high grazing incidence reflectivity for radiation having wavelengths of 13.5 nm may be used. Coatings of other materials may be used for other high grazing incidence reflectivity of radiation having other wavelengths, such as Nb, Zr, Ca, Eu, Pd, Ru, Rh, Te, La, Be, B, C, Ti, Sc, Au and Pt. A distance d between the edge 58 of the splitting element 55 and a bottom edge of the radiation beam B may be controlled in order to vary the amount of radiation that is provided to the branch radiation beams B1, B2. In FIG. 6 the edge 58 is shown disposed at a central point of the radiation beam B such that the ratio between the branch radiation beams B1, B2 is substantially 50:50. By reducing the distance d, however, the amount of the radiation beam B that contributes to the branch radiation beam B1 is increased while the amount of the radiation beam B that contributes to the branch radiation beam B2 is decreased. Increasing the distance d will have the opposite effect. While depicted as substantially planar in FIG. 6, the reflective surfaces 56, 57 may be curved in order to increase divergence of the branch radiation beams B1, B2. For example, each of the surfaces 56, 57 may be concave or convex. Alternatively or additionally, optics placed in the path of the branch radiation beams B1,B2 may be provided to condition the branch radiation beams for provision to particular tools or to further splitting arrangements. Advantageously, the splitting element 55 provides for a large degree of separation between the branch radiation beams B1, B2 within a small distance. For example, it will be appreciated that a 10 degree angle α provides 10 degree deflection angles of the branch radiation beams B1, B2 with respect to the radiation beam B. FIG. 7 schematically illustrates an alternative arrangement for splitting a radiation beam B into a plurality of branch radiation beams. In the example arrangement of FIG. 7, a beam splitting apparatus 60 is operable to receive the radiation beam B from the source SO (not shown) and split it into branch radiation beams. Three branch radiation beams, B1-3 are depicted in FIG. 7, though it will be readily appreciated that more or fewer branch radiation beams may be created using the general arrangement of FIG. 7. The beam splitting apparatus 60 includes a convex mirror 61 which is a grazing incidence mirror. The radiation beam B received by the beam splitting apparatus 60 is incident upon the convex mirror 61, which acts to increase the divergence of the main radiation beam B. The convex mirror 61 is an example of a diverging optical element (i.e. an optical element which acts to cause divergence of a radiation beam). One or more additional diverging optical elements may be provided in the path of the radiation beam B. The beam splitting apparatus 60 further comprises three mirrors 62a-c, each of which is disposed in the path of the main radiation beam B. Each of the mirrors 62a-c extends partially across the radiation beam B and reflects the part of the main radiation beam with which it intersects. Each of the mirrors 62a-c deflects a respective portion B1-3 of the main radiation beam B along a different branch optical path. One or more of the branch radiation beams B1-3 may be directed to respective tools, such as a lithographic or mask inspection apparatus. Additionally or alternatively, one or more of the branch radiation beams may be directed to further splitting means in order to split each of the branch radiation beams B1-3 into further branch radiation beams. A front view of the first mirror 62a is shown in FIG. 7 to illustrate schematically the intersection of the main radiation beam B with that mirror. The first mirror 62a intersects with a solid area 63 of the main radiation beam B, and reflects this area of the main radiation beam B. Thus, the first branch radiation beam B1 has the cross-sectional shape of a section taken from a disk. As described above, although the mirrors 62a-c are static, they may be provided on adjustable mounts, to allow, for example, for adjustment of the overlap of the mirrors 62a-c with the main radiation beam B and/or alignment of branch radiation beams B1-3. As with the splitting element 55 described above, the mirrors 62a-c may be constructed in any appropriate way and may, for example, be formed from metal. The mirrors 62a-c may be grazing incidence mirrors. As described above, the use of grazing incidence mirrors is advantageous because a reflection from a grazing incidence mirror gives rise to a relatively low loss of EUV radiation (e.g. a loss of around 10%). Other optics of the beam splitting apparatus may also be formed from grazing incidence mirrors, which may for example be metal or coated Si. The convex mirror 61 increases the cross-sectional area of the main radiation beam B at the location of each of the mirrors 62a-c. Such optics may be referred to as diverging optics herein. It is to be understood that similar diverging optics may be used in combination with others of the beam splitting apparatus described herein, such as the beam splitting element 55 described above, and with beam splitting apparatus described in FIGS. 8, 9 and 10 below. Since the main radiation beam B is produced by one or more free electron lasers, it may have a relatively small divergence and therefore a small diameter at the splitting apparatus 60 (depending upon the distance of the splitting apparatus from the free electron laser that produces the radiation beam B. The smaller the dimensions of the main radiation beam B, the more accurately the mirrors 62a-c must be placed to ensure a desired fraction of the beam B is diverted from the main radiation beam B. The convex mirror 61 increases the dimensions of the main radiation beam B, allowing the mirrors 62a-c to be accurately positioned more easily so as to divert a desired fraction of the main radiation beam B along each of the branch optical paths B1-3. Furthermore, by increasing the divergence of the main beam B, the intensity of radiation incident upon optical elements downstream of the convex mirror 61, such as mirrors 62a-c, is reduced. This reduces the concentration of heat on the mirrors caused by the main beam B. This is advantageous because the amount of heat in the main radiation beam B is substantial, and active cooling of the mirrors may be needed. The dimensions of the main radiation beam B will be relatively small upstream of the convex mirror 61. Therefore the convex mirror 61 may be provided with active cooling. The active cooling may be achieved by supplying a cooling fluid, for example a liquid such as water. Since the mirrors 62a-c only extend partially across the main radiation beam B, the radiation beams propagating along the branch optical paths may have non-standard beam profiles. For example, referring to FIG. 7, the first branch radiation beam B1 has the general cross-sectional shape 63 of a segment of a circle. This beam shape may not desirable when projecting a pattern from a mask MA to a substrate W using a lithographic apparatus. Optics placed in the path of the branch radiation beams may be arranged to modify the beam shape of the branch optical beam to provide a desired beam shape. For example, referring to FIG. 2, the illumination system IL of the lithographic apparatus may be configured to modify the beam shape to provide a desired beam shape. This may be achieved for example by using an array of mirrors (e.g. the field facet mirror 10) to separate the beam into a plurality of sub-beams, each sub-beam being a different part of the area 63 of the branch radiation beam B1. The field facet mirror directs the plurality of sub-beams onto the same location on a mirror such that the sub-beams are incident on top of one another. In this way the sub-beams are combined together. Different edge features of different sub-beams overlap one another and are thereby smoothed away to form a beam having a more useful cross-sectional shape. The cross-sectional shape may correspond with the shape of facets of the field facet mirror. The initial undesirable shape of the branch radiation beam B1 is thus removed and replaced with a desired radiation beam shape. In general, any suitable optics for obtaining a desired beam shape may be used. This may comprise separating an incident beam into a plurality of sub-beams which are then directed such that they are incident on top of one another. In comparison with the arrangement of FIG. 6 the arrangement of FIG. 7 does not comprise sections of particularly small cross-sectional area (such as the edge 58 of the splitting element 55 of FIG. 6). This allows the mirrors 62a-62c of FIG. 7 to better withstand heat absorbed from the radiation beam B. Referring to FIG. 8, an alternative beam splitting apparatus 70 is depicted. The beam splitting apparatus 70 comprises a series of static mirrors 71a-b, each of which is provided with a plurality of apertures 72, and each of which extends across the entire main radiation beam B. A third (and final) static mirror 71c does not comprise apertures. A portion of the main beam B which is incident on reflective areas of the first mirror 71a is directed along a branch optical path as first branch radiation beam B1. A portion of the main beam B which is incident on the apertures 72 of the first mirror 71a passes through the apertures and is undeflected. The second mirror 71b reflects a portion of the main radiation beam B along a second branch optical path as a second branch radiation beam B2 while allowing some of the main beam to pass undeflected through the apertures 72 in the mirror 71b. The third mirror 71c reflects the remainder of the main radiation beam B along a third branch optical path as a third branch radiation beam B3. The embodiment of FIG. 8 will form branch radiation beams B1-3 which have arrays of holes in them and which may therefore not be suitable for pattern projection by a lithographic apparatus. As explained above in relation to FIG. 7, optics may be arranged in the path the branch radiation beams for modifying the branch radiation beam to obtain a desired branch radiation beam shape. This may comprise separating a branch radiation beam into a plurality of sub-beams which are then directed such that they are incident on top of one another. It will be appreciated that while only three mirrors 71a-71c are shown in FIG. 8, additional (or fewer) mirrors may be provided in such an arrangement. While the reflective surfaces of the mirrors 71a-71c are shown as substantially planar in FIG. 8, one or more of the mirrors 71a-71c may be curved in order to increase divergence of the branch radiation beams. FIG. 9 illustrates, in side-profile, a beam splitting apparatus 80 for splitting the main radiation beam B into a plurality of branch radiation beams. In the arrangement 80, three static mirrors 81, 82, 83 are arranged in the path of the radiation beam B. Each mirror 81, 82, 83 is arranged at an angle with respect to the path of propagation of the radiation beam B to reflect a portion of the radiation beam B along a respective branch optical path. The first mirror 81 is a ring mirror having an outer ring-shaped reflective portion 81a arranged to reflect a portion of the radiation beam B. The ring-shaped reflective surface 81a defines an aperture 81b through which a remaining portion of the radiation beam B passes in the direction of the second mirror 82. Reflection by the first mirror 81 provides a ring shaped branch radiation beam B1. The branch radiation B1 is depicted in cross section as viewed along a longitudinal axis of the branch radiation beam B1 above the first mirror 81 in FIG. 9. The second mirror 82 is also a ring mirror having a reflective outer ring 82a arranged to reflect a second portion of the radiation beam B to provide a second branch radiation beam B2. The outer ring 82a defines an aperture 82b, which is smaller than the aperture 81b. A third portion of the radiation beam B passes through the aperture 82b in the second mirror 82 in the direction of the third mirror 83. In the depiction of FIG. 9, the third mirror is a solid mirror having an unbroken reflective surface 83a (i.e. without an aperture) arranged to reflect the remaining portion of the radiation beam B to provide a third branch radiation beam B3. It will of course be appreciated, however, that additional ring mirrors, with increasingly smaller apertures may be provided in the path of the radiation beam B. As described above, with respect to other beam splitting apparatus, the mirrors 81, 82, 83 may constructed from any appropriate material, such as metal. As in the examples described above with respect to FIGS. 6, 7 and 8, the branch radiation beams produced by the arrangement 80 may be provided to tools such as lithographic tools or mask inspection apparatus. Alternatively, or additionally, one or more of the branch radiation beams may be provided to further splitting apparatus to provide additional branch radiation beams. Ring mirrors of the type illustrated in FIG. 9 may advantageously be constructed so as to provide sufficient material around the aperture that heat is conducted away from portion of the mirrors on which the radiation beam B is incident. Additionally, the arrangement of FIG. 9 is such that variations of the position of the radiation beam B, or variations in the intensity distribution of the beam B, cause less variation in the intensity of the respective branch radiation beams B1-3. That is, for each of the ring mirrors 81, 82, a decrease in the intensity of radiation received at one part of the ring-shaped reflective surface is generally compensated for by an increase in the intensity of radiation received at a different part of the ring-shaped reflective surface. An intensity distribution of the branch radiation beams B1, B2, B3 produced by the apparatus 80 may therefore be substantially invariant to shifts in the position of the main radiation beam B, such shifts being caused by operation of the one or more FELs within the source SO. There are now described embodiments in which a splitting apparatus is provided by a mirror comprising grooves to divide a reflective surface of the mirror into a plurality of groups of faces. The faces within a particular group each have a particular orientation which is different to faces in other groups. Generally, the faces of the mirrors may be micro-scale or macro-scale. For example, faces of the mirrors and a pitch between faces may be of the order of micrometres (micro-scale) or larger (macro-scale—for example, of the order of millimetres). In either case, radiation incident on the mirror is reflected from each face of the mirror, causing a plurality of reflective portions or “sub-beams”. In both cases, also, radiation reflected from the faces is subject to diffraction. That is, interaction with the faces of the mirror will cause each of the sub-beams to spread out (diverge). The amount of divergence of the sub-beams will depend upon the size and pitch of the faces, with a greater divergence of the sub-beams occurring in the case of micro-scale mirrors. Both micro-scale mirrors and macro-scale mirrors are referred to herein as gratings. For both micro-scale and macro-scale gratings, as the grating comprises a plurality of reflective faces, the gratings may be considered to provide a plurality of static mirrors. As is described in more detail below, both micro-scale gratings and macro-scale gratings may be used as a splitting apparatus to provide a plurality of branch radiation beams from a single incident radiation beam. In each case, however, the way in which splitting occurs may be different. For macro-scale gratings, reflection of sub-beams in different directions may be the dominant process of splitting an incident radiation beam into a plurality of branch radiation beams (e.g. a different branch beam may be provided for each direction of reflection). Diffraction of the sub-beams from a macro-scale grating may be sufficient to cause, in a far-field (for example at the entrance to an illuminator), a small overlap between sub-beams travelling in the same direction. This overlap may cause a smoothing of the intensity profile of each branch radiation beams. For micro-scale gratings, where diffraction is much greater, the sub-beams from multiple faces overlap significantly, resulting in an interference pattern in the far-field. Each maxima within the interference pattern may provide a respective branch radiation beam. For example, a grating causing diffraction with 0th order, 1st order and −1st order beams may be used to provide three branch radiation beams. Referring to FIG. 10 a mirror 90 is provided in the path of the main radiation beam B. FIG. 10A presents a side-profile view of the mirror 90, FIG. 10B presents a top-down view, and FIG. 10C presents a cross-section of the mirror 90. It is to be understood, however, that the depictions in FIG. 10 are merely schematic. The mirror 90 may be a grazing incidence mirror. The mirror 90 comprises a reflective surface 91. A plurality of regularly spaced grooves 92 extend across the reflective surface 91 in a direction substantially perpendicular to the direction of propagation of the radiation beam B to provide a grating. The grooves 92 may be formed by any suitable process such as, for example, etching, stamping or electroforming. The grooves 92 divide the reflective surface 91 into a plurality of groups of reflective faces, wherein the faces within each group are substantially parallel, but at different angles with respect to the faces of each other group. Each group of faces therefore acts to reflect portions of the radiation beam B in a respective direction. In this way, each of the faces may be considered to be a respective static mirror, the plurality of faces providing a plurality of static mirrors. Referring to FIG. 10C, the mirror 90 is shown in cross-section along the line A-A in FIG. 10B. It can be seen that in the example arrangement the grooves 92 of the mirror 90 are asymmetrical so as to provide a substantially grazing incidence angle with respect to the radiation beam B on at least two of the three groups of faces. That is, when viewed in cross section, a right-hand side of each groove 92 is a different length and orientation to a left-hand side of each groove 92. The grooves 92 form a plurality of ridges 95, dividing the reflective surface 91 into three groups of reflective faces. Top faces of each ridge 95 form a first group of faces S1, left-hand sides of each ridge 95 form a second group of faces S2 and right-hand sides of each ridge 95 form the third group of faces S3. The mirror 90 may comprise any suitable number of reflective faces in each group, and may, in one example embodiment, comprise of the order of 1000 reflective faces in each group. In the case of macroscopic grooves, portions of the radiation beam B which are incident on faces of the first group S1 are each directed in a first direction, portions of the radiation beam B which are incident on faces of a second group S2 are each directed in a second direction, and portions of the radiation beam B which are incident on faces of a third group S3 are each directed in a third direction. In the case of microscopic grooves diffraction of the radiation beam B on the faces of all groups S1, S2, S3, or on edges between faces of all groups S1, S2, S3, will produce several branches, which can be for example two or three branches with approximately even power distribution between the branches. Referring to FIG. 10A it may be considered that portions P1, P4 are reflected from faces of the first group S1, portion P3 is reflected from faces of the second group S2, and portions P2, P5 are reflected from faces of the third group S3. It will be appreciated, however, that depiction of the portions of branch radiation beams is merely schematic. As described above, interaction between the reflected portions P1-P5 to create branch radiation beams will differ depending upon the scale of the grating. Where the grating 90 is a macro-scale grating, only radiation from a single group of faces contributes to each respective branch radiation beam. As such, for a macro-scale grating, the portions P1 and P4 (together with other sub-beams reflected from S1 faces) will form one branch radiation beam, the portion P3 (together with other sub-beams reflected from S2 faces) will from a second branch radiation beam, and the portions P2 and P5 (together with other sub-beams reflected from S3 faces) will form a third branch radiation beam. Where the grating is a micro-scale grating, a plurality of branch radiation beams is generated due to diffraction of all sub-beams of radiation reflected from the grating 90, such that sub-beams reflected from different groups of faces will contribute to the final interference pattern, and therefore the respective branch radiation beams. In the example embodiment of FIG. 10 the grooves 92 extend generally perpendicular to the direction of propagation of the radiation beam B. In an alternative embodiment, illustrated in FIG. 11, a mirror 100 is provided having grooves 101 extending generally parallel to the direction of propagation of the radiation beam B to provide a grating with three groups of reflective faces, each group of faces reflecting portions of the radiation beam B in a different respective direction. FIG. 11A schematically depicts the mirror 100 in a top-down view, while FIG. 11B schematically depicts a cross section of the mirror 100 along the line A-A shown in FIG. 11A. Referring to FIG. 11B, it can be seen that the grooves 101 form a plurality of parallel ridges 102. Top faces of each ridge 102 form a first group of faces S1, left-hand sides of each ridge 102 form a second group of faces S2 and right-hand sides of each ridge 102 form the third group of faces S3. The mirror 100 may comprise any suitable number of reflective faces in each group, and may, in one example embodiment, comprise of the order of 1000 reflective faces in each group. As described above, for macro-scale gratings, each branch radiation beam comprises a plurality of sub-beams, each sub-beam comprising a portion of the radiation beam B reflected from a different face within a single group. Since each of the faces within a given group of faces is substantially parallel, each of the sub beams is substantially parallel, at least in the near field of the mirror 90, 100. As such, in the near field (on or very close to the mirror 90, 100), the power distribution of each branch radiation beam will be similar in shape to that of the radiation beam B except for a plurality of strips throughout the power distribution of each branch radiation beam, corresponding to locations of the faces of other groups, where the power is substantially zero. This is depicted in FIG. 12A which shows an intensity distribution 103 of the radiation beam B. An intensity distribution of a branch radiation beam B1 in the near field (i.e. on or very close to the mirror 90, 100) is depicted by a plurality of shaded sub-sections of the intensity distribution 103. That is, each of the shaded sections of the intensity distribution 103 corresponds to a portion of the radiation beam B that is incident upon faces of the mirror 90, 100 belonging to a single group of faces. For example, each of the shaded subsections labelled B1 in FIG. 12A may correspond to a respective portion of radiation reflected from the S1 faces of the mirror 90, 100. Gaps between the shaded sub-sections represent parts of the radiation beam B which are incident upon faces of different groups. Due to the non-zero divergence of the branch radiation beams B1, B2, B3 (caused to some extent by diffraction), the plurality of sub beams of each branch radiation beam will overlap in the far field and will combine to form a power distribution that is substantially similar in shape to the radiation beam B as depicted in FIG. 12B. The far field may be, for example, the entrance to a lithographic tool, such as the lithographic tool LA1, shown in FIG. 2. It will be appreciated that the distance over which the intensity distribution of the branch radiation beams becomes substantially homogenous will vary in dependence upon the particular pattern of grooves disposed on the particular mirror. In some embodiments, however, the far field may be, for example, of the order of 50 meters away from the mirror. In the case of micro-scale gratings, the interference between the sub-beams reflected from the faces of the grating also results in branch radiation beams having, in the far field, substantially the same intensity distribution as the radiation beam B. The mirrors 90, 100 can be formed from silicon by, for example, anisotropic etching along crystal planes of a silicon wafer. Referring again to FIG. 11B, and assuming that the mirror 100 is formed from silicon, for example, the top faces S1 may be formed along the (100) crystallographic plane and the faces S2, S3 formed along the (111) and (−111) crystallographic planes. In this case, the angle at the bottom of the grooves will be approximately 70.5 degrees (or the supplementary angle of approximately 110 degrees) and the grooves 101 and ridges 102 will extend along the <01-1> direction. The direction of the incoming radiation beam B may be disposed at a small (grazing incidence) angle to the <01-1> direction. It will be appreciated that various layouts are possible depending on the <h k l> direction of top the surface. A grating in which the top faces S1 are formed along the (100) crystallographic plane and the faces S2, S3 are formed along the (111) and (−111) crystallographic planes would form three branch radiation beams, with the ratios of intensities of the branch radiation beams being dependent on the ratio of the width of the S1 faces to the pitch of the grating, as well as upon angle of incidence of the beam B upon the grating and the angle the grooves make with respect to the plane of incidence of beam B (which can be 0 degrees—grooves parallel to the beam B; 90 degrees—grooves perpendicular to the beam B; or any other angle). It may be desirable to provide branch radiation beams of equal power. In this case, the parameters above can be optimized to tune the grating for a particular angle of incidence of beam B. Additional examples of possible micro-grating constructions are provided in Table 1 below, showing a percentage of energy in each order of diffraction. TABLE 1LinesDuty cycle% energyCrystalPerθφ% non-etchedin orderorientationmm[°][°]surf.01−12−2<101>45089.523.0405462<101>45089.522.9374499<101>90089.022.9374499<101>180088.022.9374499<101>66689.0049233377<101>70089.0044144700<100>100088.7038233766<100>100088.9026333222<101>40089.10453111178833<101>45089.00443111185555<101>90088.00443111185555<100>40089.106532299811<100>80088.705133344000 In Table 1, the crystal orientation column refers to the crystal orientation of the top faces of the grating (e.g. the faces in group S1 in FIG. 11B). The lines per mm column indicates a number of grooves per mm of the grating. A first angle θ and a second angle φ indicate an orientation of the grating with respect to an incoming radiation beam. The angles θ and φ are illustrated in FIG. 11C. In FIG. 11C, top faces of the grating 100 define an x-y plane, with each of the grooves 101 extending along the y-direction. A line 105 represents an orthogonal projection of the incident radiation beam B onto the x-z plane. The angle φ is the angle between the orthogonal projection 105 and the z axis. Put another way, the incident radiation beam B together with the y-axis defines a plane 106. The angle φ is the angle that the plane 106 makes with the z axis. The angle θ is the angle between the incident radiation beam B and its orthogonal projection 105. The “duty cycle” column indicates the percentage of the top surface of the grating that is “flat” (i.e. non-etched). For example, a value of 40% in the duty cycle column indicates that 40% of the surface of the grating has not been etched, while 60% of the top surface has been etched to form the grooves. The mirror 90, 100 may be provided with a coating of a more reflective (less absorbing) material (for EUV radiation). For example, the mirror may be provided with a coating of ruthenium (Ru) or molybdenum (Mo). This may, for example, have a thickness of around 50 nm. An advantage of using silicon for mirrors (such as the mirrors 90, 100, and the mirrors described above with reference to other splitting apparatus) is that thermal expansion during operation may be limited by operating at approximately 123 K. At this temperature the heat conductivity of silicon is of the order of 600 W/m/K or more, which is a factor of 4 better than its heat conductivity at room temperature and around 50% better than the heat conductivity of copper (Cu). Therefore, even a relatively large heat load can be withstood, while keeping the temperature of the mirror 90, 100 in the range where expansion of the mirror 90, 100 is low and the mirror 90, 100 maintains its designed structural dimensions. Advantageously, the use of mirrors such as those described with reference to FIGS. 10 and 11 can provide branch radiation beams with substantially equal power, and with an intensity distribution in the far field (e.g. at a lithographic tool) that is substantially similar to the intensity distribution of the radiation beam B before splitting. Additionally, gratings such as the mirrors 90, 100 may be used to split the radiation beam B without first expanding the radiation beam B with dedicated expansion optics, or without first forming the intensity distribution of the radiation beam B into a flat-top intensity distribution. Generally, it may be necessary to place such expansion/flat-top forming optics at a significant distance (for example 50 meters) from the exit of the FEL, creating a very low tolerance to pointing and divergence instability. Pointing and divergence instability will cause the radiation beam B to shift with respect to the expansion/flat-top forming optics, potentially causing distortion of the expanded beam. Such distortion of the radiation beam B before splitting can lead to variations in the power within the respective branch radiation beams, and therefore variations in the power that is provided to, for example, each lithographic apparatus, or mask inspection tool. It may additionally be difficult to consistently achieve a flat-top intensity distribution from the flat-top forming optics. While the power distribution of the radiation beam B may be substantially Gaussian, the power distribution will not be exactly Gaussian and may vary significantly as a result of parameters and settings of the FEL which may vary during operation. Also the angle of trajectory of the radiation beam B (beam pointing) may vary in time, leading to significant deviations of the flat-top intensity profile generated by flat-top forming optics. By providing a splitting grating which is invariant to shifts of the radiation beam B, before expanding the beam using expansion optics (where desired), the disadvantages associated with expanding the radiation beam or conditioning the intensity distribution to provide a flat-top intensity distribution before splitting, may be avoided. Indeed, as described above, the process of splitting the radiation beam B with a grating of the type described herein, scaled copies of the beam B may be provided, and is insensitive to pointing errors and to the shape of the intensity profile of the radiation beam B. While the grating (or one or more of the gratings where a plurality are provided) may be positioned before (upstream of) beam expanding and/or flat-top forming optics, the grating (or one or more gratings) may be positioned after (downstream of) one or more flat mirrors. Reflection of the radiation beam B by one or more flat mirrors may be used to protect the grating from Bremsstrahlung radiation, while avoiding amplification in variation of beam angle or position which may result from reflection by curved mirrors. It will be appreciated that while the mirrors 90, 100 each provide a grating for splitting a radiation beam into three branch radiation beams, gratings may be provided which split a radiation beam into a different number of branch radiation beams. Generally, a grating may be provided which splits a radiation beam into two or more branch radiation beams. As described above, it may be desirable to orient the mirror 90, 100 at a grazing angle of incidence. In some embodiments, however, configurations such as that depicted in FIG. 11 may limit the useable angles of incidence. In particular, for some angles of incidence of the radiation beam B with respect to the mirror 100, portions of radiation reflected from the faces S3 or S4 may be at least partially incident upon an opposing S2 or S3 face of an adjacent ridge. Accurate splitting of the radiation beam B into a desired number of branch radiation beams may therefore be difficult for some angles of incidence. FIG. 13 illustrates an alternative embodiment of a mirror 110 which provides a reflective grating. Like the mirror 100, the mirror 110 comprises a plurality of ridges 111 and the ridges 111 provide three groups of face features; a first group of face features S1, a second group of face features S2 and a third group of face features S3. In the embodiment of FIG. 13, however, the S2 face of each ridge is separated from the S3 face of an adjacent ridge by a distance f at the closest points of each face. The distance f can be selected so as to ensure that radiation reflected from an S2 or an S3 face is not subsequently incident on an S2 or S3 face of an adjacent ridge. The mirror 110 may be constructed, for example, by providing a base portion 112 of a material that is etch resistant with respect to the etching process used to etch a top layer of silicon to provide the ridges 111. For example, the based portion may be made from silicon dioxide (SiO2) or silicon nitride (Si3N4). It will be appreciated that an arbitrary distance f may therefore be provided between ridges 111. In an alternative embodiment to prevent re-reflection, ridges may, for example, be formed by etching along the (110) and (111) planes of a silicon grating. From the above, it will be apparent that mirrors which provide a reflective grating may be manufactured in any of a plurality of suitable ways. In one embodiment, gratings may be produced by processing a silicon wafer using a plurality of etchants in order to provide ridges with surfaces that are substantially atomically flat. Etchants such as potassium hydroxide (KOH), sodium dydroxide (NaOH) and ammonium fluoride (NH4F), for example, may be used. A coating may be deposited on the etched mirror so as to increase grazing incidence reflection and decrease absorption of radiation having a desired wavelength (for example EUV radiation). For example, molybdenum (Mo) or ruthenium (Ru) which have a high grazing incidence reflectivity for radiation having wavelengths of 13.5 nm may be used. Other coatings may be selected for other wavelengths of radiation. Generally, however, transparent materials with a sufficiently high electron density provide good grazing incidence reflection. Heavy element metals are examples of such materials. Additionally, materials may be selected for resistance to conditions likely to be present within the beam splitting apparatus, such as the generation of EUV radiation-induced plasma. In some embodiments, an amorphous metal (or metal glass), such as a mix of Mo and Ru, may be deposited on the etched layer to provide a reflective coating. The amorphous structure of the metal glass may be used to provide smooth surfaces with high reflectivity for a desired wavelength. It will be appreciated that any other appropriate materials such as zirconium (Zr), platinum (Pt), nickel (Nt), copper (Cu), silver (Ag) gold (Au) may be used. Different coating materials or compositions may be applied to different parts of the etched surface. For example, with reference to FIGS. 11 and 13, different coatings may be applied to the S1, S2 and S3 faces. By applying different coatings to different portions of the etched surface, expected thermal expansion of the faces may be compensated. Where a reflective coating is provided, a further coating may be applied to the reflective coating. For example, oxides, nitrides, carbides, etc, may be applied in order to increase the stability and resistance of the reflective coating to conditions likely to be present. Where a reflective coating is provided, one or more interface layers may be provided between the etched material (e.g. Si) and the reflective coating to reduce surface roughness and increase thermal conductivity. For example, an interface layer of graphene may be provided. While not depicted in FIGS. 10 to 13, cooling channels may be provided on a reverse side of the mirrors (i.e. a side which does not receive the radiation beam B). Such cooling channels may be arranged to receive a liquid coolant such as water, or a two-phase liquid/gas coolant. Coatings may also be applied to one or more of the cooling channels in order to increase heat conduction, such as, for example, graphene. While it is described above that the etched surface may be silicon, it is to be understood that other materials may be used. Examples of other materials which may be anisotropically etched to provide a grating include germanium (Ge), gallium arsenide (GaAs), silicon-germanium (SiGe), indium phosphide (InP) and indium arsenide (InAs). Generally, however, any suitable material may be used. A suitable grating may be manufactured as described above. The grating may then be copied using a process such as thermoplastic molding in a metal glass, or by stamping, for example. One or more of the arrangements for splitting a radiation beam described above may be used in combination with other arrangements for splitting a radiation beam. For example, in one embodiment, the radiation beam B provided from the source may initially be split into, for example, three branch radiation beams using an mirror providing a grating of the type described with reference to FIGS. 10 to 13. Each of the three branch radiation beams may be provided to a respective edge-forming mirror such as those described with reference to FIG. 7, to split each branch radiation beam into two further branch radiation beams, thereby providing six branch radiation beams. The six branch radiation beams may be directed to respective tools, such as lithographic apparatus, mask inspection apparatus, or otherwise. More generally, any arrangement and combination of beam splitting apparatus as described herein may be provided to split a radiation beam provided by the source SO in order to provide a desired number of branch radiation beams. Generally, it will be appreciated that gratings may be provided in which the grooves are disposed at any angle to the radiation beam B. Further, although the described examples show gratings in which each groove is a translated copy of each adjacent groove, other structures of grooves may be provided. For example, alternative groove structures are illustrated, in cross-section, in FIG. 14. In both examples shown in FIG. 14, each groove is different to an adjacent groove, although still periodic. Additionally, the groove structure may not be periodic, such that there are no repeating patterns of grooves. Generally, structures such as those illustrated in FIG. 14 may be used, for example, for the provision of more than three branch radiation beams, or to compensate for thermal expansion of the grating with modulated absorption. For example, the radiation beam B may have generally radial intensity profile (having a higher intensity in a center portion, and a lower intensity in an outside portion), such that, if a mirror has a constant absorption coefficient across its reflective surface, energy dissipation from the radiation beam B will depend on the position within the radiation beam. As such, a temperature of different portions of a mirror will increase by different amounts depending upon which part of the radiation beam B is incident on those parts, leading to variations in thermal expansion across the mirror. In order to compensate for thermal expansion, absorbing material may be provided at an outer edge of a mirror so as to reduce temperature gradients along the mirror, and additionally, reduce gradients in the branch radiation beams that are reflected from the mirror, which may be beneficial for imaging purposes. For example, a particular mirror geometry may result in an intensity profile of a radiation beam being “clipped” at, for example, 2-3 sigma. In this case, there will be a sharp transition between “power” and “no power” in the reflected radiation beams. By providing absorbing material at outer edges of the mirror, such transitions can be smoothed. FIG. 15 schematically illustrates a further embodiment of a beam splitting apparatus 200 suitable for splitting the main radiation beam B into a plurality of branch radiation beams. The beam splitting apparatus 200 is arranged to receive the main radiation beam B from the radiation source SO and output a plurality of radiation beams B1-B8. The beam splitting apparatus 200 comprises eight extraction optics 201 to 208 (of which only extraction optics 201, 202 and 208 are depicted in FIG. 15 for clarity). With reference to FIG. 1, in which it is shown that the main radiation beam B is split into twenty branch radiation beams, it will be appreciated that the beam splitting apparatus 200 may comprise more or fewer extraction optics and that the beam splitting apparatus 200 may be a part of the beam splitting apparatus 20. Each extraction optic 201-208 extends partially across a trajectory 210 of the main radiation beam B and is arranged to reflect part of the main radiation beam B so as to direct it along an associated branch optical path 211-218 thereby forming a branch radiation beam B1-B20. Each extraction optic 201-208 comprises a plurality of portions. In particular, in the depicted example, the portions take the form of a plurality of mirrors. Referring to FIGS. 16a, 16b and 17, one of the extraction optics 201 is described in greater detail below. The extraction optic 201 comprises six mirrors 201a-201f. Each mirror 201a-201f is a wedge shaped grazing incidence mirror, which is provided with a reflective surface that is arranged to reflect part of the main radiation beam B. The projection of the reflective surface of each mirror 201a-201f onto a plane perpendicular to the propagation direction of the main radiation beam B forms a square area 211a-211f (FIG. 17). The part of the main radiation beam B reflected by each of the mirrors 201a-201f may be referred to as a sub-beam 221a-221f. Therefore the extraction optic 201 is arranged to reflect a plurality of disconnected solid square areas (corresponding to the areas 211a-211f) distributed over the cross section of the main radiation beam B. The edge of the main radiation beam B is represented in FIGS. 16a, 16b by two parallel arrows E and in FIG. 17 by a circle E. As used in this context, the edge of the main radiation beam B may be defined as the point where the intensity has dropped below a pre-set threshold. The pre-set threshold may for example be a percentage of the maximum intensity. FIG. 17 shows the projection of the extraction optic 201 onto the plane perpendicular to the propagation direction of the main radiation beam B. FIG. 16a is a cross sectional side view of the main radiation beam B along the line D-D in FIG. 17. Therefore, only the mirrors 201a-201c are shown in FIG. 16a. FIG. 16b is a cross sectional side view of the main radiation beam B along the line F-F in FIG. 17. Therefore, only the mirrors 201d-201f are shown in FIG. 16b. Each mirror 201a-201f may be provided with an active cooling mechanism (not shown) such as, for example, a supply of cooling fluid such as, for example, water or carbon dioxide (CO2). The mirrors 201a-201f may be formed from a material which is a good conductor of heat such as, for example, copper, with a coating that maximizes reflectivity and minimizes absorption such as, for example, ruthenium (Ru). In general, the surfaces of each mirror 201a-201f may be inclined at different angles to the trajectory 210 of the main radiation beam B. The surface of each mirror 201a-201f may be inclined at an angle of around 10 degrees to the trajectory 210 of the main radiation beam B. The beam splitting apparatus 200 further comprises one or more branch mirrors associated with each of the extraction optics 201-208. In particular, the beam splitting apparatus comprises a first branch mirror 231a associated with the extraction optic 201. The extracted sub-beams 221a-221f are incident upon the first branch mirror 231a. The orientation of the plurality of mirrors 201a-201f and/or the first branch mirror 231a is such that after reflection from the first branch mirror 231a the extracted sub beams 221a-221f combine to form a single composite branch radiation beam Ba. In this embodiment, the first extraction mirror 231a is a multi-facet mirror, comprising six facets (not shown), each facet being a flat mirror. The part of the main radiation beam B that is reflected by each of the plurality of mirrors 201a-201f is incident upon a different one of the facets of the first extraction mirror 231a. The facets are disposed at different angles to take into account the different paths of the extracted sub beams 221a-221f and ensure that, after reflection from the first branch mirror 231a, the extracted sub beams 221a-221f all propagate in substantially the same direction to form a single composite branch radiation beam B1. In an alternative embodiment, the first extraction mirror 231a is not a multi-facet mirror. In order to ensure that after reflection from the first branch mirror 231a the extracted sub beams 221a-221f form a single composite branch radiation beam B1, the plurality of mirrors 201a-201f may be disposed at different positions along the propagation direction of the main radiation beam B. The positions and angles of the plurality of mirrors 201a-201f are arranged such that the extracted sub beams 221a-221f all propagate in substantially the same direction. For example, referring to FIG. 16a, in such an embodiment, mirror 201a may be displaced to the right with respect to mirror 201b, and mirror 201c may be displaced to the left with respect to mirror 201b. By suitable choice of these displacements, the extracted sub beams 221a-221f from these mirrors 201a-201c can propagate in substantially the same direction. In one embodiment, as shown in FIG. 19, the orientation of the plurality of mirrors 201a-201f is such that within the composite branch radiation beam B1 the extracted sub-beams 221a-221f are adjacent. Preferably, the orientation of the plurality of mirrors 201a-201f is chosen so that the extracted sub-beams 221a-221f have substantially no overlap but such that any gaps 240 between each adjacent sub-beam 221a-221f is minimised. For such embodiments, a ripple plate (not shown) may be provided for further conditioning of each branch radiation beam B1-B20. A ripple plate comprises a reflecting surface which is generally flat, with an average normal direction, with random local variations to the average normal direction. This acts to smooth out the intensity distribution of the branch radiation beams B1-B20 reducing the effects of overlaps or gaps 240 between the extracted sub-beams 221a-221f. The size of the overlap and/or gaps 240 between the sub-beams 221a-221f may be less than, for example, 1% of the size of the composite branch radiation beam Ba. Additionally or alternatively, the size of the overlap and/or gaps 240 between the sub-beams 221a-221f may be of the same order of magnitude as the smearing effect of the ripple plate or less. The smearing effect of the ripple plate may be, for example, less than around 1 mm or even less than around 10 μm. Alternatively, in another embodiment, the orientation of the plurality of mirrors 201a-201f is such that within the composite branch radiation beam B1 the extracted sub beams 221a-221f overlap substantially completely. In this way, the composite branch radiation beam B1 comprises an area of substantially similar dimensions to the areas 211a-211f. Each branch optical path 211-218 may comprise a mechanism (not shown) for adjusting the intensity of the branch radiation beam B1-B8 propagating along it before the branch radiation beam B1-B8 passes into the illumination system IL of its corresponding lithographic apparatus LA1-LAB. The mechanism for adjusting the intensity of the branch radiation beam B1-B8 may comprise a coarse adjustment mechanism and a fine adjustment mechanism. The coarse adjustment mechanism may be operable to provide intensity adjustments of up to a factor of 10 and the fine adjustment mechanism may be operable to provide intensity adjustments of around 10%. The branch radiation beams B1-B8 may propagate in any direction as desired or required. The direction of each branch radiation beam B1-B8 will depend on the orientation of the associated extracting optic 201-208 and branch mirrors. In FIGS. 16a and 16b only one branch mirror 231a is shown. However, a plurality of branch mirrors may be provided. In one embodiment, the branch radiation beams B1-B8 propagate in a direction that is substantially perpendicular to the main radiation beam B. For example, the main radiation beam B produced by the radiation source SO may propagate in a substantially horizontal direction and the branch radiation beams B1-B8 may propagate in a substantially vertical direction. Such an arrangement allows the plurality of lithographic apparatuses LA1-LA8 within the lithographic system LS to be disposed at different vertical positions. For example, the plurality of lithographic apparatuses LA1-LA8 within the lithographic system LS may be on different floors of the same building. The transmission and the polarization of the branch radiation beams B1-B8 will depend on the number of mirrors used to rotate the radiation from the main radiation beam B through 90 degrees. The greater the number of mirrors used, the smaller the angle between the radiation and the surface of each mirror can be. As the angle between a radiation beam and the surface of a mirror it hits decreases, the transmission will increase and the effect of the reflection on the polarization of the radiation beam will decrease. Therefore, the greater the number of mirrors used to rotate the radiation from the main beam B through 90 degrees, the greater the transmission will be and the smaller the effect of the mirrors on the polarization of the radiation beam will be. However, each additional mirror increases the cost and complexity of the lithographic system LS. In an embodiment, each branch optical path 211-218 may comprise, for example, two to eight branch mirrors. The power P received by each of the mirrors 201a-201f is given by: P = ∫ A I B ( y , z ) dS , ( 3 ) where IB(y,z) is the intensity profile of the main radiation beam B and the area A over which the surface integral is performed is the square area 211a-211f formed by projecting the reflective surface of that mirror onto a plane perpendicular to the propagation of the main radiation beam B (the y-z plane in FIGS. 16a, 16b & 17). The mirrors 201a-201f may be substantially static. However, if the main radiation beam B moves in the plane perpendicular to its propagation (the y-z plane in FIGS. 16a, 16b & 17) the power received by each of the plurality of mirrors 201a-201f will change if either: (a) the intensity distribution IB(y,z) is non-uniform; or (b) the main radiation beam B moves so that it no longer illuminates the entire reflective surface of one or more of the mirrors 201a-201f. Movement of the main radiation beam B in the y-z plane will result in the circle E in FIG. 17 moving relative to the square areas 211a-211f. For example, if the position of the main radiation beam B in the y-z plane shifts in the direction indicated by arrow A, the circle E will shift in position to circle E′ and a center B* of the main radiation beam B will shift to B*′. The intensity profile of the main radiation beam B may be Gaussian-like and the circle E in FIG. 17 may indicate the 3-sigma width of the Gaussian intensity profile. For such embodiments, a shift of the main radiation beam B in the y-z plane will change the power received by each of the plurality of mirrors 201a-201f. Some of the plurality of mirrors 201a-201f will receive more power and some of the plurality of mirrors 201a-201f will receive less power. Therefore, the increase in power received by some of the mirrors 201a-201f will at least partially cancel the decrease in power received by the others. Advantageously, the radiation beams B1-B8 produced by such an arrangement are less sensitive to pointing variations of the main radiation beam B produced by the radiation source SO than, for example, an arrangement wherein each extraction optic comprises a single rectangular mirror. The square areas 211a-211f are distributed over the cross section of the main radiation beam B so as to maximize the cancellation between the increase in power received by some of the mirrors 201a-201f and the decrease in power received by the others when the main radiation beam B moves. That is, the distribution of square areas 211a-211f is chosen to minimise the sensitivity of the power of the branch radiation beams B1-B8 to pointing variations of the main radiation beam B. To achieve this, since the intensity profile of the main radiation beam B is Gaussian-like, the square areas 211a-211f are distributed generally evenly about the center B* of the main radiation beam B. With such as arrangement, when the position of the main radiation beam B in a plane perpendicular to its propagation changes, the power received by at least a first of the mirrors 201a-201f will increase and the power received by at least a second of the plurality of mirrors 201a-201f will decrease, irrespective of the direction of movement of the main radiation beam B in the y-z plane. A more even distribution of the square areas 211a-211f about the center B* of the main radiation beam B may be achieved by a greater number of mirrors in the extraction optic 201. This may provide a better cancellation and therefore a more stable branch radiation beam B1. However, it will increase the cost and complexity of the beam splitting apparatus 200. The other extraction optics 202-208 may be substantially the same as the extraction optic 201 described above but with a different spatial distribution of the areas formed by projecting the reflective surface of that mirror onto a plane perpendicular to the propagation of the main radiation beam B. For example, the second extraction optic 202 may also comprise six wedge shaped grazing incidence mirrors. The projection of a reflective surface of each of the six mirrors of the second extraction optic 202 onto a plane perpendicular to the propagation direction of the main radiation beam B comprises a respective square area 251a-251f. FIG. 18 shows the distribution of the square areas 251a-251f onto a plane perpendicular to the propagation direction of the main radiation beam B. The square areas 211a-211f that represent the projection of the reflective surfaces of the mirrors 201a-201f of the first extraction optic 201 are also shown in FIG. 18, in black, to indicate that these parts of the main radiation beam B have already been extracted by the first extraction optic 201. The mirrors in each extraction optic 201-208 may be substantially identical, which is particularly advantageous for manufacturing the mirrors. The plurality of mirrors in the plurality of extraction optics 201-208 may be shaped and positioned so that their projection onto a plane perpendicular to the propagation direction of the main radiation beam B substantially coincides with the cross sectional area of the main radiation beam B, without overlap and with minimal gaps. In the above embodiment, each extraction optic 201-208 comprises a plurality of mirrors, each mirror forming a portion of the extraction optic 201-208. However, in alternative embodiments each extraction optic may comprise a single mirror comprising a plurality of different portions shaped such that when the position of the main radiation beam in a plane perpendicular to its propagation changes, the power received by at least a first of the plurality of portions will increase and the power received by at least a second of the plurality of portions will decrease. For example, each extraction optic may comprise a generally annular mirror, concentric with the main radiation beam B. In the above embodiment, the specific example of a main radiation beam B with a Gaussian-like intensity profile has been discussed. However, embodiments of the present invention may be adapted for use with main radiation beams B with different intensity profiles. For an intensity distribution which is rotationally symmetric about its centre (i.e. it is only a function of the distance from the centre), a plurality of portions of each extraction optic 201-208 may be arranged evenly around the centre. For an intensity distribution which is not rotationally symmetric about its centre, a different distribution of the plurality of portions of each extraction optic 201-208 may be used. In the above embodiment, each extraction optic 201-208 comprises six mirrors. However, other numbers of mirrors may alternatively be used. Different extraction optics 201-208 may be provided with different numbers of mirrors. Preferably the projection of all of the mirrors onto a plane perpendicular to the propagation direction of the main radiation beam B substantially coincides with the cross sectional area of the main radiation beam B, without overlap and with minimal gaps. In the above embodiment, this is achieved by using mirrors shaped and orientated so that their projection onto a plane perpendicular to the propagation direction of the main radiation beam B is a square area. However, in other embodiments these areas may have of different shapes. For example, the mirrors may be shaped so that the areas are triangular, rectangular, or hexagonal. It is described above that a main radiation beam B may be split by a beam splitting apparatus comprising one or more of static mirrors, which during normal operation, do not move. That is, in the embodiments described above, splitting of the main radiation beam B is not achieved through movement of the mirrors of the beam splitting apparatus. There are now described embodiments in which splitting is achieved by other means. Referring to FIGS. 20 and 21, a beam splitting apparatus 300 is shown. The beam splitting apparatus may be, or may form part of, the beam splitting optics 35 shown in FIG. 1. The beam splitting apparatus 300 comprises a generally disc-shaped body 301 and a mechanism (not shown) operable to rotate said body 301 about a rotation axis 302. For example, the disc-shaped body 301 may comprise a shaft extending along the rotation axis 302. The shaft may be supported by one or more bearings, for example two bearings. The bearings may be passive bearings such as, for example, rolling element bearings or aerostatic bearings. Alternatively, the bearings may be active bearings such as, for example, magnetic bearings. The shaft may be driven to rotate by any suitable mechanism such as a motor or engine. A direction along, or parallel to the rotation axis 302 may be referred to as an axial direction. A direction running to or from the rotation axis 302 and perpendicular to said rotation axis 302 may be referred to as a radial direction. The beam splitting apparatus 300 further comprises a plurality of radially extending spokes 303. Each of the spokes 303 comprises two radially extending side walls 304, an axially facing upper surface 305 and a radially facing end wall 306. The shape of the upper surface 305 of each spoke is therefore an annular sector. The upper surface 305 of each spoke is formed from a reflective material. The spokes 303 are separated from each other by respective gaps 307. As such the axially facing upper surfaces 305 of the plurality of spokes 303 form a plurality of discrete reflective elements. Each of the spokes 303 is substantially the same size and shape and each of the gaps 307 is substantially the same size and shape. Therefore, the axially facing upper surfaces 305 of the plurality of spokes 303 form a periodic array of discrete reflective elements. A pitch of the periodic array at a given radial point is given by the angular extent of one axially facing upper surface 305 and one gap 307. The beam splitting apparatus 300 comprises a beam spot region 308 arranged to receive a radiation beam Bin. The beam spot region 308 is disposed on an axially facing surface of the body 301, which is formed from the upper axial surfaces 305 of the spokes 303. The radiation beam Bin may be produced by a free electron laser FEL. For example, the radiation beam Bin may be the main radiation beam B, or may be a branch radiation beam. A radiation beam output by an undulator of a free electron laser may, for example, have a diameter of the order of 100 μm and a divergence of the order of 100 μrad. Further, if the free electron laser is to provide radiation for of the order of ten lithographic apparatuses, then the radiation beam output by the undulator may have a power of the order of tens of kilowatts. For thermal reasons therefore, the beam splitting apparatus 300 may be separated from the undulator 24 by a distance of the order of tens to hundreds of metres. For example, at the beam splitting apparatus 300, the radiation beam Bin may have a diameter of the order of 5 mm. Again for thermal reasons, the radiation beam Bin may approach the beam spot region 308 at a small grazing incidence angle. This will spread the power over a greater area of the beam spot region and may also increase the reflectivity of the upper axial surfaces 305 of the spokes 303. For example, the grazing incidence angle may be around 1.4 degrees. At this angle, an incoming radiation beam Bin with a diameter of 5 mm will spread out over an ellipse shaped beam spot region 308 with major and minor axes of approximately 210 mm by 5 mm. Referring to FIG. 22, the incoming radiation beam Bin passes over one side of the axially facing surface of the body 301, over the rotation axis 302, and approaches the beam spot region 308. As the radiation beam Bin is incident upon the beam spot region 308, its propagation direction is generally in a (local) radial direction (i.e. perpendicular to the rotation axis 302), with a small axial component (i.e. parallel to the rotation axis 302). The size of the axial component is determined by the grazing incidence angle of the radiation beam Bin. As the body 301 rotates about the rotation axis 302, the periodic array moves such that the plurality of reflective elements (formed by the upper surface 305 of the spokes 303) move through the beam spot region 308. A first portion of the radiation beam is incident on, and reflected by, the upper surface 305 of the spokes 303 so as to form a first branch radiation beam B1. A second portion of the radiation beam passes through the gaps 307 between the reflective elements so as to form a second branch radiation beam B2. The beam splitting apparatus 300 therefore allows an incoming radiation beam Bin to be split into outgoing first and second branch radiation beams B1, B2. While in the present description, the beam splitting apparatus 300 is described as producing the branch radiation beams B1, B2, this is merely exemplary. The beam splitting apparatus 300 may, for example, be used to provide others of the branch radiation beams B1-B20. In general, as the upper surfaces 305 of the plurality of spokes 303 move through the beam spot region 308, the intensities of the first and second branch radiation beams B1, B2 will vary with time as a greater or lesser amount or the incoming radiation is reflected or transmitted at different times. The variation in intensities is a periodic oscillation. Where the reflective elements are substantially equally reflective, the frequency of the oscillation is determined by the speed and pitch of the periodic array. In turn, this will cause the dose of radiation delivered by each of the first and second branch radiation beams B1, B2 to vary with time. This variation in dose will average out over a time period equal to an integer number of periods of the oscillation. Therefore, in order to ensure that a dose of radiation delivered by the first and second branch radiation beams B1, B2 for a given exposure time remains constant, the exposure time should be equal to an integer number of periods of the oscillation. In practice, it may not be possible to meet this criterion. If the exposure time is not equal to an integer number of periods of the oscillation, then the dose of radiation delivered by the first and second branch radiation beams B1, B2 for a given exposure time will vary periodically with time. As the (non-integer) number periods of the oscillation that occur during the exposure time increases, the ratio of the amplitude of this variation in dose to the average dose received during an exposure time decreases. Therefore, it may be desirable for the frequency of the oscillation to be as high as possible so that a more stable dose may be achieved in a given exposure time period. The first and second branch radiation beams B1, B2 may be supplied to one or more of the lithographic apparatuses LA1-LA20 of the lithographic system LS shown in FIG. 1. For such an arrangement, it may be desirable for the frequency of the oscillation in the intensities of the first and second branch radiation beams B1, B2 to be sufficiently high that a stable dose may be achieved in a typical exposure time of the lithographic apparatuses LA1-LAB. This exposure time may be of the order of 1 ms and it may therefore be desirable for the frequency of the oscillation in the intensities to be greater than 1 kHz. As explained above, it may be desirable for the frequency of the oscillation in the intensities to be sufficiently high so that several periods of the oscillation occur during the exposure time. For example, the frequency of the oscillation in the intensities may be of the order of 16 kHz or above, resulting in 16 or more periods of the oscillation during the exposure time, or of the order of 30 kHz or above, resulting in 30 or more periods of the oscillation during the exposure time. The frequency of the oscillation in the intensities of the first and second branch radiation beams B1, B2 is given by the frequency of rotation of the body 301 multiplied by the number of periods of the periodic array disposed on the body 301 (i.e. the number of spokes 303 disposed on the body 301). For example, if there are 300 spokes 303 (and 300 gaps 307) disposed on the body 301 and the body 301 rotates at a frequency of 160 Hz then the frequency of the oscillation in the intensities of the first and second branch radiation beams B1, B2 is 16 kHz. Since the periodic array comprises a plurality of discrete reflective elements, each of the spokes 303 may be smaller and more closely spaced. This reduces the pitch of the periodic array and therefore increases the frequency at which the intensities of the first and second branch radiations B1, B2 beams oscillate for a given speed of the periodic array. Advantageously, this allows a stable dose to be achieved in a smaller time period for a given speed of the periodic array. Alternatively, it allows a stable dose to be achieved in a similar time period at a lower speed of the periodic array. An advantage of an arrangement wherein the reflective elements move through the beam spot region is that the relative intensities of the first and second branch radiation beams B1, B2 (time averaged over an integer number of periods of the oscillation) are relatively insensitive to the direction and position of the incoming radiation beam Bin, at least in the direction of motion of the periodic array. This is in contrast to a beam splitting arrangement which uses static mirrors to provide two or more branch radiation beams wherein relative movement of the incoming radiation beam Bin and the static mirrors can result in a significant change in the relative intensities of the branch radiation beams. This is especially so where the diameter of the incoming radiation beam Bin is small, which is generally the case for radiation beams produced by a free electron laser, which, as described above, may have a diameter of the order of 100 μm and a divergence of the order of 100 μrad. The plurality of spokes 303 and gaps 307 each extend to an edge of the body 301 of the beam splitting apparatus 300. Therefore each gap 307 is defined by two of the radially extending side walls 304, each from a different one of a pair of adjacent spokes 303, an axially facing lower surface 309 and a radially facing wall 310. The shape of each gap 307 as viewed from above (in an axial direction) is therefore an annular sector. Since each of the gaps 307 extends to an edge of the body 301 of the beam splitting apparatus 300, the gaps 307 are open on one (radially outer) side. The incoming radiation beam Bin propagates to and from the beam spot region 308 in a generally radially increasing direction. For example, for a gap 307 within the beam spot region 308, the radiation beam Bin propagates generally from the radially facing wall 310 towards the open side of the gap 307. Advantageously, with such an arrangement a range of allowable grazing incidence angles is not limited by the thickness of the body 301. This is in contrast to an arrangement wherein the gaps 307 do not extend to the edge of the body 301, such as gaps of the form of apertures in the body 301 which are closed on all sides. With such an arrangement, the range of allowable grazing incidence angles is limited both by the size of the gaps in the direction of propagation of the radiation beam and the thickness of the body, the thickness of the body setting a lower limit on the possible grazing incidence angles. Since the plurality of gaps 307 each extend to an edge of the body 301, the beam splitting apparatus 300 therefore allows the incoming radiation beam Bin to approach with smaller grazing incidence angles. This is beneficial both for thermal reasons and for reflectivity. The spokes 303 and the gaps 307 may be substantially the same size. With such an arrangement, the first and second branch radiation beams B1, B2 will have substantially the same intensity. Alternatively, the spokes 303 and the gaps 307 may have different sizes. By varying the ratio of the sizes of the spokes 303 to the gaps 307, the ratio of the intensities of the first and second branch radiation beams B1, B2 may be varied. The pitch of the periodic array may be smaller than, equal to or larger than the diameter of the incoming radiation beam Bin. A non-zero fraction of the radiation beam will be incident on, and reflected by, the radially extending side walls 304 of the spokes 303. This fraction of the incoming radiation beam does not form part of the first or second radiation branch beams B1, B2, and is therefore lost. The fraction of radiation that is lost in this way will be small provided that the radius of the body 301 of the beam splitting apparatus 300 is sufficiently large that the individual spokes 303 are substantially parallel. Two alternative embodiments of beam splitting apparatuses, which are arranged so as to eliminate, or at least reduce, losses caused by reflection from the radially extending side walls 304 of the spokes 303, are illustrated in FIGS. 23 and 24. Referring to FIG. 23, an alternative beam splitting apparatus 350 is illustrated. Beam splitting apparatus 350 differs from beam splitting apparatus 300 of FIGS. 20 to 22 in that a plurality of spokes 353 taper inwards in a direction of increasing radius (i.e. away from a rotation axis 352). In corollary, gaps 357 taper outwards in a direction of increasing radius. Therefore, side walls 354 of the spokes 253 do not extend in a purely radial direction. In all other aspects, beam splitting apparatus 350 may be generally similar to beam splitting apparatus 300. With a sufficient amount of tapering of the spokes 253, a fraction of radiation that is lost from reflection from the side walls 354 of the spokes 353 can be reduced to a negligible amount, and may for example be zero. The tapering of the spokes 353 will introduce an intensity gradient across the cross section of the first and second branch radiation beams B1, B2. The impact of such an intensity gradient on the performance of the lithographic apparatuses LA1-LA20 may be limited by mixing performed by the faceted field mirror device 10 and the faceted pupil mirror device 11 (see FIG. 2) within the illumination system IL of each lithographic apparatus LA1-LA20. The impact of such an intensity gradient on the performance of the lithographic apparatuses LA1-LA20 is lowest when the direction of the intensity gradient is in a scan direction of the lithographic apparatus LA1-LA20. Referring to FIG. 24, an alternative beam splitting apparatus 400 is illustrated. Beam splitting apparatus 400 comprises a generally disc-shaped body 401 and a mechanism (not shown) operable to rotate said body 401 about a rotation axis 402. Beam splitting apparatus 400 differs from the beam splitting apparatus 300 of FIGS. 20 to 22 in that a plurality of spokes 403 taper inwards in an axial direction away from axially facing upper surfaces 405 of the spokes 403 so as to provide each of the spokes with an undercut. Therefore, side walls 404 of the spokes 403 do not extend in a purely radial direction. In all other aspects, beam splitting apparatus 400 may be generally similar to beam splitting apparatus 300. With a sufficient amount of tapering, the fraction of radiation that is lost from reflection from the side walls of the spokes 403 can be reduced to negligible amount. Advantageously, in comparison with the beam splitting apparatus 300 the beam splitting apparatus 400 eliminates, or at least reduces, losses that may result from reflection from the side walls of the spokes 403 without introducing an intensity gradient in the branch radiation beams B1, B2. A further embodiment of a beam splitting apparatus 500 is illustrated in FIGS. 25 and 26. Beam splitting apparatus 500 further comprises a plurality of spokes 503, which are separated from each other by respective gaps 507. Beam splitting apparatus 500 differs from the beam splitting apparatus 300 of FIGS. 20 to 22 in that although each of a plurality of spokes 503 extends in a generally radial direction (i.e. they extend between a radially inner and a radially outer point, they do not extend in a purely radial direction. Rather, the side walls of each of the plurality of spokes 503 extend in a direction that is at an oblique angle 510 to the radial direction. The shape of the upper surface 505 of each spoke 503 may be generally rectangular. Alternatively, the upper surface 505 of each spoke 503 may taper outwards in the direction of increasing radius. In all other aspects, beam splitting apparatus 500 may be generally similar to beam splitting apparatus 300. In the embodiment of FIGS. 25 and 26, the incoming radiation beam Bin no longer passes through A rotation axis 502 as it approaches A beam spot region 508. Rather, as can be see most clearly in FIG. 25, the propagation direction of the radiation beam Bin is generally aligned with the direction in which axially facing upper surfaces 505 of the spokes 503 that are within the beam spot region 508 extent. Therefore, the radiation beam direction is at an oblique angle to the radial direction. Advantageously, since the incoming radiation beam does not pass through the rotation axis 502, a body 501 of the beam splitting apparatus can be supported for rotation on both of its opposed axial sides. This allows, for example, a shaft to extend out of the upper axial surface of the body without blocking the radiation beam Bin. This may allow, for example, the shaft to be supported by bearings on either side of the body, allowing for easier and more stable implementation, than provided by a single-side axle mounting. Features of the above described embodiments of beam splitting apparatuses 300, 350, 400, 500 may be combined. For example, the embodiment 500 of FIGS. 25 and 26 may be provided with a taper as described in relation to the embodiment 350 of FIG. 23 or a taper as described in relation to the embodiment 400 of FIG. 24. Referring FIGS. 27 and 28, an alternative embodiment of a lithographic system LS2 is shown. The lithographic system LS2 comprises two radiation sources 551, 552. Each radiation source 551, 552 may, for example, comprise a free electron laser. Each of the radiation sources 551, 552 is provided with a corresponding beam delivery system BDS1, BDS2. Each beam delivery system BDS1, BDS2 is arranged to receive a radiation beam Bin1, Bin2 from its corresponding radiation sources 551, 552 and to distribute this to a plurality of lithographic apparatuses LA1-LA10, LA11-LA20 respectively. Each beam delivery system BDS1, BDS2 may comprise beam expanding optics and beam splitting optics. The two radiation sources 551, 552 are arranged such that their output radiation beams Bin1, Bin2 cross at an intersection point 553 between the radiation sources 551, 552 and the beam delivery systems BDS1, BDS2. The lithographic system LS2 further comprises two beam splitting apparatuses 554, 555. Each of the beam splitting apparatuses 554, 555 may comprise a beam splitting apparatus 300, 350, 400, 500 substantially as described above. Each beam splitting apparatus 554, 555 is movable between an inactive position and a deployed position. When disposed in its inactive position, each beam splitting apparatus 554, 555 is disposed close to the intersection point 520 but out of the path of the radiation beams Bin1, Bin2. When disposed in their respective deployed positions, each beam splitting apparatus 554, 555 is disposed at the intersection point 520 in the path of the radiation beams Bin1, Bin2. The lithographic system LS2 may comprise additional optics which are operable to steer the two radiation beams Bin1, Bin2 with sufficient precision such that when the such that when either beam splitting apparatus 554, 555 is disposed in its deployed positions one of the two radiation beams bin1, Bin2 is incident upon its beam spot region. Referring to FIG. 27, the lithographic system LS2 is illustrated with both of the beam splitting apparatuses disposed in their respective inactive positions. Such a configuration may be a default configuration of the lithographic system LS2, when both radiation sources 551, 552 are operating. Each radiation sources 551, 552 emits a radiation beam Bin1, Bin2 which is received by its corresponding beam delivery system BDS1, BDS2. Referring to FIG. 28, the lithographic system LS2 is illustrated with the beam splitting apparatus 554 disposed in its deployed position and the beam splitting apparatus 555 disposed in its inactive position. Such a configuration of the lithographic system LS2 may be used in the event that the radiation source 552 is no longer operating (either as part of a planned shut down or when the radiation source 552 is out of order). Only the radiation source 551 emits a radiation beam Bin1, which is received by its corresponding beam splitting apparatus 554. In the manner described above, with reference to FIGS. 20 to 26, first portion of the radiation beam Bin1 is incident on, and reflected by, the upper surfaces of a plurality of spokes on the beam splitting apparatus 554, so as to form a first branch radiation beam B1. A beam spot region of the beam splitting apparatus 554 is substantially coincident with the intersection point 553 and the grazing incidence angle of the first radiation beam Bin1 is such that the first branch radiation beam B1 propagates along substantially the same optical path as a radiation beam Bin2 from the radiation source 552 does when the lithographic system LS2 is in the configuration shown in FIG. 27. Therefore, the first branch radiation beam B1 is received by the second beam delivery system BDS2. A second portion of the radiation beam passes through the gaps between the spokes of the beam splitting apparatus 554 so as to form a second branch radiation beam B2. Therefore, the second branch radiation beam B2 is received by the first beam delivery system BDS1. Similarly, when the radiation source 551 is not operating, the first beam splitting apparatus 554 can be disposed in its inactive position and the second beam splitting apparatus 555 can be disposed in its deployed position so as to split the radiation beam Bin2 output by the radiation source 552 between the two beam delivery systems BDS1, BDS2. The lithographic system LS2 therefore provides a system wherein two radiation sources 551, 552 may operate in parallel, each providing radiation to a different set of lithographic apparatuses via a beam delivery system BDS1, BDS2. When one of the radiation sources 551, 552 is not operating, the beam splitting apparatuses 554, 555 may be used to split the radiation beam output by the other radiation source into two branch radiation beams B1, B2 such that each beam delivery system BDS1, BDS2 is supplied with, for example, around 50% of the radiation beam from the operational radiation source. Advantageously, other than a total intensity, the branch radiation beams B1, B2 received by the beam delivery systems BDS1, BDS2 have similar beam parameters (cross-section, divergence, position) to the radiation beams Bin1, Bin2 output by the radiation sources 551, 552. For example, when the input radiation beam from one of the radiation sources 551, 552 has a circular cross section, the branch radiation beams output by the beam splitting apparatus 554, 555 will also have a circular cross section. In contrast, other solutions for compensating for a radiation source that is not operating, by splitting the output of another radiation source, may produce branch radiation beams with a different (for example elliptical) cross sectional shape. With such other solutions therefore, additional correcting mirrors may be necessary to restore the branch radiation beams to the shape of the original radiation beam. A further advantage of the lithographic system LS2 over other solutions for compensating for a radiation source that is not operating is that there is less loss of radiation. The beam delivery system (and the lithographic apparatuses served by it) associated with the operating radiation source can receive 50% of the original radiation beam. The beam delivery system (and the lithographic apparatuses served by it) associated with the non-operating radiation source can receive a percentage of the original radiation beam given by 50% multiplied by reflectivity of the rotating beam splitting apparatus. The reflectivity of the rotating beam splitting apparatus is likely to be of the order of 98% and therefore the lithographic apparatuses served by the non-operating free electron laser can receive around 49% of the original radiation beam. In contrast, other splitting solutions will provide a percentage of the original radiation beam given by 50% multiplied by the reflectivities of a plurality of (at least three) additional mirrors. The reflectivity of the additional mirrors is likely to be of the order of 98% and therefore with such an alternative solution, all of the lithographic apparatuses can receive at most around 47% of the original radiation beam. In an alternative embodiment, the lithographic system LS2 may only comprise one rotating beam splitting apparatus arranged such that its orientation can be changed in order to serve either radiation source 551, 552. In an alternative embodiment, the two radiation beams Bin1, Bin2 output by the two radiation sources 551, 552 do not cross at an intersection point. For such embodiments, when only one of the radiation sources 551, 552 is operating, additional optical elements can be used to guide the radiation beam output by the operational radiation source toward a beam splitting apparatus 554, 555. The rotating beam splitting apparatuses 300, 350, 400, 500, 550 described above may be provided with a cooling system. Two alternative cooling systems are shown schematically in FIGS. 29 and 30 respectively. Referring to FIG. 29, an arrangement is shown in which the rotating body 301 of the beam splitting apparatus 300 is cooled by a static cooling device 600. Heat is transferred between the rotating body 301 and the static cooling device 600 primarily through radiation. The static cooling device 600 is mounted around the rotating body 301. For example, a lower portion of the rotating body 301 may comprise an axially extending annular protrusion 603, which may be received within an annular groove in the cooling body 600. Opposed surfaces of the body 301 and the static cooling device 600 are provided with coatings 601, 602 of a high emissivity material to promote radiation by the body 301 and absorption of the emitted radiation by the static cooling device 600. A narrow gap 610 is provided between the rotating body 301 and the static cooling device 600. The gap 610 may be filled with a gas such as hydrogen, which may provide additional cooling of the body 301 by thermal conduction. The static cooling device 600 may be provided with channels for receiving a flow of fluid such as, for example, water, to transport heat away from the cooling device 600. Advantageously, the arrangement shown in FIG. 29 allows water cooling of the rotating body without using rotating water couplings. This avoids, or at least significantly reduces, the risk of water leakage. Referring to FIG. 30, an arrangement is shown wherein the rotating body 301 of the beam splitting apparatus 300 is cooled by a static cooling device 650, heat being transferred between the rotating body 301 and the static cooling device by a layer of liquid metal. The beam splitting apparatus 300 comprises a shaft 370, which extends axially from the body 301, along the rotation axis 302. The static cooling device 650 is mounted adjacent to the shaft 370. A narrow gap 651 is provided between the shaft 370 and the static cooling device 650. The gap 651 is filled with layer of liquid metal 652, which is kept in place by capillary forces. The metal may comprise a fusible alloy which melts at a relatively low temperature. For example, the metal may comprise an alloy of gallium and indium, which may contain 75.5% gallium by weight and 24.5% indium by weight. Such an alloy has a melting point of 15.7° C. The static cooling device 650 is provided with channels 653 for receiving a flow of fluid such as, for example, water, to transport heat away from the cooling device 650. In an alternative embodiment, static cooling device 650 may be mounted adjacent to a lower surface of the rotating body 301, said a lower surface being axially facing and opposite to the reflective surface formed by the upper axial surfaces 305 of the plurality of spokes 303. A narrow gap 651 may be provided between the body 301 and the static cooling device 650, the layer of liquid metal being disposed in said gap. Advantageously, the arrangement shown in FIG. 30 allows water cooling of the rotating body without using rotating water couplings. This avoids, or at least significantly reduces, the risk of water leakage. The use of a liquid metal layer to transfer heat is a robust technique which is compatible with ultra-high vacuum conditions and high angular velocities of the shaft 370. Alternatively, the rotating beam splitting apparatuses 300, 350, 400, 500 described above may be provided with any other suitable cooling system. For example, the cooling system may comprise one or more air bearings, wherein a (rotating) shaft of the beam splitting apparatus is received within bore in a (static) bearing bush and a thin film of pressurized gas is provided between the shaft and the bearing bush. Heat may flow away from the body of the beam splitting apparatus along the shaft and may conducted from the shaft to the bearing bush since a small gas-filled gap, for example with a dimension of the order of 10 μm, has a high thermal conductance. The bearing bush may be water-cooled so as to form a static cooling device. A plurality of beam splitting apparatuses 300, 350, 400, 500 substantially as described above may be combined to form a beam splitting apparatus that is operable to split an incoming radiation beam into more than two outgoing branch radiation beams as is now described. Referring to FIG. 31, a beam splitting apparatus 700 that is operable to split an incoming radiation beam into more than two outgoing branch radiation beams comprises a plurality of rotating beam splitting apparatuses 701, 702, 703. Each of the rotating beam splitting apparatuses 701, 702, 703 may comprise a beam splitting apparatus 300, 350, 400, 500 substantially as described above. In this embodiment, the beam splitting apparatus 700 comprises a primary rotating beam splitting apparatus 701 that is arranged to receive an incoming radiation beam Bin and output two branch radiation beams B1′, B2′. The beam splitting apparatus 700 further comprises two secondary rotating beam splitting apparatuses 702, 703. The first secondary rotating beam splitting apparatus 702 is arranged to receive the first branch radiation beam B1′ produced by the primary rotating beam splitting apparatus 701 and to output two branch radiation beams B1, B2. The second secondary rotating beam splitting apparatus 703 is arranged to receive the second branch radiation beam B2′ produced by the primary rotating beam splitting apparatus 701 and to output two branch radiation beams B3, B4. The spokes and the gaps of each of the individual beam splitting apparatuses 701, 702, 703 may be substantially the same size. Alternatively, the spokes and the gaps may have different sizes as desired. As explained above, in general, as the upper surfaces of the plurality of spokes of the primary rotating beam splitting apparatus 701 move through the beam spot region 308, the intensities of the first and second branch radiation beams B1′, B2′ will vary with time as a greater or lesser amount or the incoming radiation is reflected or transmitted at different times. The secondary rotating beam splitting apparatuses 702, 703 may be substantially the same size as the primary rotating beam splitting apparatus 701 and may rotate at substantially the same rate. For such embodiments, the relative intensities of the branch radiation beams B1, B2, B3, B4 are dependent upon the relative phase between the rotation of the secondary rotating beam splitting apparatuses 702, 703 and the primary rotating beam splitting apparatus 701. Therefore by adjusting the relative phases of the rotation of the secondary rotating beam splitting apparatuses 702, 703 and the primary rotating beam splitting apparatus 701 the relative intensities of the branch radiation beams B1, B2, B3, B4 may be adjusted. Therefore, the beam splitting apparatus 700 has some flexibility and is operable to vary the portions of the incoming radiation beam Bin that are directed towards each of the branch radiation beams B1, B2, B3, B4. In alternative embodiments, the tree-like structure of the beam splitting apparatus 700 may be extended by providing additional levels of individual beam splitting apparatuses. For example, the tree-like structure of the beam splitting apparatus 700 may be extended by providing four tertiary beam splitting apparatuses to provide a beam splitting apparatus operable to split the incoming radiation beam Bin into eight radiation beams. Referring to FIG. 32, a beam splitting apparatus 750 that is operable to split an incoming radiation beam into more than two outgoing branch radiation beams. Beam splitting apparatus 750 comprises a plurality of rotating beam splitting apparatuses 751, 752, 753 arranged in a linear array. Each of the rotating beam splitting apparatuses 751, 752, 753 may comprise a beam splitting apparatus 300, 350, 400, 500 substantially as described above. In this embodiment, each rotating beam splitting apparatus 751, 752, 753 is arranged to receive an incoming radiation beam and output first and second branch radiation beams. The first branch radiation beams may, for example, be directed towards the illumination system IL of one of the lithographic apparatuses of a lithographic system similar to that shown in FIG. 1. With the exception of the last beam splitting apparatus 753, the second branch radiation beams are directed towards the next beam splitting apparatus in the array. Accordingly, a first rotating beam splitting apparatus 751 is arranged to receive an incoming radiation beam Bin and output first and second branch radiation beams B1, Bin′. The second branch radiation beam Bin′ is directed towards the second rotating beam splitting apparatus 752. The second rotating beam splitting apparatus 752 receives the radiation beam and outputs first and second branch radiation beams B2, Bin″. The second branch radiation beam Bin″ beam is directed towards and a third beam splitting apparatus 753. The third rotating beam splitting apparatus 753 receives this radiation beam and outputs first and second branch radiation beams B3, B4. Branch radiation beams B1, B2, B3 and B4 may, for example, each be directed toward the illumination system IL of a different one of the lithographic apparatuses of a lithographic system similar to that shown in FIG. 1. As with beam splitting apparatus 700 described above, the relative intensities of the branch radiation beams B1, B2, B3, B4 are dependent upon the relative phases between the rotation of each of the secondary rotating beam splitting apparatuses 751, 752 and 753. Therefore by adjusting the relative phases of the rotation of the rotating beam splitting apparatuses 751, 752, 753 the relative intensities of the branch radiation beams B1, B2, B3, B4 may be adjusted. Therefore, the beam splitting apparatus 750 has some flexibility and is operable to vary the portions of the incoming radiation beam Bin that are directed towards each of the branch radiation beams B1, B2, B3, B4. In order to ensure that the branch radiation beams B1, B2, B3, B4 output by the beam splitting apparatus 750 have substantially the same intensity, in general the spokes and the gaps of each individual beam splitting apparatus 751, 752, 753 may have different sizes. For example, in order to ensure that the branch radiation beams B1, B2, B3, B4 output by the beam splitting apparatus 750 have substantially the same intensity, in some embodiments the ratio of the sizes of the gaps to the spokes may be 3:1 for the first beam splitting apparatus 751, 2:1 for the second beam splitting apparatus 752 and 1:1 for the third beam splitting apparatus 753. These ratios are dependent upon the relative phases of the rotation of the rotating beam splitting apparatuses 751, 752, 753 It will be appreciated that any number of individual beam splitting apparatuses may be provided in the linear array as desired. Referring to FIG. 33, an alternative beam splitting apparatus 800 is illustrated. The beam splitting apparatus 800 may be, or may form part of, the beam splitting apparatus 20 shown in FIG. 1. As with beam splitting apparatuses 300, 350, 400, 500, beam splitting apparatus 800 comprises a generally disc-shaped body 801 and a mechanism (not shown) operable to rotate said body 801 about a rotation axis 805. Beam splitting apparatus 800 differs from beam splitting apparatuses 300, 350, 400, 500 of FIGS. 20 to 26 in that an axially facing surface of the body 801 of the apparatus 800 is stepped in a radial direction. This divides the axially facing reflective surface into a plurality of surfaces 802a-802g. A central reflective surface 802a is generally circular and the remaining surfaces 802b-802g are of the form of a plurality of concentric annuli. Each of the plurality of surfaces 802a-802g comprises a plurality of generally radially extending spokes (not shown) separated from each other by respective gaps 307 (not shown). The plurality of generally radially extending spokes and gaps on each surface may be substantially similar to the spokes of any one of beam splitting apparatuses 300, 350, 400, 500. In all other aspects, beam splitting apparatus 800 may be generally similar to any one of beam splitting apparatuses 300, 350, 400, 500. Each of the plurality of reflective surfaces 802a-802f comprises a beam spot region arranged to receive a radiation beam Bin, or a portion thereof. The incoming radiation beam is incident upon the central reflective surface 802a which forms and outputs first and second branch radiation beams. The first branch radiation beam B1 is reflected by the spokes on the central reflective surface 802a. The second branch radiation beam passes through the gaps on the central reflective surface 802a and is directed towards a second reflective surface 802b. Each reflective surface 802b-802f receives a portion of the radiation beam Bin that passes through the gaps of each of the preceding reflective surfaces and outputs first and second branch radiation beams. The first branch radiation beam B2-B7 comprises a portion of radiation that has been reflected by the spokes of the reflective surface. The second branch radiation beam is directed towards and next reflective surface. A portion of radiation that passes through the gaps of all of the reflective surfaces 802a-802f forms a final branch radiation beam B8, which may be directed towards subsequent optics by a grazing incidence mirror 803. The beam splitting apparatus 800 therefore allows an incoming radiation beam Bin to be split into a plurality (for example eight) outgoing branch radiation beams B1-B8. Referring to FIGS. 34 and 35, an alternative beam splitting apparatus 850 is illustrated. The beam splitting apparatus 850 comprises a generally disc-shaped body 851 and a mechanism (not shown) operable to rotate said body 851 about a rotation axis 852. For example, the disc-shaped body 851 may comprise a shaft extending along the rotation axis 852. The shaft may be supported by one or more bearings, for example two bearings. The shaft may be driven to rotate by any suitable mechanism such as a motor or engine. The beam splitting apparatus 850 further comprises a plurality of radially extending spokes 853. Each spoke comprises two radially extending side walls 854, two axially facing walls 855 and a radially facing surface 856. The shape of the radially facing surface 856 of each spoke is therefore generally rectangular. The radially facing surface 856 of each spoke is formed from a reflective material. The spokes 853 are separated from each other by a plurality of gaps 857. As such, the radially facing surfaces 856 of the plurality of spokes 853 form a plurality of discrete reflective elements. Each of the spokes 853 is substantially the same size and shape and each of the gaps 857 is substantially the same size and shape. Therefore, the radially facing surfaces 856 of the plurality of spokes 853 form a periodic array of discrete reflective elements. A pitch of the periodic array at a given radial point is given by the angular extent of one radially facing surface 856 and one gap 857. The beam splitting apparatus 850 comprises a beam spot region 858 arranged to receive a radiation beam Bin. The beam spot region 858 is disposed on a radially facing surface of the body 851, which is formed from the radially facing surfaces 856 of the spokes 853. As the body 851 rotates about the rotation axis 852, the periodic array moves such that the plurality of reflective elements (formed by the radially facing surfaces 856 of the spokes 853) move through the beam spot region 858. A first portion of the radiation beam is incident on, and reflected by, the radially facing surfaces 856 of the spokes 853 so as to form a first branch radiation beam B1. A second portion of the radiation beam passes through the gaps 857 between the reflective elements so as to form a second branch radiation beam B2. For convenience, in FIG. 35 the spokes 853 and gaps 857 only extend around a portion of the circumference of the body 851. However, in practice the spokes 853 and gaps 857 extend around the entire circumference of the body 851. The beam splitting apparatus 850 therefore provides an alternative arrangement that allows an incoming radiation beam Bin to be split into outgoing first and second branch radiation beams B1, B2. An advantage of this embodiment 850 is that since the beam spot region 858 is disposed on a radially facing surface of the body 851, each of the reflective elements is generally rectangular in shape, rather than an annular sector. This allows the incoming radiation beam to approach at smaller grazing incidence angles more easily. To provide for smaller grazing incidence angles, only the (axial) thickness of the body 851 needs to be increased. This is in contrast to the embodiments 300, 350, 400, 500 described above wherein in order to accommodate smaller grazing incidence angles the radius of the body would need to be increased. Further, as the grazing incidence angle decreases the reflective elements formed by the spokes 853 remain rectangular. Another advantage is that the incoming radiation beam Bin does not cross, or pass close to, the rotation axis 852. As a result, bearings and actuators may be placed on both sides of the body 851, allowing for a symmetric, more balanced design. The spokes 853 may be tapered outwards in a direction of increasing radius. This will provide an undercut similar to that employed by the beam splitting apparatus 300 of FIG. 24. For such embodiments, the side walls 854 no longer extend in a purely radially direction. By providing a sufficient radial taper, the fraction of radiation incident upon the side walls 854 may be reduced or eliminated. The radially facing surfaces 856 of the spokes 853 may be flat. Alternatively, the radially facing surfaces 856 of the spokes 853 may be curved, for example, having a curvature that follows the disc shaped body 851. An inclined ramp 859 may be provided in the gaps 857 between the spoke 853, arranged such that a surface of the ramp 859 is generally parallel to the incoming radiation beam Bin. Advantageously, such ramps 859 increase the stiffness and thermal conductivity of the beam splitting apparatus 850 without interfering with the incoming radiation beam Bin. The (reflected) first branch radiation beam B1 beam will be astigmatically divergent along the axial direction, whereas the (transmitted) second branch radiation beam B2 is undistorted. This may reduce thermal loads on optical elements that receive the first branch radiation beam B1. Referring to FIG. 36, a portion of a lithographic system LS3 comprising two radiation sources 901, 902 is shown. The lithographic system LS3 further comprises two beam splitting apparatuses 903, 904 substantially as shown in FIGS. 34 and 35 and described above. A radiation beam Bin,1 output by radiation source 901 is received by a beam spot region of the first beam splitting apparatus 903. A first portion of this radiation beam Bin,1 is incident on, and reflected by, radially facing surfaces of the spokes so as to form first branch radiation beam B1. A second portion of the radiation beam Bin,1 passes through the gaps between the reflective elements so as to form a second branch radiation beam B2. A radiation beam Bin,2 output by radiation source 902 is received by a beam spot region of the second beam splitting apparatus 904. A first portion of this radiation beam Bin,2 is incident on, and reflected by, the radially facing surfaces of the spokes so as to form a first branch radiation beam B3. A second portion of the radiation beam Bin,2 passes through the gaps between the reflective elements so as to form a second branch radiation beam B4. The two beam splitting apparatuses 903, 904 are arranged such that their axes of rotation are substantially parallel and their beam spot regions are spatially close together. With such an arrangement, it is possible to produce two composite beams, each comprising two sub-beams from separate free electron lasers that are parallel and very close together. One composite beam comprises branch radiation beams B1 and B4, the other composite beam comprises branch radiation beams B2 and B3. The arrangement LS3 of FIG. 36 is advantageous because it is not required to move optical components in and out of the paths of the radiation beams Bin,1, Bin,2 output by radiation sources 901, 902 when one radiation source 901, 902 is not operating. This arrangement LS3 may allow the same optics to be used when (a) both radiation sources 901, 902 are operating and (b) when only one of the radiation sources 901, 902 is operating. To fully exploit this feature, the lithographic system LS3 may comprise a movable optical element for the optical path of each composite radiation beam, which is arranged to correct for the divergence introduced in the reflected branch radiation beams B1 and B3. When both radiation sources 901, 902 are operating, these optical element may be moved out of the path of a corresponding radiation beam and, when only one radiation source 901, 902 is operating these optical elements may be moved into the path of a corresponding composite radiation beam. With such all optical elements downstream of these optical elements may be substantially the same regardless of whether both radiation sources 901, 902 are operating or not. Embodiments of beam splitting apparatuses have been described above which comprise a periodic array of reflective elements is provided on a disc-shaped body, which is arranged to rotate about an axis so as to move the periodic array through the beam spot region. However, alternative embodiments may comprise a periodic array of reflective elements provided on a body, which is arranged to move in alternate directions along a path (for example a linear path) so as to move the periodic array through the beam spot region. Embodiments of beam splitting apparatuses have been described above which comprise a periodic array of reflective elements wherein all of the reflective elements direct radiation in substantially the same direction to form a first branch radiation beam and a second branch radiation beam is formed by radiation that passes through gaps between the reflective elements. In alternative embodiments, the periodic array of reflective elements may comprise reflective elements arranged to direct radiation in a plurality of different directions to form a plurality of branch radiation beams. In some embodiments, the periodic array of reflective elements may not comprise gaps between reflective elements. Whilst embodiments of a radiation source SO1, SO2 have been described and depicted as comprising a free electron laser FEL, it should be appreciated that a radiation source may comprise any number of free electron lasers FEL. For example, a radiation source may comprise more than one free electron laser FEL. Alternatively, the radiation source SO1, SO2 may not comprise a free electron laser and may, for example, comprise a laser produced plasma (LPP) or a discharge produced plasma (DPP) radiation source. A free electron laser produces a radiation beam with a smaller bandwidth than LPP or DPP sources. Such a small bandwidth may result in speckle (spatial intensity variations due to interference) at the patterning device MA, which is undesirable. With a rotating beam splitting apparatus as described above, the speckle pattern at the patterning device MA will vary with time as well, and will tend to be averaged out so as to improve the uniformity of illumination of the patterning device MA. Features of any one of the embodiments of beam splitting apparatuses described above may be combined with any other of the embodiments of beam splitting apparatuses described above as appropriate. For example, an inclined ramp 859 provided in the gaps 857 between the spokes 853 of beam splitting apparatus 850 has been described above that is arranged such that a surface of the ramp 859 is generally parallel to the incoming radiation beam Bin. Such a ramp may be provided with any of the other embodiments of a beam splitting apparatus 300, 350, 400, 500. Advantageously, such ramps would increase the stiffness and thermal conductivity of the beam splitting apparatuses without interfering with the incoming radiation beam. In any of the above described embodiments of a beam splitting apparatus 300, 350, 400, 500, 800, 850 a reflective surface of the spokes may be curved, for example to compensate for energy differences or shape changes induced by other optical components in a beam delivery system. Generally, it will be appreciated that the term “grazing incidence angle” refers to the angle between the propagation direction of an incident radiation beam and a reflective surface that it is incident upon. This angle is complementary to the angle of incidence, i.e. the sum of the grazing incidence angle and the angle of incidence is a right angle. FIGS. 37 to 40 below illustrate different example arrangements of the undulator 24 of FIGS. 3 and 4. In each case it is to be understood that the radiation beam B emitted from the described undulator arrangement is as described above with reference to FIG. 3. An undulator 1030 which may be used to implement the undulator 24 in one embodiment is schematically illustrated in FIG. 37. The undulator 1030 comprises a plurality of undulator modules 1031, 1032, 1033 through which the bunched electron beam E is transmitted. An envelope of the electron beam E as it passes through the undulator 1030 is depicted by dot-dash line. While only three modules 1031, 1032, 1033 are shown in FIG. 37, it is to be understood that more or fewer modules may be provided. The undulator modules 1031, 1032, 1033 may be implemented in any appropriate way, but as described above generally comprise a plurality of magnets which produce a periodic magnetic field. For each undulator module 1031, 1032, 1033, a portion of a volume around a central axis of the undulator module may be considered to be a “good field region” (not shown). The good field region is a volume around the central axis in which the magnitude and direction of the magnetic field at a particular point is substantially equal to values at the closest point on axis of undulator. An electron bunch propagating within the good field region will satisfy the resonant condition of equation (1) and therefore will amplify radiation. Further, an electron beam E propagating within the good field region should not experience significant unexpected disruption due to uncompensated magnetic fields. Photon bunches P1, P2, P3 are shown generally overlapping with the electron bunch E at the beginning of each undulator module 1031, 1032, 1033 respectively. It can be seen that the photon bunch increases along the longitudinal axis of the undulator 1030 from left to right in the Figure. Due to a phenomenon commonly known as optical guiding, the photon bunches P1, P2, P3 generally follow the electron beam E within each undulator module 1031, 1032, 1033. Optical guiding is a consequence of two effects. The first effect is a result of light refraction within the electron beam E. Because the real part of the refraction index of the electron beam is maximal close to or at the electron beam center, the electron beam guides light in a similar manner to an optical fiber. The second effect is light amplification, because the gain of the FEL is highest where the current density is highest (that is close to or at the center of the electron beam E). Between the undulator modules (known as drift space), the photons and electrons are decoupled (i.e. they do not interact with each other). The bunched electron beam E has a finite emittance and will therefore increase in diameter unless refocused. The undulator 1030 therefore further comprises two refocusing elements 1034, 1035 each positioned between a different pair of adjacent modules (the modules 1031,1032 and 1032, 1033 respectively). Where additional modules are provided, a refocusing element may be provided between each module. The refocusing elements 1034, 1035 may comprise, for example, quadrupole magnets. The undulator 1030 further comprises two beam position monitors (BPMs) 1036, 1037 adapted to measure a deviation from an ideal position of the electron beam E within the undulator 1030 at two different axial locations. Although the envelope shown in FIG. 37 follows a uniform path, in practice the electron beam E may deviate from this path such that the envelope is distorted. This distortion may be detected by the BPMs 1036, 1037. The BPMs 1036, 1037 may implemented in any of a number of ways as will be readily appreciated the skilled person. Deviation of the trajectory of the electron beam E within an undulator will cause similar deviation of the trajectory of the radiation beam B. As a result of this deviation, the radiation beam B may not reach, or may not fall upon an optimal or acceptable portion of downstream optics such as optics within a beam expander, or within the beam splitting apparatus 20. It has been realised, however, that distortion of the trajectory of the radiation beam B may be addressed within the undulator itself, and may be addressed in the final modules of the undulator. The undulator 1030 further comprises two electron beam steering units 1038a, 1038b positioned between the module 1032 and the BPM 1036. The electron beam steering units 1038a, 1038b are arranged to steer the electron beam E, in both horizontal (z) and vertical (y) directions. The BPMs 1036, 1037 are connected to a control unit 1039 arranged to receive signals indicative of a position of the electron beam E from each of the BPMs 1036, 1037. The control unit 1039 is arranged to determine an amount by which the trajectory of the electron beam E deviates from a desired trajectory and to control the beam steering units 1038a, 1038b to steer the electron beam E such that it substantially follows the desired trajectory. Due to the distance between the exit of the undulator 24 and any immediately downstream optics (which may be, for example, a beam expander, or optics within the beam splitting apparatus 20), the lithographic system LS is more sensitive to changes in the tilt of the radiation beam B (i.e. the angle between the propagation direction of the radiation beam B and the longitudinal axis of the undulator 24) than it is to translation of the radiation beam B (i.e. an offset between the propagation direction of the radiation beam B and the longitudinal axis of the undulator 24). The arrangement 1030 shown in FIG. 37 provides a system which is able to correct a tilt of the radiation beam B at the exit of the undulator 24, where correction of the tilt is most effective. In this way, the undulator 1030 provides an arrangement which is able to align the electron beam E, and therefore the radiation beam B, with an ideal axis of propagation. Alternatively, or additionally, because the lithographic system LS is more sensitive to the tilt of the radiation beam B than to translation of the radiation beam B, the undulator 1030 may be used to produce an electron beam E that is parallel to a desired axis of propagation of the radiation beam B where an amount of translation of the radiation beam B away from an ideal axis of propagation is within a tolerance. In this way, the radiation beam B may still be properly processed by downstream optical elements. In an embodiment, downstream optical elements may themselves be translated in response to detected translations of the radiation beam B. It will be appreciated that the positions and numbers of the components depicted in the undulator 1030 are merely exemplary. For example, more than two BPMs may be provided, and more or fewer beam steering units may be provided. In alternative embodiments, the steering units 1038a, 1038b and the BPMs 1036, 1037 may be positioned differently within the undulator 1030. It has been determined to be advantageous, however, that the steering units are placed relatively close to the output of the undulator 1030, to reduce the effect of additional causes of displacement or instability on the electron beam E and, consequently, the radiation beam B. FIG. 38 illustrates an alternative undulator 1040 that may be used to provide, for example, the undulator 24 of FIG. 3 or 4. The undulator 1040 comprises a plurality of modules 1041, 1042, 1043. While only three modules are shown in FIG. 38, it is to be understood that more or fewer modules may be provided. The undulator 1040 further comprises two refocusing elements 1044, 1045 positioned between the modules 1041, 1042 and 1042, 1043 respectively, which may be implemented similarly to the refocusing modules 1032, 1033 of FIG. 37. The undulator 1040 further comprises an EUV intensity distribution sensor 1046 arranged to measure an intensity distribution within the radiation beam B. The intensity distribution sensor 1046 may be implemented in any suitable way as will be readily apparent to the skilled person. The intensity distribution sensor 1046 is depicted as comprising two parts, 1046a, 1046b vertically separated (in the y-direction). In this way, for example, if the part 1046a detects an increase in EUV power and the part 1046b simultaneously detects a decrease in EUV power, it may be determined that the beam has shifted in the y-direction towards the sensor 1046a. It will be appreciated, that the intensity distribution sensor 1046 may comprise other parts. For example, the intensity distribution sensor 1046 may also comprise parts separated in the z-direction, and may comprise parts separated in the x-direction. Further, the intensity distribution sensor may comprise parts separated in more than one direction. The undulator 1040 further comprises two electron beam steering units 1047, 1048 positioned between the module 1042 and the refocusing element 1045. The electron beam steering units 1047, 1048 are arranged to steer the electron beam E within the undulator in both horizontal (z-) and vertical (y-) directions. The intensity distribution sensor 1046 is connected to a control unit 1049 and is arranged to transmit signals indicating an intensity distribution within the radiation beam B to the control unit 1049. The control unit 1049 is arranged to: process the received indications from the intensity distribution sensor 1046; and to compare the intensity distribution within the radiation beam B with a desired intensity distribution. If the intensity distribution indicated by the intensity distribution sensor 1046 deviates from the desired intensity distribution, the control unit 1049 transmits control signals to the beam steering units 1047, 1048 to steer the electron beam E, and therefore the radiation beam B so that the intensity distribution of the radiation beam B is closer to the desired intensity distribution. In this way, the centre of the radiation beam B may be directed toward a centre position of a beam acceptance centre (or a sweet spot) of downstream optics. While shown as part of the undulator 1040, in an embodiment, one or more intensity distribution sensors 1046 may be placed at the entrance to, and/or exit of, the downstream optics. The intensity distribution sensor 1046 may however be placed at any position along the path of the radiation beam B. As described above, the path followed by the electron beam E through the undulator 24 may be sinusoidal and planar, with the electrons periodically traversing the central axis, or may be helical, with the electrons rotating about the central axis. Generally, for helical paths, a tilt of the electron beam E within the undulator 24 should not exceed 1/10ρ, where ρ is the Pierce parameter. In an embodiment, the Pierce parameter may be of the order of 0.1% indicating that an amount of steering performed by the steering units 1038a, 1038b or 1047, 1048 is likely to be less than 100 μrad. The bending of a relativistic electron beam is described by the equation (4):1/r=ecB/w (4)where r is the bending radius, e is the charge of an electron, B is the magnetic field, and w is the energy of the beam. From this it can be shown that the product of the magnetic field strength B in Tesla and the bending radius r in meters is approximately given by the energy w of the electron beam E in MeV divided by 300. (i.e. B*ρ(T*m)≈E (MeV)/300). For embodiments wherein the steering units comprise a steering magnet of having a length of approximately 0.1 m, a bend angle of 10 μrad may be achieved with a magnetic field of approximately 2*10−4 T while a bend angle of approximately 100 μrad can be achieved with a magnetic field of 2 mT. As such, steering the electron beam E through bends of less than 100 μrad can be achieved with relatively small magnetic fields which may be quickly established within the steering units 1038a, 1038b and 1047, 1048. It will be appreciated that the features of the arrangements depicted in the arrangements of FIGS. 37 and 38 may be combined. FIG. 39 illustrates an undulator 1050 which may be used to provide the undulator 24 and which comprises modules 1051, 1052, 1053 and refocusing elements 1054, 1055. The undulator 1050 further comprises BPMs 1056, 1057 which are equivalent to the BPMs 1036, 1037 of the arrangement of FIG. 37. The undulator 1050 further comprises an intensity distribution sensor 1058 (having parts 1058a, 1058b) which is equivalent to the intensity distribution sensor 1046 in the arrangement of FIG. 38. The undulator 1050 further comprises beam steering units 1059, 1060 arranged to receive instructions from a control unit 1061. In the undulator 1050, the control unit 1061 is arranged to receive signals indicative of a trajectory of the electron beam E from the BPMs 1056, 1057 and to receive signals indicative of a distribution of EUV radiation within the radiation beam B from the intensity distribution sensor 1058. In this way, the control unit 1061 may steer the electron beam E (using the steering units 1059, 1060) in dependence upon deviations within both the trajectory of the electron beam E and/or an intensity distribution of the radiation beam B. FIG. 40 illustrates an undulator 1070 that may be used to implement the undulator 24 in an alternative embodiment. For clarity, the electron beam envelope is not depicted in FIG. 40. The undulator 1070 comprises three modules 1071, 1072, 1073 and two refocusing elements 1074, 1075. The module 1073 is a planar module. That is, the path followed by the electrons of the electron beam E within the module 1073 is sinusoidal and planar, rather than helical. The modules 1071, 1072 may be helical or planar. The undulator 1070 further comprises a first steering unit 1076 placed before the module 1073 and a second steering unit 1077 placed after the module 1073. A control unit 1078 is in communication with both the first and second steering units 1076, 1077. The control unit 1077 is arranged to provide instructions to the first steering unit 1076 to actively, and periodically, alter the trajectory of the electron beam E and thereby redistribute the radiation beam B in the far-field. In particular, the steering unit 1076 may be controlled to periodically deflect the electron beam E through a deflection angle. The undulator 1070 may therefore sequentially direct the radiation beam B along different and spatially separated trajectories so as to provide separate EUV radiation beams B1, B2, B3 to different ones of the lithographic apparatus LA1-LA20. It will be appreciated that while only three radiation beams B1, B2, B3 are shown in FIG. 40, more or fewer radiation beams may be provided. For example, a respective radiation beam may be provided for each lithographic apparatus LA1 to LA20. In such embodiments, the beam splitting apparatus 20 may not be required, or may be simplified. For example, by deflecting the electron beam E so as to provide a respective separate radiation beams for each lithographic apparatus, it is not required to split a single radiation beam for provision to those multiple lithographic apparatus. Alternatively, where more than one radiation beam is provided, but a separate radiation beam is not provided each lithographic apparatus, each radiation beam need be split into fewer beams by a beam splitting apparatus for provision to each lithographic apparatus. Where multiple radiation beams are provided by the undulator 1070, each radiation beam may be provided with respective downstream optics, such as respective beam expanders, or respective beam splitters. Alternatively or additionally, the control unit 1078 may cause the steering unit 1076 to periodically sweep the electron beam E through a predetermined angle with substantially constant angular speed. In example embodiments, the electron beam E may be swept through angles of 10 μrad, 100 μrad or 1000 μrad, although it will be appreciated that the electron beam E may be swept through other angles. It may be desirable to produce a radiation beam with a substantially flat-top intensity distribution (also known as a top-hat intensity distribution). This may be achieved by conditioning optics downstream of the FEL. By sweeping the electron beam E, however, the intensity profile of the resulting radiation beam B, when averaged over a number of emitted pulses, may comprise a substantially flat-top intensity distribution in the far-field, with increased divergence compared to a non-swept beam. A radiation beam B produced by sweeping the electron beam E through an angle may therefore not need to be conditioned by conditioning optics to provide a flat-top distribution. Further, while further expansion of the radiation beam B may still be performed by downstream expanding optics, any required expansion will be reduced. The second steering unit 1077 is arranged after the module 1073 to redirect the electron beam E altered by the first steering unit 1076 towards the steering unit 25 and the dump 26. Both the steering unit 1076 and the steering unit 1077 steer the electron beam E in the plane perpendicular to the magnetic field lines in the module 1073. While the steering unit 1076 is placed before the final module 1073 of the undulator 1070, in other embodiments, the steering unit 1076 may be placed before a module that is not the last module of the undulator 1070 (for example the module 1072). In preferred embodiments, however, the steering unit 1076 is placed within a final portion of the undulator. For example, the steering unit may be placed before module that is closer to an exit of the undulator 1070 than it is to an entrance of the undulator 1070. It will also be appreciated that the embodiment of FIG. 39 may be combined with the embodiments of FIGS. 37 to 38. For example, BPMs may be provided in the arrangement of FIG. 39 to ensure that the electron beam is swept through the correct angular range. Similarly, one or more intensity distribution modules may be provided to monitor an intensity distribution of the single (averaged over time) or multiple radiation beams provided by an undulator arranged similarly to the undulator 1070. FIG. 41 shows a lithographic system LS4 according to one embodiment of the invention. The lithographic system LS4 comprises a radiation source SO1 and two lithographic apparatuses LA1-LA2. The radiation source SO1 is configured to generate two extreme ultraviolet (EUV) radiation beams B1-B2. In this embodiment, each of the EUV radiation beams B1-B2 generated by the radiation source SO1 is directed to a different one of the lithographic apparatuses LA1-LA2, by optics 1116. Optics 1116 may comprise beam expanding optics that are arranged to increase the cross sectional area of the radiation beams B1-B2. FIG. 42 shows a lithographic system LS5 according to another example embodiment of the invention. The lithographic system LS5 comprises a radiation source SO2 and four lithographic apparatuses LA1-LA4. The radiation source SO2 is configured to generate two EUV radiation beams B′,B″. In this embodiment, each of the EUV radiation beams B′,B″ generated by the radiation source SO2 is split into two branch radiation beams. The lithographic system LS5 comprises beam expanding optics 1117a, 1117b and beam splitting apparatus 1118a, 1118b respectively in the path of the radiation beams B′-B″ generated by the radiation source SO2. The lithographic apparatuses LA1-LA4 of FIGS. 41, 42 may be substantially as described above, with reference to FIG. 2. The beam expanding optics 1117a, 1117b are arranged to increase the cross sectional area of the radiation beams B′-B″. Advantageously, this decreases the heat load on optical components (such as mirrors) downstream of the beam expanding optics 1117a, 1117b. This may allow the mirrors downstream of the beam expanding optics to be of a lower specification, with less cooling, and therefore less expensive. Additionally or alternatively, it may allow the downstream mirrors to be nearer to normal incidence. Once expanded by the beam expanding optics 1117a, 1117b, radiation beam B′ is split into two branch radiation beams B1, B2 by beam splitting apparatus 1118a and radiation beam B″ is split into two branch radiation beams B3, B4 by beam splitting apparatus 1118b. Each beam splitting apparatus 1118a, 1118b may comprise one or more beam splitting apparatuses as described above. Beam expanding optics may not be provided in all embodiments, and in particular may not be necessary with particular beam splitting apparatuses. The radiation sources SO1, SO2 of FIGS. 41, 42 are configured to generate a plurality of EUV radiation beams and comprises a free electron laser. As described above with reference to FIGS. 3, 4, a free electron laser comprises an electron source and accelerator, which are operable to produce a bunched relativistic electron beam, and a periodic magnetic field through which the bunches of relativistic electrons are directed. The periodic magnetic field is produced by an undulator and causes the electrons to follow an oscillating path about a central axis. As a result of the acceleration caused by the magnetic structure the electrons spontaneously radiate electromagnetic radiation generally in the direction of the central axis. The relativistic electrons interact with radiation within the undulator. Under certain conditions, this interaction causes the electrons to bunch together into microbunches, modulated at the wavelength of radiation within the undulator, and coherent emission of radiation along the central axis is stimulated. The path followed by the electrons may be sinusoidal and planar, with the electrons periodically traversing the central axis, or may be helical, with the electrons rotating about the central axis. The type of oscillating path may affect the polarization of radiation emitted by the free electron laser. For example, a free electron laser which causes the electrons to propagate along a helical path may emit elliptically polarized radiation, which may be preferred for exposure of a substrate W by some lithographic apparatuses. Referring to FIG. 43, an example embodiment of the undulator 24 is shown, which comprises four undulator modules 1131, 1132, 1133, 1134. The undulator modules 1131, 1132, 1133, 1134 are arranged in series such that the electron beam E passes through each of the undulator modules in turn, starting with module 1131 and finishing with module 1134. Each of the undulator modules 1131, 1132, 1133, 1134 comprises an entrance and an exit. Each module 1131, 1132, 1133, 1134 further comprises a periodic magnet structure 1131a, 1132a, 1133a, 1134a, which is operable to produce a periodic magnetic field and is arranged so as to guide the relativistic electron beam E produced by the electron source 21 and linear accelerator 22 along a periodic path between the entrance and the exit of that module 1131, 1132, 1133, 1134. As a result, within each undulator module 1131, 1132, 1133, 1134, the electrons radiate electromagnetic radiation generally in the direction of a central axis of their periodic path through that module. The undulator modules 1131, 1132, 1133, 1134 shown in FIG. 43 are helical (i.e. the electron beam E follows a helical path through each undulator module). In alternative embodiments of the invention some or all of the undulator modules 1131, 1132, 1133, 1134 may be planar and some or all of the undulator modules 1131, 1132, 1133, 1134 may be helical. As electrons move through each undulator module 1131, 1132, 1133, 1134, they interact with the electric field of the radiation, exchanging energy with the radiation. In general the amount of energy exchanged between the electrons and the radiation will oscillate rapidly unless conditions are close to the resonance condition as described above equation (1). A region around a central axis of the undulator module 1131, 1132, 1133, 1134 may be considered to be a “good field region”. The good field region may be a volume around the central axis wherein, for a given position along the central axis of the undulator module 1131, 1132, 1133, 1134, the magnitude and direction of the magnetic field within the volume are substantially constant. An electron bunch propagating within the good field region may satisfy the resonant condition of Eq. (1) and will therefore amplify radiation. Further, an electron beam E propagating within the good field region should not experience significant unexpected disruption due to uncompensated magnetic fields. Each of the undulator modules 1131, 1132, 1133, 1134 may have a range of acceptable initial trajectories. Electrons entering an undulator module 1131, 1132, 1133, 1134 with an initial trajectory within this range of acceptable initial trajectories may satisfy the resonant condition of Eq. (1) and interact with radiation in that undulator module 1131, 1132, 1133, 1134 to stimulate emission of coherent radiation. In contrast, electrons entering an undulator module 1131, 1132, 1133, 1134 with other trajectories may not stimulate significant emission of coherent radiation. For example, generally, for helical undulator modules electron beam E should be substantially aligned with a central axis of the undulator module. A tilt or angle between the electron beam E and the central axis of the undulator module should generally not exceed 1/10ρ, where ρ is the Pierce parameter. Otherwise the conversion efficiency of the undulator module (i.e. the portion of the energy of the electron beam E which is converted to radiation in that module) may drop below a desired amount (or may drop almost to zero). In an embodiment, the Pierce parameter of an EUV helical undulator module may be of the order of 0.001, indicating that the tilt of the electron beam E with respect to the central axis of the undulator module should be less than 100 μrad. For a planar undulator module, a greater range of initial trajectories may be acceptable. Provided the electron beam E remains substantially perpendicular to the magnetic field of a planar undulator module and remains within the good field region of the planar undulator module, coherent emission of radiation may be stimulated. As electrons of the electron beam E move through a drift space between each undulator module 1131, 1132, 1133, 1134, the electrons do not follow a periodic path. Therefore, in this drift space, although the electrons overlap spatially with the radiation, they do not exchange any significant energy with the radiation and are therefore effectively decoupled from the radiation. The bunched electron beam E has a finite emittance and will therefore increase in diameter unless refocused. Therefore, the undulator 24 further comprises a mechanism for refocusing the electron beam E in between one or more pairs of adjacent modules 1131, 1132, 1133, 1134. Referring to FIG. 43, the undulator 24 comprises three quadrupole magnets 1161, 1162, 1163: a first quadrupole magnet 1161 between the first and second undulator modules 1131, 1132; a second quadrupole magnet 1162 between the second and third undulator modules 1132, 1133; and a third quadrupole magnet 1163 between the third and fourth undulator modules 1133, 1134. The quadrupole magnets 1161, 1162, 1163 reduce the size of the electron bunches and keep the electron beam E within the good field region of the undulator 24. This improves the coupling between the electrons and the radiation within the next undulator module, increasing the stimulation of emission of radiation. An electron which meets the resonance condition as it enters the undulator 24 will lose (or gain) energy as it emits (or absorbs) radiation, so that the resonance condition is no longer satisfied. Therefore, in some embodiments the undulator 24 may be tapered. That is, the amplitude of the periodic magnetic field and/or the undulator period may vary along the length of the undulator 24 in order to keep bunches of electrons at or close to resonance as they are guided though the undulator 24. The tapering may be achieved by varying the amplitude of the periodic magnetic field and/or the undulator period within each undulator module 1131, 1132, 1133, 1134 and/or from module to module. As described above, the interaction between the electrons and radiation within the undulator 24 produces a spread of energies within the electron bunches. The tapering of the undulator 24 may be arranged to maximise the number of electrons at or close to resonance. For example, the electron bunches may have an energy distribution which peaks at a peak energy and the tapering may be arranged to keep electrons with this peak energy at or close to resonance as they are guided though the undulator 24. Advantageously, tapering of the undulator 24 has the capacity to significantly increase conversion efficiency. For example, the use of a tapered undulator 24 may increase the conversion efficiency by a factor of more than 2. Tapering of the undulator 24 may be achieved by reducing the undulator parameter K along its length. This may be achieved by matching the undulator period and/or the magnetic field strength B0 along the axis of the undulator to the electron bunch energy to ensure that they are at or close to the resonance condition. Meeting the resonance condition in this manner increases the bandwidth of the emitted radiation. The undulator 24 comprises a plurality of sections, each section comprising one or more undulator modules. Referring to FIG. 43, the undulator 24 comprises two undulator sections 1151, 1152. The first undulator section 1151 comprises three undulator modules 1131, 1132, 1133 and the second undulator section 1152 comprises one undulator module 1134. The electron beam E comprises a plurality of spaced apart electron bunches, which enter the undulator 24 from the left side and move from left to right. The electron beam passes through a beam line pipe 1153, which comprises a metal pipe within the undulator 24. The electron beam line pipe 1153 may have a diameter of around 5 mm to 5 cm. In some embodiments, the electron beam line pipe 1153 may have a diameter of around 10 mm to 20 mm. For a planar undulator the electron beam line pipe 1153 may have a rectangular cross section, with a shorter dimension of around 10 mm to 20 mm in a direction of the magnetic field. A first electron bunch 1154 is shown entering the first undulator section 1151. A second electron bunch 1155 is shown at the end of the first undulator section 1151. As a result of the interaction of the electron beam with radiation within the first three undulator modules 1131, 1132, 1133 (as described above), the second electron bunch has developed micro-bunching and is accompanied by an associated photon bunch 1156. The photon bunches 1156 exiting the first undulator section 1151 form a pulsed radiation beam B1. The photon bunch 1156 is shown generally overlapping with the electron bunch 1155, with the photon bunch increasing along a central axis 1157 of the first undulator section (from left to right in FIG. 43). Due to a phenomenon commonly known as optical guiding, photon bunches generally follow their respective electron bunches within each undulator section 1151, 1152. Optical guiding is a consequence of two effects. The first effect is due to light amplification within the undulator modules 1131, 1132, 1133, 1134, since the gain of the free electron laser FEL is highest where the current density is highest (that is close to or at the center of the electron bunches). This first effect will only promote optical guiding within each undulator module 1131, 1132, 1133, 1134. The second effect is a result of light refraction within the electron beam E. Because the real part of the refraction index of the electron beam is maximal close to or at the center of the electron bunches, the electron beam E guides light in a similar manner to an optical fiber. The undulator 24 further comprises a steering unit disposed between one or more pairs of adjacent undulator sections. Referring to FIG. 43, the undulator 24 comprises a steering unit 1158 disposed between the first and second undulator sections 1151, 1152. The steering unit 1158 bends the electron beam by an angle 1159 with respect to the axis 1157 of the first undulator section 1151 along which the radiation beam B1 exiting the first undulator section 1151 propagates. A third electron bunch 1160 is shown after the steering unit 1158. Radiation beam B1 continues to propagate along the axis 1157 of the first undulator module 1151 while the electron bunch 1160 is shifted with respect to radiation beam B1 by a separation distance h, which is dependent on the bending angle 1159 and length L of the steering unit 1158. The steering unit 1158 is arranged to alter a trajectory of the electron beam E exiting the first undulator section 1151 so that when the electron beam E enters the second undulator section 1152 the electron beam E is at least partially separated from the radiation beam B1 exiting the first undulator section 1151. Therefore, although the electron beam E follows a periodic path within the second undulator section 1152, at least a portion of the radiation beam B1 exiting the first undulator section 1151 does not overlap spatially with the electron beam E as it propagates through the second undulator section 1152. As a result, the electron beam E does not interact with this portion of the radiation beam B1 whilst propagating through the second undulator section 1152. The electron beam E is effectively partially decoupled from the radiation beam B1 as it propagates through the second undulator section 1152. The separation distance h may be such that electron bunch 1160 is completely decoupled from photon bunch 1150, or may be such that electron bunch 1160 partially overlaps with photon bunch 1150. A decoupling of each electron bunch from its previously generated photon bunch is possible with relatively small bending angles and bending field lengths because the electron and photon bunches both have diameters of the order of 100 μm or less. For example, a decoupling may be achieved with a bending angle of around 100 μrad and a bending field length of around 1 m. The angle 1159 through which the electron beam E is bent in the steering unit 1158 may exceed a divergence of the EUV radiation beam B1 exiting the first undulator section 1151. The divergence of the EUV radiation beam B1 exiting the first undulator section 1151 may for example be around 100 μrad. For such embodiments, in the far field the free electron laser FEL will produce a plurality (in this example two) of EUV radiation beams that do not overlap and can be conditioned and used independently. Alternatively or additionally the angle 1159 through which the electron beam E is bent in the steering unit 1158 may be smaller than the divergence of the EUV radiation beam B1. For such embodiments, the EUV radiation beams will at least partially overlap in the far field and the undulator may therefore be used to provide a desired intensity distribution. The steering unit 1158 may include magnets arranged to decrease aberrations due to the energy spread developed within electron bunch during FEL process. These may comprise higher order magnets (for example sextupoles, octupoles). The steering unit 1158 and the first and second undulator sections 1151, 1152 are arranged such that the electron beam enters the entrance of the second undulator section 1152 with an initial trajectory within the range of acceptable trajectories for the first undulator module 1134 of the second undulator section 1152. Therefore, the electron beam E will interact with radiation in the second undulator section 1152 to stimulate emission of coherent radiation (producing a second radiation beam B2). In the embodiment shown in FIG. 43 the undulator modules 1131, 1132, 1133, 1134 are helical. Therefore to ensure that the electron beam E enters the entrance of the second undulator section 1152 with an initial trajectory within the range of acceptable trajectories for the first undulator module 1134 within the second undulator section 1152, the first and second undulator sections 1151, 1152 are arranged so that their central axes are not aligned. This allows the electron beam E to still fall within the good field region of the second undulator section 1152 despite being bent through angle 1159 by the steering unit 1158. The second undulator section 1152 may also be shifted in a direction of the central axis 1157 of the first undulator module 1151 (to the right in FIG. 43) in order to improve matching of the electron beam E and a central axis of the second undulator section 1152. The angle 1159 through which the electron beam E is bent in the steering unit 1158 may be sufficiently small that all of the radiation beams resulting in such arrangement fit within electron beam line pipe 1153. The electron beam line pipe 1153 may substantially follow the path that the electron beam E is to take through the undulator 24, while still allowing enough space for the portion of the radiation beam B1 that is effectively decoupled from the electron beam E. Advantageously, this allows the electron beam E to remain substantially in the centre of the beam line pipe 1153, thereby minimising losses due to wakefields. Alternatively, the beam line pipe 1153 may be aligned with the axis 1157 of the first undulator section 1151. After leaving the undulator 24, the two radiation beams B1, B2 are emitted by the free electron laser FEL and may be supplied to the lithographic apparatuses of a lithographic system LS4, LS5. The two radiation beams B1, B2 comprise EUV radiation. Optionally, one of the two radiation beams B1, B2 (or a portion thereof) may be guided to the entrance of one of the undulator sections, for example the first electron section 1151. This may serve as a seed radiation source, which is amplified by stimulated emission within the first undulator section 1151. A radiation beam used in this manner may have low power, for example less than few hundred Watts. Therefore mirrors that are placed close to undulator 24 output may be used to guide the radiation beam. Although the above described embodiment 24 comprises two undulator sections 1151, 1152 and a single steering unit 1151, other numbers of undulator sections and steering units may alternatively be used. This allows for more than two radiation beams to be output by the undulator 24. Referring to FIG. 44, an alternative embodiment of an undulator 1224 is shown, comprising three undulator sections 1251, 1252, 1253. For ease of explanation, some features shown in FIG. 43 are not depicted in FIG. 44. A first undulator section 1251 comprises two undulator modules 1231, 1232; a second undulator section 1252 comprises one undulator module 1233; and a third undulator section 1253 comprises one undulator module 1234. The electron beam E comprises a plurality of spaced apart electron bunches, which enter the undulator 1224 from the left side and move from left to right. The electron beam E passes through a beam line pipe 1214, which comprises a metal pipe within the undulator 1224. The electron beam E follows a trajectory 1210 that runs along the centre of the beam line pipe 1214. As the electron beam E propagates through the first undulator section 1251 it interacts with radiation in undulator modules 1231, 1232, generating a radiation beam B1. A steering unit 241 is arranged to alter a trajectory of the electron beam E exiting the first undulator section 1251 so that when it enters the second undulator section 1252 the electron beam E is at least partially decoupled from the radiation beam B1 exiting the first undulator section 1251. As a result, the electron beam E does not interact with at least a portion of the radiation beam B1 exiting the first undulator section 1251 whilst propagating through the second undulator section 1252 or the third undulator section 1253. The radiation beam B1 exiting the first undulator section 1251 is output by the free electron laser. The steering unit 241 and the first and second undulator sections 1251, 1252 are arranged such that the electron beam E enters the entrance of the second undulator section 1252 with an initial trajectory within the range of acceptable trajectories for the first undulator module 1233 of the second undulator section 1252. Therefore, the electron beam E will interact with radiation in the second undulator section 1252 to stimulate emission of coherent radiation (producing radiation beam B2). As with the previous embodiment, this is achieved by arranging the first and second undulator sections 1251, 1252 so that their central axes are not aligned. A steering unit 1242 is arranged to alter a trajectory of the electron beam E exiting the second undulator section 1252 so that when it enters the third undulator section 1253 the electron beam E is at least partially decoupled from the radiation beam B2 exiting the second undulator section 1252. As a result, the electron beam E does not interact with at least a portion of the radiation beam B2 exiting the second undulator section 1252 whilst propagating through the third undulator section 1253. The radiation beam B2 exiting the second undulator section 1252 is output by the free electron laser. The steering units 1241, 1242 are arranged to alter the trajectory of the electron beam E such that the electron beam E and each of the radiation beams B1, B2, B3 are accommodated within the electron beam line pipe 1214 and do not hit its walls. Advantageously, this avoids loss of radiation and heating up of the electron beam line pipe 1214. For embodiments wherein the undulator sections 1251, 1252, 1253 are planar, the steering units 1241, 1242 may be arranged such that a trajectory of the electron beam E remains substantially in one plane (substantially perpendicular to the magnetic field generated by the undulator 1224). Advantageously, this allows the beam line pipe 1214 to remain small in the direction perpendicular to said plane, which in turn allows a separation between magnets in the undulator 1224 to remain small. For embodiments, wherein the undulator sections 1251, 1252, 1253 are helical, the steering units 1241, 1242 may be arranged such that the directions of the electron beam E in each undulator section 1251, 1252, 1253 lie substantially on a cone. Advantageously, this allows a diameter of the beam line pipe 1214 to remain small while still accommodating the electron beam E and all generated radiation beams. The steering unit 1242 and the second and third undulator sections 1252, 1253 are arranged such that the electron beam E enters the entrance of the third undulator section 1253 with an initial trajectory within the range of acceptable trajectories for the first undulator module 1234 within the third undulator section 1253. Therefore, the electron beam E will interact with radiation in the third undulator section 1253 to stimulate emission of coherent radiation (producing radiation beam B3). In alternative embodiments to those described above with reference to FIGS. 43 and 44, each undulator section may comprise any number of undulator modules and in general different undulator sections may comprise different numbers of undulator modules. In some embodiments, the first undulator section may comprise more undulator modules than subsequent undulator modules. FIG. 45 shows a plot of the power of laser radiation as a function of distance L travelled through a conventional undulator (i.e. an undulator comprising a single undulator section). A single electron bunch 1400 enters the undulator and the free electron laser process starts with noise in a low power region 1450. The free electron laser process leads to micro-bunching of the electron bunch as it travels through the undulator leading to a build-up of laser power. During an exponential growth mode 1451, the electron 1401 are in a moderately micro-bunched state 1401. The micro-bunching increases until the electron bunches are in a fully micro-bunched state 1402 and the radiation power reaches saturation 1452. Within each undulator module of an undulator, as the relativistic electrons in each bunch interacts with its corresponding photon bunch, the radiation power changes. Within drift spaces (regions between undulator modules) the electrons do not follow a periodic path and are therefore decoupled from the radiation. The radiation power therefore remains substantially constant within these regions, indicated by regions 1460 in FIG. 45. The majority of radiation is extracted from each electron bunch in a part of the undulator 1462, in which micro-bunching is fully developed. In embodiments of the present invention, photons and associated electron bunches are separated or partially separated between two adjacent undulator sections, for example by deflecting the electron beam E in the drift space between these undulator sections. If this decoupling, or partial decoupling, of the electron beam E from its associated photon beam occurs when micro-bunching is fully, or nearly fully, developed then lasing rapidly resumes in a next undulator section. A build-up of radiation power (illustrated by a plot 1470 in FIG. 45) in a next undulator section occurs over a smaller distance than in the first undulator section where the free electron laser process starts from noise. If the photons associated with the electron bunches are only partially removed, a build-up of radiation power in a next undulator section may occur within an even shorter distance (illustrated by a plot 1471 in FIG. 45). Referring to FIG. 46, plots 1480, 1481, 1482 illustrate a power of respectively photon beams B1, B2, B3 emitted using an undulator according to an embodiment of the present invention as a function of distance L travelled through the undulator. The three photon beams B1, B2, B3 are emitted using an undulator comprising three undulator sections (e.g. as in the embodiment shown in FIG. 44). Plot 1480 shows the power of the first EUV radiation beam B1 produced in the first undulator section; plot 1481 shows the power of the second EUV radiation beam B2 produced in the second undulator section after a first bending of the electron beam E; and plot 1482 shows the power of the third EUV radiation beam B3 produced in the third undulator section after a second bending of the electron beam E. In this example, after each bending of the electron beam E, lasing restarts with part of the power of the radiation beam from the previous undulator section seeding the new beam (1485, 1486). That is, a portion of the first radiation beam B1 seeds the second radiation beam B2, setting an initial value 1485 of curve 1481; and a portion of the second radiation beam B2 seeds the third radiation beam B3, setting an initial value 1486 of curve 1482. As shown in FIG. 46, in general each of the plurality of radiation beams produced by an undulator according to an embodiment of the invention may have a different power. The power of each radiation beam does not change significantly after photons of that radiation beam have been separated from the electron beam E because only a very small portion of each radiation beam is used to seed the next undulator section. Radiation of differing power may be used to seed and/or restart lasing in the EUV beam after each bending of the electron beam E. In alternative embodiments, after each undulator section, the electron beam E is completely separated from the radiation beam generated in that undulator section such that no portion of the radiation beam interacts with the electron beam E in the next undulator section. In such embodiments, lasing in each new undulator section may start from noise. However, because the microbunches still exist, the increase in coherent radiation can be much faster than in the very first undulator section. An amount of tapering of the undulator 24 (i.e. how the undulator parameter K varies along the length of the undulator 24), a focusing of electron beam (also known as the lattice design) and the length and/or number of magnets per individual undulator module are parameters of an undulator 24 which can be tuned to tailor the performance of the free electron laser FEL. For example, the tapering and the focusing may be chosen so that some or each of the radiation beams output by the free electron laser FEL have sufficient power to supply a single lithographic apparatus and/or another EUV consuming device. Additionally or alternatively, they may be chosen so that the pre-micro-bunched electron beams leaving one undulator section develop photon beams with sufficient power to drive a lithographic apparatus tool or other device consuming EUV within a certain number of undulator modules in the next undulator section. These pre-micro-bunched electron beams leaving one undulator section may be partially seeded by a portion of the radiation beam leaving that section. Preferably, pre-micro-bunched electron beams are able to develop photon beams with sufficient power to drive a lithographic apparatus tool or other device consuming EUV within one or a few undulator modules. Although example embodiments described with reference to FIG. 43, 44 relate to undulators with two or three undulator section and radiation beams, alternative embodiments may comprise more undulator sections and radiation beams. In some embodiments, in addition to the steering unit, a drift space between each pair of undulator sections may comprise phase adjusting units arranged to provide optimal matching between seeding photon bunches and electron bunches. The phase adjusting units may for example comprise small undulator modules with field-controlled K values. Such phase adjusters can be used to control the power of one of the individual radiation beams. The K-values of the undulator modules in any undulator section may be independently adjustable, and/or the magnets within each undulator module may be independently adjustable. This provides control over the power of each of the plurality of radiation beams. The steering units may comprise electron beam shifting elements, which may be operable to shift the electron beam E in a direction substantially perpendicular to its propagation direction by up to few hundred μm. The electron beam shifting elements may be adjustable. Such arrangement provides independent control of the overlap of electron beam with radiation beams and an angle of separation between the radiation beams. The electron beam shifting elements may comprise a pair of dipole magnets. The electron beam E may be transversely expanded before being bent by a steering unit and may be transversely compressed back to its original dimensions afterwards. This may reduce degradation of bunch emittance of the electron beam E due to, for example, Coherent Synchrotron Radiation. Therefore, for such embodiments the drift space between each pair of undulator sections may comprise a beam expander, a steering unit and a beam compressor. Alternatively, as will be appreciated by the skilled person, the electron beam E may be bent in a direction parallel to the largest local dimension of the electron beam. FIG. 47 shows an example lithographic system LS6 comprising a radiation source SO3, a first optical element 1520 according to an embodiment of the invention, a beam delivery system 1519 and eight lithographic apparatuses LA1-LA8. The radiation source SO3 is configured to generate an extreme ultraviolet (EUV) radiation beam B (which may be referred to as a main beam). It will be appreciated that more or fewer lithographic apparatuses may be provided. The beam delivery system 1519 comprises beam splitting optics. The beam splitting optics splits the main radiation beam B into a plurality of radiation beams Ba-Bh (which may be referred to as branch beams), each of which is directed to a different one of the lithographic apparatuses LA1-LA8. The beam delivery system 1519 may further comprise beam expanding optics. The beam expanding optics may be arranged to increase the cross sectional area of the radiation beam B. This decreases the heat load on mirrors downstream of the beam expanding optics. This may allow the mirrors downstream of the beam expanding optics to be of a lower specification, with less cooling, and therefore less expensive. Additionally or alternatively, it may allow the downstream mirrors to be nearer to normal incidence. The beam expanding optics may be disposed upstream of the beam splitting optics, such that the main radiation beam B passes through the beam expanding optics before the beam splitting optics. In alternative embodiments, beam splitting optics may be disposed upstream of beam expanding optics. For such embodiments, separate beam expanding optics may be provided for each branch radiation beam Ba-Bh. In alternative embodiments, the beam delivery system 1519 may not comprise beam expanding optics. The radiation source SO3, first optical element 1520, beam delivery system 1519 and lithographic apparatuses LA1-LA8 may all be constructed and arranged such that they can be isolated from the external environment. A vacuum may be provided in at least part of the radiation source SO3, first optical element 1520, beam delivery system 1519 and lithographic apparatuses LA1-LA8 so as to minimise the absorption of EUV radiation. Different parts of the lithographic system LS6 may be provided with vacuums at different pressures (i.e. held at different pressures which are below atmospheric pressure). The lithographic apparatuses LA1-LA8 may be substantially as described above with reference to FIG. 2. The following discussion relates to a source comprising a free electron laser, and in particular to radiation generated by a free electron laser. It will be appreciated that a free electron laser is not essential to the invention. Embodiments of the invention may incorporate other high power radiation sources. With reference to FIG. 47, in addition to the main radiation beam B, the undulator 24 of a free electron laser (FEL) emits ionizing radiation R such as, for example, gamma radiation and neutrons. This additional ionizing radiation R is undesirable since it is a health hazard and may be damaging to susceptible materials, such as magnets in actuators and motors. Therefore the lithographic system LS6 is provided with a reflective first optical element 1520 arranged to deflect a portion of the EUV radiation beam B so as to form a reflected radiation beam B′ and to either transmit of absorb the additional ionizing radiation R. The free electron laser may be disposed within a bunker arranged to contain ionizing radiation and the first optical element 1520 may also be disposed within the bunker. In this way, the EUV radiation beam B may be directed towards the beam delivery system 1519 via an aperture in the bunker which is not aligned with the axis of the undulator 24. The additional ionizing radiation R will either be absorbed or will continue to propagate generally in the direction of the axis of the undulator 24 and will be contained by the bunker. The radiation beam B output by the free electron laser FEL may have a substantially circular cross section and a Gaussian-like intensity profile. The radiation beam B produced by an EUV free electron laser typically has a relatively small etendue. In particular, the EUV radiation beam B produced by a free electron laser FEL has a significantly smaller etendue than an EUV radiation beam that would be generated by a laser produced plasma (LPP) source or a discharge produced plasma (DPP) source (both of which are known in the prior art). For example, the radiation beam B may have a divergence less than 500 μrad, for example less than 100 μrad. The radiation beam B may for example have a diameter of around 50 μm to 100 μm as it leaves the undulator 24. In order to support high throughput for the eight EUV lithographic apparatus LA1-LA8, the output power of the free electron laser FEL may be of the order of tens of kilowatts, for example around 30 kW. At such powers, since the initial diameter of the radiation beam B produced by the free electron laser is so small, the power density of the radiation beam B will be significant. Further, since the divergence of the radiation beam B produced by the free electron laser is so small, the power density of the radiation beam B will decrease very slowly with increasing distance. Therefore, the first optical element 1520 is disposed within the bunker that contains the free electron laser FEL but, for thermal reasons, relatively distant from the undulator 24. For example, the first optical element may be disposed around 1520 m from the exit of the undulator 24. For a radiation beam with an initial diameter of the order of 1550 μm and a divergence of around 50 μrad, at a distance of 1520 m from the undulator the diameter of the radiation beam is approximately 2 mm. The thermal load on the first optical element 1520 may be reduced by arranging for the radiation beam B to be incident on the first optical element 1520 at a small grazing incidence angle, for example a grazing incidence angle of around 2 degrees. This will spread the radiation over a larger beam spot area and will also increase the reflectivity of the first optical element 1520. For a radiation beam B with a power of 30 kW, assuming that 5% of the energy of the beam is absorbed by the first optical element 1520, the first optical element 1520 will be subject to a heat load of around 1500 W. At a distance of 1520 m and with a small grazing incidence angle, this heat load may be spread over an area on the surface of the first optical element 1520 of the order of 1 cm2. For example, for a circular radiation beam with a beam diameter of 2 mm incident on the first optical element 1520 at a grazing incidence angle of 2 degrees, the heat load is spread over an elliptical area on the surface of the first optical element 1520 of 0.9 cm2. FIG. 48 shows an optical element 1550, which may form the first optical element 1520 of the lithographic system LS6. The optical element 1550 comprises a generally disc-shaped body 1560 and a reflective surface 1570 provided on the body 1560 for receiving the radiation beam B from the free electron laser FEL so as to form a beam spot region 1580. The optical element 1550 is arranged such that the radiation beam B is incident on the reflective surface 1570 at a small grazing incidence angle, for example, a grazing incidence angle of around 2 degrees. Therefore, the beam spot region 1580 is an elongate ellipse shape. The optical element 1550 further comprises a movement mechanism (not shown) that is operable to rotate the body 1560 about a rotation axis 1590. For example, the disc-shaped body 1560 may comprise a shaft extending along the rotation axis 1590. The shaft may be supported by one or more bearings, for example two bearings. The shaft may be driven to rotate by any suitable mechanism such as a motor or engine. A direction along, or parallel to, the rotation axis 1590 may be referred to as an axial direction. A direction running to or from the rotation axis 1590 and perpendicular to said rotation axis 1590 may be referred to as a radial direction. The reflective surface 1570 is disposed on an axially facing surface of the body 1560. As the movement mechanism rotates the body 1560 about the rotation axis 1590, the reflective surface 1570 rotates, causing the beam spot region 1580 to move over the reflective surface 1570. The beam spot region 1580 follows a periodic path, in particular a circular path, over the reflective surface 1570. Therefore, as the body 1560 rotates, the beam spot region 1580 traces out an annular shaped region of the reflective surface 1570. In some embodiments, the reflective surface 1570 may be curved in order to cause expansion of the beam B. For example, the reflective surface may form part of a sphere or part of a torus. In the below embodiments it is described that curvature of the reflective surface 1570 may arise from heat transfer from the radiation beam into the body 1560. Curvature caused by such heat transfer may be additional curvature where the reflective surface 1570 is curved without such heat transfer. In general, a two dimensional surface may curve differently in different directions. In the following, it will be appreciated that “a curvature of a surface in a given direction at a given point on said surface” means a curvature of the curve that is formed by the intersection of said surface and a plane containing the normal vector of the surface at that point and a vector in said given direction. A fraction of the power of the radiation beam B is absorbed by the optical element 1550, causing the reflective surface 1570 to heat up. Since the movement mechanism is operable to move the reflective surface 1570 such that the beam spot region 1580 moves over the reflective surface 1570, the power absorbed by the optical element 1550 is spread over a larger area, decreasing the density of the heat load. This allows the optical element 1550 to receive radiation beams with higher power densities, in contrast to static optical elements of the same or similar dimension. The optical element 1550 will absorb a fraction of the energy of the radiation beam B, causing a temperature gradient extending generally axially away from the reflective surface 1570. Heat will flow down this temperature gradient, axially away from the reflective surface 1570 and through the body 1560. As a result of the axial temperature gradient, different parts of the body 1560 will expand differently, which will cause the reflective surface 1570 to distort such that the reflective surface becomes convex, curving in the radial direction. For a body with two opposed surfaces separated by a distance d (the thickness of the body), if a heat load of Q Watts is applied evenly to one of the surfaces, ignoring edge effects, the difference in temperature ΔT between the two surfaces is given by: Δ T = d λ A Q , ( 5 ) where A is the area over which the heat is applied and is the thermal conductivity of the body. The body 1560 may for example be formed from silicon which has a thermal conductivity of around 150 Wm−1K−1. For a radiation beam B with a power of 30 kW, assuming that 5% of the energy of the beam is absorbed by the first optical element 1550, the first optical element 1550 will be subject to a heat load of around 1500 W. For an incoming radiation beam B with a diameter of 2 mm and a grazing incidence angle of 2 degrees, the beam spot region 1580 is an ellipse with a minor axis length of 2 mm and a major axis length of around 58 mm. Therefore, if the major axis of the ellipse extends in a radial direction the heat load will be spread out around an annular region of the reflective surface 1570 with a radial extent of around 58 mm. If the inner radius of the annular region is 80 mm then the area over which the heat load is applied will be around 0.04 m2. If the axial thickness of the body 1560 is 1520 mm then this heat load of 1500 W over an area of 0.04 m2 will result in a temperature difference of around 5 K across the two opposite axially facing surfaces of the body 1560. For a body with two opposed surfaces separated by a distance d (the thickness of the body), if one of the two opposed surfaces is heated evenly such that there is a temperature ΔT between the two surfaces then the heated surface will become convex, with a radius of curvature R given by: R = d αΔ T , ( 6 ) where α is the thermal expansion coefficient of the body. The thermal expansion coefficient of silicon is 2.5×10−6 K−1. Therefore, the radius of curvature of the reflective surface 1570 (using the example dimensions above and assuming a temperature difference of 5 K), will be around 1600 m. The reflective surface 1570 will therefore act like a cylindrical lens with a focal length f (in the plane of incidence of radiation beam B) given by f=bR/2, where R is the radius of curvature and b is the grazing incidence angle of the radiation beam B in radians. For a radius of curvature of 1600 m and a grazing incidence angle of 0.035 radians (equivalent to 2 degrees), the focal length will be around 28 m. Note that in the above calculations, for simplicity it has been assumed that the heat load from the radiation beam is spread uniformly over a fixed (annular) area of the reflective surface 1570. However, in general, the heat load may vary across the fixed area. The heat load on any given portion of the fixed area is dependent upon the intensity distribution of the radiation beam B, the grazing incidence angle and the path that the beam spot region 1580 follows over the reflective surface 1570 as the body 1560 rotates. Therefore, in general, in addition to the axial temperature gradient there will be a temperature gradient in the plane of the reflective surface 1570, in the radial direction, within the fixed area of the reflective surface 1570. As a result, the reflective surface 1570 will deform differently at different radial positions within the fixed area of the reflective surface 1570. That is, a local radius of curvature in the radial direction at a given location on the reflective surface 1570 will be a function of the radial position of that given location. As a result, the reflective surface 1570 will no longer act as a cylindrical lens. Rather, different parts of the reflective surface 1570 will, in general, have different focal lengths. The curvature of the reflective surface 1570 that results from the heat load of the radiation beam B may be problematic, especially because the radius of curvature of the reflective surface 1570 is dependent on the incident heat load. Therefore, the optical element 1550 may further comprise a distortion mechanism for altering a curvature of the reflective surface 1570. The distortion mechanism may be arranged to alter the curvature of the reflective surface 1570 so as to at least partially correct for curvature of the reflective surface 1570 caused by the radiation beam B. Since the beam spot region 1580 follows a periodic path on the reflective surface 1570, provided the beam spot region moves sufficiently quickly, the curvature of the reflective surface 1570 caused by the radiation beam B in a direction along the period path is negligible. That is, for a given radial position the intensity is the same around the fixed area. The direction of maximum induced curvature is in a direction perpendicular to the periodic path, i.e. in the radial direction. Such a curvature is simpler to correct for using the distortion mechanism. As shown in FIG. 49, in some embodiments, the incoming radiation beam B passes over one side of the reflective surface 1570 of the body 1560, through the rotation axis 1590, and approaches the beam spot region 1580. As the radiation beam B is incident upon the beam spot region 1580, its propagation direction is generally in a (local) radial direction (i.e. perpendicular to the rotation axis 1590), with a small axial component (i.e. parallel to the rotation axis 1590). The size of the axial component is determined by the grazing incidence angle of the radiation beam B. Advantageously, for such embodiments, the radial extent of the annular region 1581 (only a portion of this is shown in FIG. 49) of the reflective surface 1570 over which the thermal load is applied is maximised, since it is given by the length of the major axis of the beam spot region 1580 (which is dependent on the diameter of the radiation beam B and the grazing incidence angle). In alternative embodiments, the radiation beam B may approach the reflective surface in such a way that the beam spot region 1580 that it forms has a different orientation relative to the rotation axis 1590 (i.e. such that the major axis of the beam spot region does not, or does not wholly, extend in the radial direction). For example, referring again to FIG. 49, the incoming radiation beam B may not pass over the rotation axis 1590, and as the radiation beam B is incident upon a beam spot region 1580′, its propagation direction may be generally in a (local) tangential direction (i.e. perpendicular to both the rotation axis 1590 and the radial direction), with a small axial component (i.e. parallel to the rotation axis 1590). For such embodiments, the radial extent of the annular region 1581′ (only a portion of this is shown in FIG. 49) of the reflective surface 1570 over which the thermal load is applied is given by the diameter of the radiation beam B. Therefore such embodiments have less spreading of the heat than those wherein the major axis of the beam spot region extends in a radial direction. Due to thermal expansion, the reflective surface 1570 will develop an annular shaped ridge. This ridge will be steeper for beam spot region 1580′ than for beam spot region 1580. For embodiments wherein the major axis of the beam spot region extends in a tangential direction, the beam spot region 1580′ is generally aligned with the annular ridge. Therefore there will be less height variation of the reflective surface 1570 along the major axis of the beam spot region 1580′. The reflected radiation beam B′ may be slightly more sensitive to height variations along the major axis of the beam spot region than along the minor axis of the beam spot region. Additionally, since the incoming radiation beam B does not pass through the rotation axis 1590, the body 1560 of the optical element 1550 can be supported for rotation on both of its opposed axial sides. This allows, for example, a shaft to extend out of the reflective surface 1570 of the body 1560 without blocking the radiation beam B. This may allow, for example, the shaft to be supported by bearings on either side of the body 1560, allowing for easier and more stable implementation than provided by a single-side axle mounting. A distortion mechanism may be operable to alter a radial curvature of the reflective surface 1570. For example, the distortion mechanism may be operable to apply a generally axial force to a radially outer edge of the body. Various different embodiments of distortion mechanisms are possible. Some examples of distortion mechanisms are now described with reference to FIGS. 50 to 55. FIG. 50 shows an optical element 1600, which may form the first optical element 1550 of FIGS. 48 and 49. Features of optical element 1600 that are identical to those of optical element 1550 share common labels and are not described in detail below. A shaft 1611 extends axially from the body 1560 along the rotation axis 1590. The shaft is supported by one or more bearings (not shown), for example two bearings. The movement mechanism comprises a motor 1620 which is operable to drive the shaft 1611 to rotate. The optical element 1600 is provided with a distortion mechanism 1601, which comprises a plurality of masses 1630 extending axially away from the generally disc shaped body 1560. Each of the plurality of masses 1630 is generally spherical in shape. In alternative embodiments, the plurality of masses may have another shape. The plurality of masses 1630 are distributed evenly around the circumference of the body 1560. This distortion mechanism 1601 is suitable for altering a curvature of the reflective surface 1570 as now described. The rotation of the body 1560 causes a centrifugal force to act on the plurality of masses 1630 in an outward radial direction. The centrifugal force generates a moment that acts on a radially outer edge of the body 1560, altering a radial curvature of the reflective surface 1570. The bending moment that is applied to the radially outer edge of the body 1560 is proportional to the square of the rotation rate of the body 1560. Therefore, by altering the rotation rate, the level of distortion of the reflective surface 1570 may be controlled. For example, the rotation rate may be varied in dependence on the heat load applied by the radiation beam B. For a disc with a radius of around 150 mm, receiving a heat load of 1500 W over an annular region of the reflective surface 1570 with an area of around 0.04 m2, a torque of the order of 0.05 Nm may be used to provide a sufficient bending moment to substantially correct for the deformation caused by the heat load. This could be achieved with, for example, a total mass of around 1 kg, axially displaced from the body by around 5 cm and a rotation speed of 4 rad/s or 0.65 Hz. Each of the plurality of masses 1630 is connected to the disc shaped body 1560 via an axially extending wall section 1632. The axially extending wall sections 1632 for each pair of adjacent masses 1630 are connected by a wall section 1634. Each wall section 1634 is arcuate. In alternative embodiments, the axially extending wall sections 1632 for each pair of adjacent masses 1630 may be connected by a wall section 1634 with another shape. For example, in some embodiments the wall sections may be forked arcuate wall sections. The wall sections 1634 between each pair of adjacent masses 1630 distribute the moment over the entire circumference of the body 1560. The shape of the wall sections 1634 may be optimized to ensure a substantially even distribution of the moment over the entire circumference of the body 1560. The distortion mechanism 1601 employed by the first optical element 1600 provides a simple mechanism for altering a curvature of the reflective surface 1570. The amount of curvature can be adjusted by varying the speed of rotation of the body 1560. The first optical element 1600 may further comprise a cooling mechanism as now described. An example cooling mechanism comprises a static cooling device 1640, disposed adjacent to an axially facing surface of the body 1560 opposite to the reflective surface 1570. A narrow gap is provided between the rotating body 1560 and the static cooling device 1640. The gap is filled with a layer of liquid metal 1642, which is kept in place by capillary forces. The metal may comprise a fusible alloy which melts at a relatively low temperature. For example, the metal may comprise an alloy of gallium and indium, which may contain 75.5% gallium by weight and 24.5% indium by weight. Such an alloy has a melting point of 15.7° C. The static cooling device 1640 is provided with channels 1644 for receiving a flow of fluid such as, for example, water, to transport heat away from the cooling device 1640. Such a cooling mechanism allows water cooling of the rotating body 1560 without using rotating water couplings. This avoids, or at least significantly reduces, the risk of water leakage. The use of a liquid metal layer to transfer heat is a robust technique which is compatible with ultra-high vacuum conditions (as required for the EUV radiation beam B) and high angular velocities of the body 1560. In other embodiments, heat may be transferred between the rotating body 1560 and the static cooling device 1640 through radiation. For example, opposed surfaces of the body 1560 and the static cooling device 1640 may be provided with coatings of a high emissivity material to promote radiation by the body 1560 and absorption of the emitted radiation by the static cooling device 1640 across a narrow gap there between. The gap may be filled with a gas such as hydrogen, which may provide additional cooling of the body 1560 by thermal conduction. FIG. 50A shows an alternative optical element 1650, which may form the first optical element 1550 of FIGS. 48 and 49. In the arrangement of FIG. 50A, functions of cooling and shape correction are combined. In the optical element 1650, the rotating body 1560 is provided within a housing 1651. The reflective surface 1570 rotating body 1560 forms an upper face of the housing 1651 such that a cavity is formed within the housing beneath the rotating body 1560. At least one nozzle 1652 is provided within the cavity of the housing 1651 beneath the rotating body 1560. The nozzle 1652 is connected to a supply of coolant fluid (not shown) by a pipe 1653 and is arranged to spray a coolant fluid to the back side of the rotating body 1560. Upon contact with the back side of the rotating body, the coolant fluid evaporates within the housing. For example, to achieve 1 kW of cooling power, 0.5 mL/s of liquid water may be evaporated. The evaporated coolant vapour is isolated from the vacuum of the beam delivery system using a pumped no-contact seal 1654 at an interface between the rotating body 1560 and the housing 1651. Pumps 1655 pump gas into a pumping channel to prevent the escape of coolant vapour. While only a single pumping channel is shown in FIG. 50A, the seal 1654 may comprise a plurality of pumping stages. An exhaust 1656 allows coolant vapour to escape the housing 1651. An adjustable valve 1657 allowing pressure within the cavity to be regulated. For example, for water vapour, a pressure of that at room temperature (about 2.5 kPa) will generate a force on the rotating body which will tend to bend the rotating body 1560 in a direction opposite to the direction of deformation due to heat load; by regulating the valve 1657, one is able to adjust the pressure within the cavity such that the rotating body 1560 assumes a “neutral” shape. A pressure sensor 1568 may be provided to monitor a pressure in the cavity. In an embodiment, the nozzle (or nozzles) 1652 generate a coolant flux onto the back surface of the rotating body 1560 such that the cooling power varies with the radial position on the rotating body 1560, in order to correct for spatial variation in incident heat load. Additionally, by varying the cooling along the radial position on the rotating body 1560, the shape of the thermal deformation may be adjusted with greater freedom. In an embodiment, at least some coolant does not evaporate, but rather drips from the back surface of the rotating body. In this case, the higher the heat load applied to the rotating body 1560, the more coolant evaporates, the higher the pressure in the cavity, and the higher the resulting force on the rotating body 1560. In this way, the shape correction effect of the pressure can be made self-adapting to adjust to higher heat load. FIG. 51 illustrates an alternative optical element 1700, which incorporates a distortion mechanism 1601. Features of optical element 1700 that are identical to those of first optical elements 1550, 1600 share common labels and are not described in detail below. Optical element 1700 differs from optical element 1600 of FIG. 50 in that it comprises a generally disc shaped body 1710 with an axial thickness which varies in a radial direction. The reflective surface 1570 remains generally flat and the variation in the axial thickness is achieved by altering the shape of an axially facing rear surface of the body 1710, which is opposite to the reflective surface 1570. Further, the optical element 1700 comprises a static cooling device 1740 which has a generally complimentary shape to the axially facing rear surface of the body 1710. The cross sectional shape of the body 1710 in a plane containing the rotation axis 1590 is such that maximum counter-bending of the reflective surface 1570 occurs at a radial position that receives the largest heat load from the radiation beam B. For example, as discussed above, the radiation beam B output by the free electron laser FEL may have a substantially circular cross section and a Gaussian-like intensity profile. When incident at a small grazing incidence angle, such a circular cross section beam will produce an elongate elliptical beam spot region 1580. Due to the Gaussian-like intensity profile a centre of the elliptical beam spot region 1580 will receive the largest heat load and the edges of the beam spot region 1580 will receive the smallest heat load. An example heat load from a Gaussian-like radiation beam B is indicated by arrows 1750. For such a heat load, the axial thickness of the body 1710 is smallest at a radial position corresponding to the centre of the beam spot region 1580 and is largest at radial positions corresponding to the edges of the beam spot region 1580. Such an arrangement allows a different curvature to be applied by the distortion mechanism 1601 at different radial positions by the application of a single generally axial force, in this embodiment provided by the centrifugal force acting on the masses 1630. With reference to FIGS. 52 to 54, an optical element 1800 is now described, which may form the optical element 1550 of FIGS. 48 and 49. Features of optical element 1800 that are identical to those of optical elements 1550, 1600, 1700 share common labels and are not described in detail below. The optical element 1800 is provided with a distortion mechanism 1801, which comprises a plurality of members 1810 extending axially away from the generally disc shaped body 1560. Each of the plurality of members 1810 is formed from a magnetic material and may be of the form of a ferromagnetic plate. Each of the plurality of members 1810 may be formed from a soft magnetic material, which is only magnetised in the presence of an external magnetic field. Such soft magnetic material may be preferred to, for example, permanent magnets because permanent magnets may be affected more by the radiation R emitted from the free electron laser FEL. The plurality of members 1810 are distributed evenly around the circumference of the body 1560. The distortion mechanism further comprises two electrical coils 1812, 1814. The electrical coils 1812, 1814 are stationary, concentric and each form a ring centred on the rotation axis 1590 at substantially the same axial position. A first electrical coil 1812 is disposed radially inwards from the plurality of members 1810 and second electrical coil 1814 is disposed radially outwards from the plurality of members 1810. Each of the coils 1812, 1814 may comprise a multi-strand conductor. A current passes in opposite directions around the first and second coils 1812, 1814. As shown in FIG. 53, the two coils 1812, 1814 generate a magnetic field 1816 in the vicinity of the plurality of members 1810 which will apply a generally axial force to them. In turn, this generally axial force is transmitted to a radially outer edge of the body 1560. Therefore, a generally axial force that is applied to a radially outer edge of the body 1560 is generated electromagnetically. This generally axial force will generate a bending moment onto the disc, altering a curvature of the reflective surface 1570. Since a local bending moment will vary with radial distance, an axial thickness of the body 1560 may vary (not shown in FIG. 52). For example, the axial thickness may be largest near the rotation axis 1590, tapering to a smaller thickness towards the edge of the body 1560. Referring to FIG. 54, the two coils 1812, 1814 are separated radially by a distance D. Each of the plurality of members 1810 is disposed at a radial position which is midway between the two coils 1812, 1814. The magnetic field at this radial position, as a function of the axial distance y from the two coils 1812, 1814 is given by: B y = μ 0 NID 2 π ( y 2 + D 2 4 ) , ( 7 ) where I is the current flowing through the two coils, N is the number of windings in each coil, μ0 is the magnetic permeability of vacuum, y is the axial distance from the two coils and D is the radial separation of the two coils. Here it is assumed that D and y are much smaller than the diameter of each of the current loops. The maximum field gradient occurs at y=D/2. Such an arrangement provides a simple mechanism for altering a curvature of the reflective surface 1570. The amount of curvature applied can be adjusted by varying the current through the two electrical coils 1812, 1814. FIG. 55 shows an optical element 1900, which may form the optical element 1550 of FIGS. 48 and 49. Features of optical element 1900 that are identical to those of first optical elements 1550, 1600, 1700, 1800 share common labels and are not described in detail below. The first optical element 1900 comprises an internal cooling system 501, as now described. The internal cooling system 501 comprises one or more channels 1982 for a flow of cooling fluid, such as water, that extend between an inlet 1982a and an outlet 1982b. The one or more channels 1982 are at least partially disposed in a generally disc shaped body 1910 on which the reflective surface 1570 is disposed. The inlet 1982a and outlet 1982b are disposed on a shaft 1911, which is supported for rotation by two bearings 1912, 1913. The channels 1982 extend axially to and from the body 1910 via the shaft 1911. The internal cooling system 501 further comprises a stationary coolant feed 1984 adjacent to the shaft 1911. The stationary coolant feed 1984 is provided with an inlet 1986 and an outlet 1988. Cool water passes into the stationary coolant feed 1984 via the inlet 1986. As the shaft 1911 rotates, the inlet 1986 is periodically aligned with the inlet 1982a of internal channels 1982, allowing the cool water to pass into the channel 1982 and move towards the rotating body 1910. Heated water passes back down the shaft 1911 from the body 1910. As the shaft 1911 rotates, the outlet 1988 is periodically aligned with the outlet 1982b of internal channels 1982, allowing the heated water to pass from the channel 1982 into the outlet 1988. In alternative embodiments, the stationary coolant feed 1984 and/or the shaft 1911 may be provided with a first circumferentially extending groove at an axial position corresponding to that of inlet 1982a and inlet 1986 and a second circumferentially extending groove at an axial position corresponding to that of outlet 1982b and outlet 1988. The first circumferentially extending groove allows inlet 1982a to be in continuous fluid communication with inlet 1986 and the second circumferentially extending groove allows outlet 1982b to be in continuous fluid communication with outlet 1988. This may increase the efficiency of the internal cooling system 501. The optical element 1900 is mounted on a wall 1990 such that the body 1910 is disposed on one side of the wall 1990 and the shaft 1911 extends through an aperture in the wall 1990 to an opposite side. The wall is provided with a vacuum seal 1992 allowing the two sides of the wall 1990 to be maintained at different pressures. For example, the side of the wall on which the body 1910 is disposed may be maintained at high vacuum, as required by the EUV radiation beam B, while the opposite side may be at atmosphere pressure. The internal cooling system of the optical element 1900 can provide cooling very close to the reflective surface 1570 thus minimizing thermal deformation of the reflective surface 1570. In turn, this can significantly reduce the amount of counter-bending required to correct for the thermal deformation of the reflective surface 1570. The first optical element 1900 is provided with a distortion mechanism, which comprises a heating element 1960 arranged to apply a thermal load to an axially facing surface of the body 1910 opposite to the reflective surface 1570, in the vicinity of the beam spot region 1580. The thermal load applied may be generally similar to the thermal load applied by the radiation beam B to the beam spot region 1580. Such an arrangement effectively reduces the axial temperature gradient over the body 1910 and therefore reduces the curvature of the reflective surface in the radial direction that arises as a result of this axial temperature gradient (see Eqs. (5) and (6) above). Alternatively, the thermal load applied may be generally complementary to the thermal load applied by the radiation beam B to the beam spot region 1580. It is to be understood that a second thermal load is generally complementary to a first thermal load if in regions where the first thermal load is relatively low, the second thermal load is relatively high and vice versa. For example, when the thermal load applied by the radiation beam B to the beam spot region 1580 is Gaussian-like the thermal load applied by heating element 1960 may be higher at the edge of the beam spot region 1580 and lower towards the centre of the beam spot region 1580. Such an arrangement may better correct for the variation in heat load applied by the radiation beam B to different parts of the reflective surface 1570. FIG. 56 shows an optical element 2000, which may form the first optical element 1520 of the lithographic system LS6. The optical element 2000 comprises a generally disc-shaped body 2010 and a reflective surface 2020 provided on the body 2010 for receiving the radiation beam B from the free electron laser FEL so as to form a beam spot region 2030. The first optical element 2000 is arranged such that the radiation beam B is incident on the reflective surface 2020 at a small grazing incidence angle, for example, a grazing incidence angle of around 2 degrees (around 0.035 radians). Therefore, the beam spot region 2030 is an elongate ellipse shape. For an incoming radiation beam B with a diameter of 2 mm and a grazing incidence angle of 2 degrees, the beam spot region 2030 is an ellipse with a minor axis length of 2 mm and a major axis length of around 58 mm. The first optical element 2000 further comprises a movement mechanism that is operable to rotate the body 2010 about a rotation axis 2040. The movement mechanism comprises a shaft 2011 extending from the body 2010 along the rotation axis 2040 and an actuator 2090 arranged to rotate the shaft 2011 about the rotation axis 2040. The shaft 2011 may be supported by one or more bearings (not shown), for example two bearings. For embodiments comprising two bearings, the bearings may be provided on opposite sides of the body 2010. The actuator 2090 may comprise any suitable mechanism such as a motor or engine. The reflective surface 2020 is disposed on a radially facing surface of the body 2010. As the movement mechanism rotates the body 2010 about the rotation axis 2040, the reflective surface 2020 rotates, causing the beam spot region 2030 to move over the reflective surface 2020. The beam spot region 2030 follows a periodic path over the reflective surface 2020, which extends around the circumference of the body 2010. A fraction of the power of the radiation beam B is absorbed by the first optical element 2000, causing the reflective surface 2020 to heat up. Since the movement mechanism is operable to move the reflective surface 2020 such that the beam spot region 2030 moves over the reflective surface 2020, the power absorbed by the optical element 2000 is spread over a larger area, decreasing the density of the heat load. Advantageously, this allows the first optical element 2000 to receive radiation beams with higher power densities, in contrast to static optical elements of the same or similar dimensions. The reflective surface 2020 is disposed on the radially facing surface of the body 2010, which is curved in a tangential direction. Therefore, in a direction perpendicular to the plane of incidence of radiation beam, the first optical element 2000 will increase the divergence of the radiation beam such that the reflected radiation beam B′ is more divergent than the incoming radiation beam B. In a direction perpendicular to the plane of incidence of radiation beam, the reflective surface 2020 has a focal length f given by f=R/(2b), where R is a radius of the body 2010 and b is the grazing incidence angle of the radiation beam B in radians. The body 2010 may have a radius of around 0.25 m and a circumference of around 1.6 m. For a body 2010 with a radius of around 0.25 m and a grazing incidence angle of 0.035 radians, the focal length of the reflective surface 2020 is 3.6 m. This curvature is in a tangential direction that is perpendicular to the direction of the radiation beam B. The change in the shape and the divergence of the radiation beam can be corrected for relatively easily using mirrors within the beam delivery system 1519. For example, the beam delivery system 1519 may comprise one or more concave cylindrical mirrors arranged to shape the reflected beam B, for example to a circular shape with a fixed dimension and a limited divergence. The generally disc shaped body may not be solid. FIG. 57 shows an optical element 2100, which may form the optical element 2000 of FIG. 56. Features of optical element 2100 that are identical to those of first optical element 2000 share common labels and are not described in detail below. Optical element 2100 comprises a first body portion 2112 and a second body portion 2113. The first and second body portions 2112, 2113 form a generally disc shaped body 2110. The first body portion 2112 is radially inward of the second body portion 2113. An axial thickness of the first body portion 2112 is smaller than an axial thickness of the second body portion 2113 such that the generally disc shaped body 2110 is stepped in cross section, with a generally circular blind bore 2114, 2115 formed on each axially facing surface of the body 2110. The optical element 2100 is provided with a reflective surface 2020 is disposed on a radially facing surface of the second body portion 2113. Optical element 2100 further comprises a cooling mechanism 2101 as now described. The cooling mechanism 2101 comprises two generally ring shaped cooling devices 2170, 2171, each disposed in a different one of the generally circular blind bores 2114, 2115 formed on each axially facing surface of the body 2110. Each cooling device has an outer radially facing surface adjacent to an inner radially facing surface of the second body portion 2113 and an axially facing surface adjacent to an axially facing surface of the first body portion 2112. A narrow gap is provided between the rotating body 2110 and each static cooling device 2170, 2171. The gap is filled with layer of liquid metal 2172, which is kept in place by capillary forces. The metal may comprise a fusible alloy which melts at a relatively low temperature. For example, the metal may comprise an alloy of gallium and indium, which may contain 75.5% gallium by weight and 24.5% indium by weight. Such an alloy has a melting point of 15.7° C. The static cooling devices 2170, 2171 are provided with channels 2174 for receiving a flow of fluid such as, for example, water, to transport heat away from the cooling devices 2170, 2171. Such a cooling mechanism allows water cooling of the rotating body 2110 without using rotating water couplings. This avoids, or at least significantly reduces, the risk of water leakage. The use of a liquid metal layer to transfer heat is a known technique which is compatible with ultra-high vacuum conditions and high angular velocities of the body 2110. In alternative embodiments, heat may be transferred between the rotating body 2110 and the static cooling devices 2170, 2171 primarily through radiation. For example, opposed surfaces of the body 2110 and the static cooling devices 2170, 2171 may be provided with coatings of a high emissivity material to promote radiation by the body 2110 and absorption of the emitted radiation by the static cooling devices 2170, 2171 across a narrow gap there between. The gap may be filled with a gas such as hydrogen, which may provide additional convective cooling of the body 2110. The optical element 2100 will absorb a fraction of the energy of the radiation beam B, causing a temperature gradient extending generally radially away from the reflective surface 2020. Heat will flow down this temperature gradient, radially inwards from the reflective surface 2020 and through the body 2110. As a result of the radial temperature gradient, radially different parts of the body 2110 will expand differently, which will cause the reflective surface 2020 to distort such that a curvature of the reflective surface 2020 in the axial direction is altered. For a body with two opposed surfaces separated by a distance d (the thickness of the body), if a heat load of Q Watts is applied to one of the surfaces, ignoring edge effects, the difference in temperature ΔT between the two surfaces is given by Eq. (5). For an incoming radiation beam B with a diameter of 2 mm and a grazing incidence angle of 2 degrees, the beam spot region 2030 is an ellipse with a minor axis of 2 mm and a major axis of around 58 mm. Therefore, the heat load will be spread out around a strip of the reflective surface 2020 with a width of around 58 mm and a circumference of around 1.6 m, i.e. an area of around 0.09 m2. For a body with two opposed surfaces separated by a distance d (the thickness of the body) if one of the two opposed surfaces is heated such that there is a temperature ΔT between the two surfaces then the heated surface will become convex, with a radius of curvature R given by Eq. (6). It may be desirable to require that the focal length caused by the curvature in the axial direction be above a minimum focal length, for example 3.6 m. Note that this induced curvature in the axial direction is in the plane of incidence of radiation beam. In the plane of incidence of radiation beam B, the focal length is given by f=Rb/2, where R is the radius of curvature and b is the grazing incidence angle in radians. For a grazing incidence angle of 0.035 rad, a minimum focal length of 3.6 m corresponds to a minimum allowed radius of curvature of 206 m. For a disc with an axial dimension of 58 mm, this corresponds to a maximum allowed deformation of the edges of the reflective surface 2020 of 2 μm. This is achievable for a body 2110 formed from a material such as, for example, silicon carbide (SiC), which has a thermal expansion coefficient of 4×10−6 K−1. For example, if the second body portion 2113 is formed from SiC, has a (radial) thickness of 10 mm, the radial temperature difference across the second body portion 2113 is around 10 K then the radius of curvature is around 1620 m. Note that in the above calculations, for simplicity, it has been assumed that the heat load from the radiation beam is spread uniformly over a fixed area of the reflective surface 2020. However, in general, the heat load will vary across the fixed area. The heat load on any given portion of the fixed area is dependent upon the intensity distribution of the radiation beam, the grazing incidence angle and the path that the beam spot region 2030 follows over the reflective surface 2020 as the body 2110 rotates. Therefore, in general, in addition to the radial temperature gradient there will be a temperature gradient in the axial direction. As a result, the reflective surface 2020 will deform differently at different axial positions of the reflective surface 2020. That is, a local radius of curvature in the axial direction at a given location on the reflective surface 2020 will be a function of the axial position of that location. As a result, the reflective surface 2020 will no longer act as a cylindrical lens with constant curvature in the axial direction. Rather, a radius of curvature of a given location on the reflective surface 2020 in the axial direction will be dependent upon the axial position of that location. Therefore, in the axial direction, different parts of the reflective surface 2020 will, in general, have different focal lengths and the reflective surface 2020 will no longer act as a cylindrical lens. Note that this thermally induced curvature of the reflective surface 2020 is perpendicular to the intrinsic curvature of the reflective surface 2020 in the tangential direction, which remains substantially unchanged. Correction for the thermally induced curvature, wherein the radius of curvature of the reflective surface 2020 varies axially is challenging. Therefore the body 2110 of optical element 2100 may be shaped so as to at least partially reduce a variation in temperature of the reflective surface 720 caused by the radiation beam B. The variation in the radius of curvature in the axial direction across the reflective surface 2020 may be eliminated if the temperature of the reflective surface 2020 is constant. Therefore the body 2110 of optical element 2100 may be shaped so as to at least partially reduce a variation in temperature of the reflective surface 720 caused by the radiation beam B. For example, the body 2110 may be shaped so that the thermal resistance of the path followed by the heat as it flows away from the reflective surface 2020 differs for different axial positions on the reflective surface 2020. For example, the body 2110 may be shaped so as to taper inwards in the radial direction to form a constriction below the reflective surface 720, as now described. Referring to FIGS. 58 and 59, thermal maps 2200, 2250 are shown for two different geometries of the body 2110. Each map 2200, 2250 shows a temperature variation of the body 2110 both axially (from left to right) and radially (from bottom to top). An upper edge 2201, 2251 of each map 2200, 2250 corresponds to the reflective surface 2020 of the optical element 2100 and a lower edge 2202, 2252 of each map 2200, 2250 corresponds to the rotation axis 2040 of the optical element 2100. The location of two cooling devices 2170, 2171 is indicated schematically on each map 2200, 2250. The maps 2200, 2250 have been calculated based on a radiation bean B with a two-sigma Gaussian-like intensity distribution depositing a heat load of 1500 W on the reflective surface 2020. A plurality of lines 2210, 2260 indicating constant temperature are shown on each map 2200, 2250 respectively. The spacing between each pair of adjacent lines 2210, 2260 corresponds to a temperature difference of 0.5 K. The temperature is highest at the upper edge 2201, 2251 of each map 2200, 2250. The material is either aluminium or silicon and has a thermal conductivity of around 150 Wm−1K−1. Cooling from the axial faces of the body 2110 is at a rate of 5000 Wm−2K−1 and the circumference of the body 2110 is 1.5 m. Map 2200 of FIG. 58 corresponds to a body 2010 with a uniform axial thickness. Map 2250 of FIG. 59 corresponds to a body 2010 with an axial thickness that varies with radius. In particular, moving radially inwards from the reflective surface 2020, the axial thickness of the body initially decreases to form a constriction 2270 and then increases back to the axial thickness of the reflective surface 2020. The variation in the temperature of the reflective surface 2020 is 1.1 K for the body with uniform axial thickness and 0.2 K for the body with a constriction 2270. Therefore, the provision of the constriction 2270 reduces the temperature gradient in the axial direction on the reflective surface 2020 and, as a result, the variation in the radius of curvature across the reflective surface 2020 is reduced. It will be appreciated that the various embodiments described above may be combined. For example, the first optical element 1520 may comprise a distortion mechanism that is a combination distortion mechanism 1601 (using masses) and distortion mechanism 301 (using magnetism). Whilst the example embodiments described with reference to FIGS. 47 to 59 comprise a radiation source SO3 comprising a free electron laser FEL, it should be appreciated that a radiation source may comprise any number of free electron lasers FEL. For example, a radiation source may comprise more than one free electron laser FEL. Alternatively, the radiation source SO3 may not comprise a free electron laser and may, for example, comprise a laser produced plasma (LPP) or a discharge produced plasma (DPP) radiation source. It will be understood that the heat loads and the area over which these are applied described above are by way of example only and that the invention is not limited to the above described values. For example, the radiation beam output by the radiation source may have any power, it may be incident upon the reflective optical element at any grazing incidence angle, the beam spot region may trace out any size area of the reflective surface, and the reflective surface may have any reflectivity. Although the embodiments of the first optical element 1520 described above comprise a generally disc shaped body that is arranged to rotate about a central rotation axis, movement of the reflective surface such that the beam spot region moves over the reflective surface following a periodic path may be achieved otherwise. It will be appreciated that the term “axial direction” is a direction along, or parallel to a rotation axis. It will be appreciated that the term “radial direction” is a direction running through a rotation axis and perpendicular to said rotation axis. It will be appreciated that the term “tangential direction” is a direction perpendicular to an axial direction and a radial direction. It will be appreciated that the term “axially facing surface” is a generally flat surface whose normal is generally in an axial direction. It will be appreciated that the term “radially facing surface” is a generally curved surface whose normal is generally in a radial direction. It will be appreciated that “curvature of a surface in an axial direction at a given point on said surface” means a curvature of the curve that is formed by the intersection of said surface and a plane containing the normal vector of the surface at that point and a vector in the axial direction. This may be referred to as “an axial curvature of the surface”. Similarly, it will be appreciated that “curvature of a surface in a radial direction at a given point on said surface” means a curvature of the curve that is formed by the intersection of said surface and a plane containing the normal vector of the surface at that point and a vector in the radial direction. This may be referred to as “a radial curvature of the surface”. It is a feature of various embodiments described above that an optical system 40 comprising various optical elements as described above may be used to direct the radiation beams B′, B″ to beam splitting apparatus 20 from where the radiation beams can be provided to one or more of the lithographic apparatus. In alternative embodiments, other optical system arrangements, for example other beam delivery system arrangements, can be provided in which arrangements of optical elements are used to direct a radiation beam from an FEL source to a beam splitter or directly to lithographic apparatus, and/or to shape the radiation beam. In general, it is important that the optical elements of the optical system are kept in an environment at which there is a sufficiently high pressure of hydrogen (for example, around 1 Pa pressure of hydrogen) or other suitable gas such as helium or, in some cases, argon, oxygen or nitrogen, to prevent or reduce build-up of carbon on the optical elements. The hydrogen can react with the carbon to prevent or reduce carbon deposits. However, the electron beam line of the FEL source must operate at ultra-high vacuum, for example at pressures of around 10−8 Pa. Therefore, it has been found that the FEL source and the optical system should be separated in such a way as to allow for an increase in the vacuum (decrease in the pressure) between the optical system and the FEL source. In the system of FIGS. 1 to 5, for example, a further apparatus can be provided between the FEL source and the optical system 40 to provide a suitable variation of pressure between the FEL source and the optical system. An example of such a further apparatus 2300 according to one embodiment is shown in FIG. 60. The apparatus 2300 comprises a long tube (in this case, approximately 50 m long) divided into sections 2306, 2308, 2310, 2312, 2314, separated by walls each with an aperture 2316, 2318, 2320, 2322 through which a radiation beam emitted by the undulator of the FEL source can pass. The apparatus 2300 includes an input aperture 2302 for receiving the beam of radiation from the FEL source and an output aperture 2304 for outputting the beam of radiation, with the input aperture 2302 and the output aperture 2304 being separated by the sections 2306, 2308, 2310, 2312, 2314 also referred to as chambers. The diameter of the radiation beam will usually increase as it travels away from the undulator and, for example, may have a diameter of around 100 microns when it leaves the undulator and may diverge towards a 5 mm diameter after around 50 m. Therefore, the apertures 2316, 2318, 2320, 2322 between the chambers increase with distance from the undulator in the embodiment of FIG. 60. Although five sections or chambers 2306, 2308, 2310, 2312, 2314 are provided in the embodiment of FIG. 60, any suitable number, size and arrangement of sections or chambers can be provided in alternative embodiments to provide a desired pressure variation between input and output apertures. Each chamber 2306, 2308, 2310, 2312, 2314 includes a respective pumping port and each chamber can be provided with a respective vacuum pump 2324, 2326, 2328, 2330, 2332 for pumping the chamber via the pumping port of the chamber. In the apparatus of FIG. 60, pumps 2326, 2328, 2330, 2332 for pumping chambers 2308, 2310, 2312, 2314 are turbo-molecular pumps. Chamber 2306 is adjacent to the FEL source and in this case a getter pump 2324 is used to pump chamber 2306. Any suitable arrangement and type of vacuum pumps can be used to pump the different chambers in alternative embodiments depending on the pressures that are required to be achieved. At pressures below 1×10−3 Pa, the mean free path of hydrogen molecules becomes >10 m. The thermal velocity of hydrogen at room temperature is about vm=1.8 km/s. This means that in the case of the apparatus of FIG. 60, hydrogen molecules that pass approximately parallel to the EUV beam may not be pumped and may end up in the undulator. This is illustrated in FIG. 61, which shows part of the apparatus of FIG. 60 and in which molecule “3” can be seen to travel ballistically into the undulator of the FEL source. Only a single pump 2326 is shown in FIG. 61 for clarity. As the pressure in the chambers decreases (moving from right to left in the case of FIG. 60) the number of collisions between molecules becomes smaller and ballistic behaviour of the molecules can become more significant. In the case of the apparatus of FIG. 60, with a pressure of around 1 Pa at the output aperture 2304 the pressure in chamber 2326 may reach approximately 1×10−3 Pa. Taking the area of the aperture 2318 between chambers 2308 and 2310 as being A2=4 mm2 and taking the area of the input aperture as being A1=1 cm2 the ballistic gas throughput (for example in Pam3/s) from aperture 2318 to the input aperture 2302 can be taken as being:—Q=A1A2vmρ1/(2L2) (8) Taking the length between aperture 2318 and the input aperture 2302 as being 30 m (compared to a total distance between the input aperture 2302 and output aperture 2304 of around 50 m) the ballistic gas throughput to input aperture 2302 may be just acceptable if a pressure of around 10−8 Pa is to be maintained in the undulator of the FEL source. However, it may be desirable to increase the area of aperture 2318 and the other inter-chamber apertures. It may also be desirable to reduce the length of the apparatus 2300, and in consequence the distance L, significantly. The EUV beam is divergent, starting at 0.1 mm diameter in the undulator 24 and expanding to, for example, 5 mm at 50 m distance. However, due to the presence of the steering unit 25 (shown in FIGS. 3, 4) it may be more convenient to place the input aperture at, for example, 10 m distance from the undulator, where the EUV beam has a diameter of around 1 mm. Including a margin, one could use an aperture of diameter 2 mm (3 mm2 area). In some embodiments of the FEL, the divergence of the EUV beam or the distance from the undulator may be larger, requiring a larger aperture size. It may be desirable to keep apparatus 2300 within the buildings 31′, 31″ or within other shielded area, and reduction in length of the apparatus 2300 may have a significant impact in reducing construction size. However, increases in aperture area and reduction in length of the apparatus 2300 would be expected to increase the ballistic gas throughput to the FEL source. Furthermore, the actual pressure in the intermediate chamber 2310 is difficult to control and predict precisely in practice. If the pressure in the chamber 2310 were to be, for example, around 1×10−4 Pa then there would be significant ballistic gas transport from the next chamber 2312, which may have a pressure of around 1×10−2 Pa, to the FEL source. Increased ballistic gas transport to the FEL source may result in an unacceptably high gas pressure in the undulator of the FEL source. FIG. 62 is a schematic illustration of part of apparatus 2300 according to an embodiment. In the embodiment of FIG. 62 electron sources in the form of pairs of ring-shaped anodes 2340a, 2340b, 2340c, 2340d and cathodes 2342a, 2342b, 2342c, 2342d are provided in chamber 2308 of apparatus 2300 around the radiation beam path. The cathodes and anodes form part of a thermionic emission apparatus that includes heating components (not shown) for heating the cathodes to emit electrons via thermionic emission and power and control apparatus (not shown) for applying suitable electric potential difference between the anodes and cathodes and for controlling the quantity and energy of electrons emitted by the cathodes in operation. In the embodiment of FIG. 62 the cathodes are formed of LaB6 or CeB6, for instance as sold by Electron Microscopy Sciences®, which are suitable for high current densities and medium-high vacuum conditions. However, any suitable materials for the cathodes and anodes can be used in alternative embodiments. The pumps, pumping ports and other chambers of the apparatus 2300 are not shown in FIG. 62 for clarity. A pair of magnets 2350, 2352 is also provided, which are operable to apply a magnetic field in the region of the chamber 2308 near the aperture 2316 in order to alter trajectories of ionized gas atoms or molecules. In operation of the apparatus 2300, the various pumps 2324, 2326, 2328, 2330, 2332 are operated to maintain vacuums in the chambers 2306, 2308, 2310, 2312, 2314 whilst the FEL source is operational to produce a radiation beam that passes through the apparatus 2300 between the input aperture 2302 and the output aperture 2304. The radiation beam has a wavelength of between 4 nm and 25 nm in this case. At the same time the electron sources are operated to provide a flow of electrons through the chamber between the cathodes 2342a, 2342b, 2342c, 2342d and anodes 2340a, 2340b, 2340c, 2340d. At least some of the electrons emitted by the cathodes 2342a, 2342b, 2342c, 2342d interact with and ionize hydrogen (or other atoms or molecules) present in the chamber 2308. The magnetic field applied by the magnets 2350, 2352 causes the ions to change direction and hit a wall of the chamber 2308 or the aperture between chambers 2308 and 2306, which breaks the ballistic trajectories and allows pumping using regular vacuum pumps, for example pump 2326 of chamber 2308 or pump 2324 of chamber 2306. Thus, the use of the electron source to ionize atoms or molecules, and the magnetic field, can be used to alter the trajectory of gas atoms or molecules following ionization to enable pumping of the gas atoms or molecules and to decrease ballistic transport of the gas atoms or molecules to the input aperture 2302 and consequently to the undulator. The strength of the magnetic field applied by the magnets 2350, 2352 can be selected, based on the size of the chambers and other operating parameters, to ensure that most or all of the ionized gas atoms or molecules collide with the walls of the chamber or aperture. For example, hydrogen ions at v=1.8 km/s will have a curvature radius R=my/(Be)=0.2 mm for an applied magnetic field of B=0.1 T or 2300 mm for an applied magnetic field of B=0.1 mT (roughly the earth's magnetic field). Thus, in some embodiments no external magnetic field is applied to disrupt the ballistic trajectories of the ionized gas atoms or molecules and instead the background magnetic field (e.g. the earth's magnetic field) is used to disrupt the ballistic trajectories and cause collisions with the walls of the chamber or aperture. In some variants of the embodiment of FIG. 62, or other embodiments that use cathode and anode arrangements, the walls of the chamber are set at a lower potential than the cathodes in order to increase the electron density at the centre of the chamber, thereby to increase the probability of collisions between the electrons and gas atoms or molecules. A pair of magnets 2350, 2352 is used to alter the trajectories of ionized atoms or molecules, in this case ionized hydrogen molecules, in the embodiment of FIG. 61. In alternative embodiments an electric field rather than a magnetic field may be used to alter the trajectories of ionized atoms or molecules. Any suitable arrangement for applying an electric field may be used. For example a suitable electrical potential may be applied to a wall of the chamber 2308, chamber 2306, or to a wall of the aperture between the chambers 2308, 2306 or to a further component of the apparatus positioned within or near to a chamber or aperture, to attract or repel the ionized atoms or molecules. In the embodiment of FIG. 62, the electron source is operated in such a way as to provide electrons with a desired energy or range of energies. The ionization interaction cross section of the electrons depends on their energies and so by suitable control of the electron energies the probability of ionization of hydrogen molecules (or indeed other atoms or molecules) occurring can be increased. The variation of interaction cross sections for electron collisions with hydrogen molecules are described in “Cross Sections and Related Data for Electron Collisions with Hydrogen Molecules and Molecular Ions”, H. Tawara et al, J. Phys. Chem. Ref. Data, Vol. 19, No. 3, 1990 and suitable electron energies can be selected from data provided in that paper, for example based on the plot of FIG. 2 of that paper. According to the paper, the collision cross section for ionization of hydrogen molecules is about σ=1×10−20 m2 at 100 eV electron energy. If the path length over which ballistic hydrogen molecules can interact with the electrons is X, then the current density should satisfy:—J>>evm/(σX)=0.5 A/cm2 (9)assuming X=5 m and e=1.6×10−19 C. This appears to be a manageable current density in practice. In some modes of operation of the embodiment of FIG. 62, the electron source is controlled so that the electrons (in the absence of, or until they have, collisions) have a desired value of kinetic energy, for example between 20 eV and 2400 eV, optionally between 60 eV and 100 eV, further optionally around 80 eV, when they pass through the regions of the chamber where hydrogen molecules having a ballistic trajectory that would take them to the input aperture 2302 are most likely to be present. For example, the electron source may be controlled so that the electrons have the desired value of kinetic energy when they pass through the centre of the chamber 2308. Any suitable arrangement of anodes and cathodes may be provided to form the electron source. For example, in a variant of the embodiment of FIG. 62, each anode and cathode pair includes a further anode (not shown in FIG. 62) for example in the form of a mesh or grid, positioned close to the cathode and acting as an accelerating anode to accelerate the electrons to have a desired kinetic energy or range of kinetic energies. The other anode 2340a, 2340b, 2340c, 2340d of each pair then acts as a collecting electrode to collect the electrons after passage through the chamber 2308. The use of the further anode may, in some arrangements, reduce the variation in kinetic energy of electrons during their travel between the further anode and anode, and in some arrangements may enable the kinetic energies to remain within a desired range during that travel. Any other suitable electron source arrangement may be used, based for example on any known thermionic, hot cathode, field emission or other techniques. In order to increase the probability of collision between one or more of the electrons and hydrogen molecules in the chamber, in some embodiments measures are taken to increase the length of the path followed by the electrons through at least the part of the chamber where hydrogen molecules following a ballistic trajectory leading to the input aperture 2302 may be most likely to be present. For instance, in some embodiments, an applied electric or magnetic field is used to alter trajectories of the electrons in the chamber. An example of an electron source and magnetic field arrangement according to one such embodiment is illustrated schematically in FIG. 63. FIG. 63 is a cross sectional view through part of a chamber, for example chamber 2308, of the apparatus 2300 and shows one of the ring shaped cathodes 2342a and an associated one of the ring shaped anodes 2340a. It can be seen that both the cathode 2342a and anode 2340a include an aperture that is aligned with the apertures 2316, 2318 of the chamber 2308 to allow the passage of the radiation beam from the FEL source. In this embodiment a further accelerating anode 2360, in the form of a perforated plate or mesh is provided as part of the cathode arrangement. A magnet coil arrangement 2362 is also provided, which in operation is used to apply a magnetic field to the part of the chamber through which electrons from the cathode 2342a pass. The magnet coil arrangement in this case comprises a magnet coil whose plane is perpendicular to the path of the radiation beam from the FEL source. The magnetic field applied by the magnet coil arrangement 2362 causes the electrons to follow an at least partially helical path between the cathode arrangement and the anode 2340a, as illustrated schematically in FIG. 63 for one electrode, thereby increasing the current density and the chances of collision between electrons and hydrogen molecules. Any other suitable arrangement of anodes and cathodes, and magnetic or electric fields to alter the trajectory of electrons emitted by the cathodes can be provided in alternative embodiments, so as to alter the trajectory of the electrons to follow any desired paths. One such alternative embodiment is illustrated schematically in FIG. 64, which provides a cross sectional view through part of a chamber, for example chamber 2308, of the apparatus 2300 and shows a planar cathode 2370 and associated planar anode 2372 aligned above and below, respectively, the path followed by the radiation beam provided by the FEL source. In this case a magnet coil arrangement (not shown in FIG. 64) is provided that applies a magnetic field between the planar cathode 2370 and anode 2372 to cause the electrons passing from the cathode 2372 to the anode 2370 to follow an at least partially helical path. A further alternative embodiment is shown schematically in FIG. 65, which provides a cross sectional view through part of a chamber, for example chamber 2308, of the apparatus 2300 and shows a ring shaped cathode 2380 that includes an aperture that is aligned with the apertures 2318, 2320 of the chamber 2308 to allow the passage of the radiation beam from the FEL source, and an anode 2384. In this embodiment a further accelerating anode 2382, in the form of a perforated plate or mesh is provided as part of the cathode arrangement. The anode 2384 is a planar anode arranged perpendicular to the cathode and aligned along a wall of the chamber 2310. In this case a magnetic coil arrangement (not shown) applies a magnetic field perpendicular to the radiation beam path and causes the electrons to follow an at least partially helical path as they travel to the anode 2382. In the embodiments of FIGS. 63 to 65, a magnetic field source in the form of an electromagnet can be used to alter the trajectory of the electrons, and the magnetic field source is separate from the magnets 2350, 2352 that are used to alter the trajectories of the ionized hydrogen molecules or other gas atoms or molecules. In alternative embodiments, a single magnet, for example a single magnet coil arrangement, may be used both to alter the trajectory of the electrons and to alter the trajectory of the ionized hydrogen molecules. In other embodiments, no additional magnetic field source is operated to alter the trajectories of the ionized gas atoms or molecules and instead the background magnetic field (e.g. the earth's magnetic field) is sufficient to alter the trajectories of the ionized gas atoms or molecules to the required extent. In the embodiments of FIGS. 62 to 65, the use of magnetic fields to alter the trajectories of both electrons and ionized atoms or molecules (in particular, ionized hydrogen molecules) has been described. In alternative embodiments electric fields instead of or as well as magnetic fields can be used to alter the trajectories of either or both the electrons and the ionized atoms or molecules. The electric fields in such embodiments can be provided using any suitable components, for example any suitable electric field source. In some embodiments an electric field can be provided by applying a suitable electrical potential to a wall of one of the chambers, or a passage between chambers, or to some other component of the apparatus within or near one or more of the chambers or the apertures between chambers. Embodiments described in relation to FIGS. 62 to 65 use cathode and anode arrangements to generate electrons by way of thermionic emission. Any other suitable arrangements to generate electrons or other particles or radiation for ionizing hydrogen or other atoms or molecules can be used in alternative embodiments. For example, in one embodiment, a relatively heavy noble gas, such as neon, argon, krypton, or xenon, is injected in the chamber. The absorption cross section of xenon for EUV is about 500 times higher than that of hydrogen, which will be in a permanently ionized state due to the presence of EUV radiation. Moreover, EUV ionization will yield electrons at 88 eV, which is close to the optimal energy for the ionization of hydrogen gas. In an embodiment, an ion source such as a duoplasmatron is used to generate a proton beam, with ion energies around 100 eV. These protons can be trapped in a magnetic field of, for example, 0.1 Tesla, and have enough energy to ionize multiple hydrogen atoms. FIG. 66 shows an arrangement for generating an electron beam for ionizing hydrogen or other atoms or molecules within chambers 2308 and 2310 according to an alternative embodiment. In this case an electron gun arrangement 2400 including a cathode for producing a collimated beam of electrons is provided in chamber 2308 and is arranged to direct the collimated beam of electrons to an anode 2402 within chamber 2308 so as to ionize hydrogen or other atoms or molecules within chamber 2308, chamber 2310 or the aperture between them. FIG. 67 shows an alternative arrangement for generating an electron beam for ionizing hydrogen or other atoms or molecules within chamber 2308 according to a further alternative embodiment. In this case an arrangement of bending magnets 2410, 2412, 2414, 2416 and a linear accelerator (LINAC) 2418 are arranged to provide a recirculating beam of electrons of appropriate energy to ionize hydrogen or other atoms or molecules within chamber 2308. In embodiments described in relation to FIGS. 62 to 67, anode or cathode arrangements, or other arrangements for producing electrons for ionizing hydrogen or other atoms or molecules, for example oxygen, argon or nitrogen, are provided in apparatus 2300. Apparatus 2300 is described above as having a length of around 50 m between input aperture 2302 and output aperture 2304. However, by using ionizing electrons to reduce ballistic passage of hydrogen molecules to the input aperture 2302, in some embodiments the length of the apparatus 2300 can be reduced whilst still maintaining desired pressure levels in operation at the undulator of the FEL laser and the beam delivery system (for example, around 10−8 Pa at the undulator and around 1 Pa at the beam delivery system or other optical system). For example in variants of the embodiments of FIGS. 62 to 67 the distance between the input aperture 2302 and output aperture 2304 is reduced to around 10 m to 20 m. A further, reduced length embodiment is illustrated schematically in FIG. 68, in which the length of the apparatus between the input aperture and the aperture is reduced to around 10 m. In this embodiment, the anode and cathode arrangements of the embodiment of FIG. 62 or of other embodiments, and associated magnetic or electric field source (omitted in some variants of the embodiment) are positioned in chamber 2306′. Chamber 2306′ has a length of around 7 m, chamber 2308′ has a length of around 1 m, and chamber 2310′ has a length of around 2 m. In the embodiment of FIG. 68, pumps 2324, 2326 and 2328 pump at around 100 litres/second. Apertures 2302, 2316, 2318 each have a length of 50 mm and a diameter of 3 mm, aperture 2304 has a length of 5 mm and a diameter of 3 mm, and chambers 2306′, 2308′ and 2310′ have a diameter of 100 mm. With this configuration, chamber 2310′ can be expected to be a pressure of 1×10−2 Pa and have a mean free path for hydrogen molecules of 1 m. With the length (2 m) of chamber 2310′, it can be assume that the molecules passing through aperture 2318 are not beamed too much (e.g. not have a ballistic trajectory directed toward aperture 2302). Chambers 2308′, 2306′ will have pressures of around 1×10−5 Pa and 1×10−8 Pa respectively, not counting the effect of beaming from aperture 2318 towards aperture 2302. Without ionizing equipment, you would get −5×10−8 Pa inside the undulator (e.g. 5 m of 10 mm diameter pipe). If a mistake were to be made in dimensioning pump 2328 and aperture 2304, resulting in a much lower pressure (e.g. 1×10−3 Pa) inside chamber 2310′, then p1 in the equation (8) becomes not 1×10−2 Pa (from chamber 2310′) but rather 1 Pa (the pressure upstream), since there will not be enough gas collisions inside 2310 to break the molecular beam. That would result in 100× more molecular beam flux towards the undulator, and 100× more pressure. Thus, it can be understood that correct selection of apparatus dimensions, pump capacities and other apparatus parameters can be important. Tolerances and sensitivity of the operating pressure in the undulator to parameter variations can be improved by increasing the length of the apparatus in some cases. Although five chambers 2306, 2308, 2310, 2312, 2314 are provided in apparatus 2300 according to the embodiment of FIG. 60, any suitable number of chambers and vacuum pumps can be provided in alternative embodiments to provide the desired pressures at the input to and output from the apparatus, and in some variants or embodiments the use of electrons or other particles or radiation to ionize hydrogen or other atoms or molecules can enable a reduced number of chambers to be provided. Although embodiments have been described in which the radiation source comprises a free electron radiation source, in alternative embodiments any suitable radiation source for providing radiation of a desired wavelength may be used. For example, in some embodiments the radiation source comprises a synchrotron radiation source. Although embodiments have been described in relation to the ionizing of hydrogen molecules, the embodiments can also be used to ionize and remove other gas atoms or molecules that may be present in some cases, for example oxygen, argon or nitrogen. Electron energies or other ionizing particles or radiation may be selected accordingly. Any suitable magnitude of electric or magnetic field may be used to alter the trajectory of electrons or other charged particles, or the trajectory of ionized gas atoms or molecules, and the appropriate size of field may be selected based, for example, on the particular size, materials and/or arrangement of components and/or desired operating parameters in particular embodiments. FIG. 69 shows an example lithographic system LS7. The lithographic system LS7 is similar to the lithographic system LS of FIG. 1 and includes the beam splitting apparatus 20 according to one embodiment of the invention. The lithographic system LS7 further comprises a radiation source SO4 and a plurality of lithographic apparatuses LAa-LAn. For example, there may be 20 lithographic apparatuses. Where the source SO4 comprises a free electron laser, the source SO4 may output relatively high-power radiation. For example, a free electron laser source SO4 may output a radiation beam B that provides branch radiation beams B1 to B20 each of the order of 1 kW. For some lithographic apparatus, it may be desirable to reduce an amount of radiation that is received at the lithographic apparatus. For example, a substrate of a lithographic apparatus may comprise a layer of resist which requires a dose of radiation of approximately 5 mJ/cm2. Receipt of a high-power branch radiation beam at that lithographic apparatus may cause difficulties in ensuring that the resist is provided with a suitable dose of radiation. One way to decrease the dose of radiation received at a portion of the substrate is to move the substrate with respect to the radiation incident upon the substrate (scanning). It may be difficult, however, to achieve a sufficiently high scan speed to achieve a desired dose of radiation at the substrate. In embodiments of the present invention, the branch radiation beams B1-B20 are directed through a respective attenuator 2515a-2515n. Each attenuator 2515a-2515n is arranged to adjust the intensity of a respective branch radiation beam B1-B20 before the branch radiation beam B1-B20 passes into the illumination system IL of its corresponding lithographic apparatus LAa-LAn. Referring to FIGS. 70a, 70b there is illustrated an example of a first attenuation apparatus 2519 that may be provided by the attenuator 2515a. The branch laser beam B1 is depicted in dashed-dot outline. The attenuator 2515a comprises a first mirror 2520 and a second mirror 2521. The second mirror 2521 is separated, in a depicted y-direction, from the first mirror 2520 by a distance 2h. The second mirror 2521 is arranged so that the branch radiation beam B1 entering the attenuator 2515a is incident on a reflective surface of the first mirror 2520 and reflected by the reflective surface towards a reflective surface of the second mirror 2521. The second mirror 2521 is angled so as to direct the branch radiation beam B1 towards the lithographic apparatus LAa (not shown in FIG. 70). The first mirror 2520 is connected to a first pivot point 2522 via an arm 2520′, while the second mirror is connected to a second pivot point 2523 via an arm 2521′. A first adjustment means (not shown) is provided to rotate about the first pivot point 2522, and a second adjustment means (not shown) is provided to rotate the second mirror 2521 around the second pivot point 2523. The first and second adjustment means may take any appropriate form as will be readily apparent to the skilled person. For example, the adjustment means may comprise to suitable motors disposed at the pivot points 2522, 2523 and connected to the arms 2520′, 2521′. Through rotation of the mirrors 2520, 2521 about the pivot points 2522, 2523, an angle of incidence a of the mirrors 2520, 2521 with respect to the branch radiation beam B1 may be adjusted. It will be appreciated that as the mirrors 2520, 2521 are disposed at the same angle of incidence a, after reflection by the mirrors 2520, 2521, the branch radiation beam B1 propagates in the same direction as before reflection by the mirrors 2520, 2521. The mirrors 2520, 2521 are arranged to reflect the branch radiation beam B1 with what is commonly referred to as grazing (or glancing) incidence reflection. In FIG. 70a, the mirrors 2520, 2521 are shown disposed at a maximum angle of incidence a, such that the branch radiation beam is incident on a bottom portion (with respect to the y-direction) of the mirror 2520 and a top portion (with respect to the y-direction) of the mirror 2521. In some embodiments, the maximum value of the angle α may be, for example, an angle of approximately 10 degrees. In FIG. 70b, the mirrors 2520, 2521 are shown disposed at a minimum angle α of incidence such that the branch radiation beam B1 is incident on a top portion of the mirror 2520 and a bottom portion of the mirror 2521. The minimum value of the angle α may be, for example, an angle α of approximately 1 degrees. In the depicted example, therefore, the mirrors 2520, 2521 are rotatable about the respective pivot points 2522, 2523 between angles of incidence of 1 degrees to 10 degrees. It will be appreciated that in other embodiments, the arrangement and/or size of mirrors 2520, 2521 may be different so as to allow a larger or smaller angular range. For example, the pivot points 2522, 2523 may be selected so as to increase or decrease the useful angular range of the mirrors 2520, 2521. Further, while the mirrors 2520, 2521 are each shown as being arranged to rotate around a fixed pivot point, this is merely exemplary. It will be appreciated that the angle of incidence of the mirrors 2520, 2521 may be adjusted using any other appropriate adjustment means as will be readily apparent to the skilled person. In an embodiment, the mirrors 2520, 2521 may both be arranged to rotate about the same pivot point. By appropriate selection of the position of the pivot points 2522, 2523, a displacement of the outgoing branch radiation beam B1 with respect to the incoming branch radiation beam B1, (i.e. 2h in the embodiment of FIGS. 70a, 70b), can be made substantially constant for angles α within a predetermined, relatively small range (a shown in FIGS. 70a, 70b). For larger angular ranges of the angle α, however, where the displacement of the outgoing branch radiation beam with respect to the incoming branch radiation beam is to be substantially constant, at least one of the mirrors 2520, 2521 or both, may be provided with translational means suitable to translate one or both of the mirrors 2520, 2521 in the y-direction. The reflectance of each of the mirrors 2520, 2521 is a function of the angle of incidence a between the mirror 2520, 2521 and the branch radiation beam B1. For example, for an incidence angle of 2 degrees, approximately 98% (in a theoretical case of a mirror having a ruthenium (Ru) coating having perfectly flat surface) of the incident radiation may be reflected at each of the mirrors 2520, 2521. That is, when angled at 2 degrees, radiation reflected by one of the mirrors 2520, 2521 is reduced by 2% compared to the intensity of the radiation that is incident on that mirror. As such, where both of the mirrors 2520, 2521 are disposed at an angle α f 2 degrees, the intensity of the branch radiation beam B1 is reduced by approximately 4% through reflection by the mirrors 2520, 2521. For an incidence angle of 10 degrees (the maximum angle used in the example above), approximately 90% of the incident radiation may be reflected at each of the mirrors 2520, 2521. That is, when the angle of incidence is 10 degrees, the intensity of the reflected radiation is approximately 10% less than the incident radiation. As such, where both of the mirrors 2520, 2521 are disposed at an angle of incidence a of 10 degrees, the intensity of the branch radiation B1 is reduced by approximately 20% through reflection by the mirrors 2520, 2521. From the above description, it will be appreciated that by adjustment of the angle α between 1 and 10 degrees, the intensity of the branch radiation beam B1 received at the lithographic apparatus LAa may be varied between 2% and 20%. In some embodiments the angle of incidence of the mirrors 2520, 2521 may be adjusted at a frequency of up to 1 KHz, thereby providing a rapid adjustment mechanism for the attenuation of the branch laser beam B1. The first and second adjustment means may be connected to a controller 2525. The controller 2525 may be arranged to receive instructions indicating a desired intensity of the branch radiation beam B1 to be received at the lithographic apparatus LAa. In response to receipt of such instructions, the controller may be arranged to control the adjustment means to adjust the angle of incidence a of the mirrors 2520, 2521 to achieve a desired attenuation of the branch radiation beam B1 and thereby a desired intensity at the lithographic apparatus LAa. The controller 2525 may be part of a feedback control loop arranged to detect an intensity of the branch radiation beam B1 received at the lithographic apparatus LAa and to adjust the attenuation of the branch radiation beam B1 in order to maintain the intensity at the lithographic apparatus LAa at a predetermined value or within a predetermined range. In other embodiments, the angles of incidence of each of the mirrors 2520, 2521 may be adjustable independently of one another. While this would result in a change in the direction of propagation of the branch radiation beam B1, this may beneficially increase the number possible attenuation values in, for example, embodiments in which the angle of incidence of a mirror 2520, 2521 is adjustable only in discrete steps. It will be appreciated that while the embodiments described above are described with reference to the attenuator 2515a, the attenuators 2515b-2515n may be similarly implemented. Referring to FIG. 71, there is illustrated an alternative embodiment of a first attenuation apparatus 2519 that may be provided within the attenuator 2515a. In the embodiment of FIG. 71, the first attenuation apparatus 2519 comprises four mirrors 2530, 2531, 2532, 2533. The mirrors 2530, 2531 are arranged similarly to the mirrors 2520, 2521 as described above with reference to FIGS. 70a, 70b. In particular, the first mirror 2530 is provided with first adjustment means arranged to rotate the mirror 2530 about a first pivot point 2534 to which the mirror 2530 connects via an arm 2530′. The second mirror 2531 is provided with a second adjustment means arranged to rotate the mirror 2531 about a second pivot point 2535 to which the mirror 2531 connects via an arm 2531′. The mirrors 2532, 2533 are arranged similarly to the mirrors 2530, 2531, but may be considered to be a “mirroring” of the arrangement of the first mirror 2530 and the second mirror 2531 along a an axis perpendicular to the direction propagation of the branch radiation beam B1. In particular, the third mirror 2532 is disposed at the same position in the y-direction as the second mirror 2531 and is arranged to receive radiation reflected from the second mirror 2531. The third mirror is provided with a third adjustment means arranged to rotate the mirror 2532 about a third pivot point 2536. The third mirror 2532 is arranged to reflect received radiation towards the fourth mirror 2533 which is separated from the second mirror 2532 in the y-direction by a distance of 2h (i.e. the fourth mirror 2533 is at the same position in the y-direction as the first mirror 2530). The fourth mirror 2533 is provided with a fourth adjustment means arranged to rotate the mirror 2533 about a fourth pivot point 2537. The fourth mirror 2533 arranged to direct radiation to the lithographic apparatus LAa (not shown in FIG. 71). Where the angle of incidence a of each of the first to fourth mirrors 2530-2533 is the same, the branch radiation beam B1 exits the attenuator 2515a in the same direction and at the same position in the y-direction as it enters the attenuator 2515a. Additionally, by using four mirrors, each being operable to adjust the angle of incidence through a range of 1 degrees and 10 degrees, a possible attenuation range of the attenuator 2515a is increased from a range of 2% to 20% (in the arrangement of FIG. 70) to a range of 4% to 40% (i.e. a possible transmission range of 96% to 60% of the radiation entering the attenuator 2515a). It will be appreciated that where a greater minimum attenuation is acceptable, the greater range of attenuation achievable in the embodiment of FIG. 71 may be advantageous. Further, the embodiment of FIG. 71 may be utilised to provide the same or a similar attenuation range to that which may be provided by the embodiment of FIG. 70 with a smaller effect on the polarisation of the branch radiation beam B1. That is, due to the smaller angle of incidence a required to achieve a particular attenuation, the combined effect of the four mirrors 2530 to 2533 on the P and S polarisation components of the branch radiation beam B1 is smaller than the combined effect of the two mirrors 2520, 2521 for a given attenuation. This is particularly the case for attenuations of or approaching 20% (i.e. as the angle of incidence a of each mirror 2520, 2521 approaches 10 degrees). In some embodiments it may be desired to retain, as far as possible, a generally circular polarisation exhibited by the branch radiation beam B1 before it enters the attenuator 2515a. In this case, an attenuation range of approximately 2% to 20% may be achieved with an angular adjustment range of between approximately 1 degrees and 5 degrees. This embodiment may therefore be particularly beneficial for having a reduced effect on the polarisation of the branch radiation beam B1. Further, in the arrangement of FIG. 71, translational means for providing translational correction of one or more of the mirrors 2530 to 2533 are not required. The outgoing beam has the same angle and position as the incoming beam for all values of alpha (when angles alpha are equal for all four mirrors). Put another way, any change in the distance 2h caused by the mirrors 2530, 2531 is “reversed” by the mirrors 2532, 2533, such that translation of the mirrors in the y-direction is not required to ensure that the branch radiation beam B1 leaves the attenuator 2515a at the same position as it enters. FIG. 71 may be considered to show two sets of two mirrors; a first set containing the mirrors 2530, 2531 and a second set containing the mirrors 2532, 2533. It will be appreciated that in other embodiments additional mirrors, or additional sets of mirrors may be provided to further increase the possible attenuation range, or to reduce alterations to the polarisation of the branch radiation beam B1. In addition to the first attenuation apparatus described above, a second attenuation apparatus may be provided within one or more of the attenuators 2515a to 2515n. The second attenuation apparatus may provide a fixed attenuation. Alternatively, the second attenuation apparatus may provide an adjustable attenuation apparatus that is adjustable at a slower rate, and/or with a higher range of possible attenuation values. FIG. 72a schematically depicts an example of a second attenuation apparatus 2540 that may be provided in combination with, or in the alternative to, a first attenuation apparatus as described above with reference to FIGS. 3 and 4. While referred to herein as “first” and “second” attenuation apparatus, it is to be understood that this does not imply an ordering. Indeed, where provided in combination, the branch radiation beam B1 may pass through either one of the first or second attenuation apparatus before passing through the other. Where one of the first or second attenuation apparatus provides a larger attenuation (for example, where the second attenuation apparatus provides an attenuation factor of 10), it may be desirable to place the second attenuation apparatus after (with respect to the direction of propagation of the branch radiation beam B1) sensors that monitor an intensity of the radiation, for example, for a control loop. The attenuation apparatus 2540 comprises a housing 2541 defining a chamber 2542. The housing 2540 may define a chamber 2541 of any shape. For example, the housing 2541 may be generally tubular. The chamber 2542 is closed at a first end by a first window 2543 and at a second, opposing end, by a second window 2544. An inlet 2545 is provided to allow a controlled amount of a gas, into the chamber 2542. A valve 2546 may also be provided to allow a controlled flow of gas from the chamber 2542. A pressure monitor 2547 is provided to monitor a pressure within the chamber 2542. The pressure monitor 2547 may be any form of pressure monitor. By providing a gas flow, rather than a fixed, enclosed gas medium, energy absorbed by the gas may be removed. The amount of energy thus removed may be substantial where the attenuation apparatus 2540 provides a large attenuation factor (such as a factor of 10). The inlet 2545 allows the introduction into the chamber 2542 of an EUV absorbing gas. It will be appreciated that the particular gas introduced into the chamber 2542 may be selected in dependence upon a desired level of EUV absorption. As an example, however, gasses such as Hydrogen, Helium and/or Argon may be suitable. The windows 2543, 2544 are constructed so as to provide a high transmittance for EUV radiation and may be constructed to provide a high absorbance to other wavelengths of electromagnetic radiation. For example, the windows may comprise what are commonly referred to as spectral purity filters, which filter radiation outside of the EUV wavelength, but which allow the transmission of EUV radiation. Such spectral purity filters may be constructed in any appropriate way as will be apparent to those skilled in the art. For example, the windows 2543, 2544 may be constructed from molybdenum (Mo) and zirconium silicide (ZrSi). The Mo/ZrSi stack may be capped on one or both sides with molybdenum silicide (MoSi). In an alternative example the windows 2543, 2544 may be formed from polysilicon (pSi) One or both of the sides of the polysilicon film may be capped with a silicon nitride (SiN) layer. Other materials, for example graphene, may be suitable for use in the windows 2543, 2544. The thickness of the windows 2543, 2544 may be selected in dependence upon a maximum pressure desired within the chamber 2542, which itself may be selected in dependence upon a desired attenuation. The branch radiation beam B1 enters the second attenuation apparatus 2540 through the first window 2543 and is attenuated by way of interaction with the fluid within the chamber 2542, before exiting the attenuation apparatus 2540 through the second window 2544. An attenuation of the branch radiation beam B1 caused by passage through the chamber 2542 may be varied by varying the type, amount or pressure of gas within the chamber 2542. The pressure sensor, gas inlet and gas valve may be in communication with a controller such as the controller 2525 (FIGS. 3, 4), or a separate controller. The controller may be operable to control the gas inlet 2545 and the gas valve 2546 to achieve a desired pressure within the chamber 2542. The desired pressure within the chamber 2542 may be selected so as to achieve a desired attenuation of the branch radiation beam B1 to be caused by the second attenuation apparatus. Alternatively or additionally, a desired pressure within the chamber 2542 may be selected to maintain a pressure within the chamber 2542 within a predetermined safe range. An alternative embodiment of the second attenuation apparatus is illustrated in FIG. 72b in which like components have been provided with like reference numerals. In the example embodiment of FIG. 72a, both of the windows 2543, 2544 are perpendicular to the direction of propagation of the branch radiation beam B1 along their length. As such, the path of the branch radiation beam B1, through the chamber 2542, is the same length irrespective of the position at which the branch radiation beam B1 enters the chamber 2542. In the alternative example shown in FIG. 72b, the windows 2543, 2544 are angled towards each other with respect to the direction of propagation of the branch radiation beam B1. In this way, where the branch radiation beam B1 enters the chamber 2542 at one position, it will travel a shorter distance through the chamber 2542 than when the branch radiation beam B1 enters the chamber 2542 at a different, lower (in the y-direction in FIG. 72) position. As such, attenuation of the branch radiation beam can be varied by varying the position at which branch radiation beam B1 enters the chamber 2542. Moreover, this arrangement can also be used to generate an intensity gradient over the cross section of the light beam. Such an intensity gradient may be used to correct for intensity variations over the illumination field. Generally, the range in which attenuation of the branch radiation beam B1 may be varied using the second attenuation apparatus of FIGS. 72a, 72b is larger than the range of attenuation adjustment achievable with the first attenuation apparatus of FIGS. 70a, 70b and 71. However, the speed with which the attenuation may be adjusted is slower than that of the first attenuation apparatus. For example, the chamber 2542 may be emptied of gas in order to decrease the attenuation. However, this may take a significant length of time compared to the time required to adjust the mirrors 2530 to 2533, for example. Referring to FIG. 73, there is shown a further alternative embodiment, in which a second attenuation apparatus is provided by an EUV reflective membrane 2550 disposed in the path of the branch radiation beam B1 at a near-normal angle of incidence. The membrane 2550 may be constructed similarly to the windows 2543, 2544 described above. The membrane 2550 may be of any suitable dimensions depending on the construction and materials used. The branch radiation beam B1 leaves the first attenuation apparatus 2519 and is incident upon the membrane 2550. The membrane 2550 is oriented so as to create an angle of incidence of the branch radiation beam B1 which causes a portion 2551 of the branch radiation beam B1 to be reflected towards a radiation dump 2552 disposed on a wall of the attenuator 2515a. A portion 2553 of the branch radiation beam B1 is transmitted through the membrane 2550. It will also be appreciated that a portion of the branch radiation beam B1 not reflected will be absorbed by the membrane 2550. The angle of incidence of the branch radiation beam B1 and the membrane 2550 may be a near-normal incidence angle substantially avoiding reflection radiation towards the previous optical element (i.e. the first attenuation apparatus 2519 in FIG. 73. In FIG. 73, the reflective membrane 2550 is disposed after the first attenuation apparatus 2519 (with respect to the direction of propagation of the branch radiation beam B1) within the attenuator 2515a, however in other embodiments, the order of attenuation apparatus within the attenuator 2515a may be otherwise. It will further be appreciated that a plurality of membranes such as the membrane 2550 may be provided in sequence to further increase an attenuation of the branch attenuation beam B1. Further, while it is described above that an attenuator may comprise a first and second attenuation apparatus, it will be appreciated that an attenuator may comprise further attenuation apparatus. For example, the embodiments of FIG. 73 may be combined with other embodiments, to provide an attenuator with an attenuation apparatus of FIG. 3 or 4, an attenuation apparatus of FIG. 72a, 72b and an attenuation apparatus comprising a membrane such as the membrane 2550. Other configurations are also possible. While it is described above that a respective attenuator 2515a-2515n is provided for each branch radiation beam, it will be appreciated that in other embodiments, an attenuator may be provided for only one or some of the branch radiation beams. Further, a single attenuator may be provided for a plurality of branch radiation beams. That is, while the attenuators 2515a-2515n are shown disposed outside of the beam splitting apparatus 20, in other embodiments, an attenuator as described herein may be disposed within the beam splitting apparatus 20 so as to attenuate a plurality of branch radiation beams. For example, to attenuate all of the branch radiation beams Bb-B20 together, an attenuator may be provided immediately after the branching of the first branch radiation beam B1. Indeed, as will be apparent to the skilled person from the teaching herein, any combination or configuration of attenuators may be provided. More generally, it will be readily appreciated from the teaching herein that an attenuator 15 as generally described above may be positioned elsewhere within the lithographic system before the substrate. For example, with reference to FIG. 2, an attenuator may be positioned within the illuminator IL. Referring to FIG. 74, there is now described an alternative embodiment of the radiation source SO5, which comprises a free electron laser FEL (which may be, for example, substantially as described with reference to FIG. 3), an optical system 2630 and a sensor apparatus 2631. The optical system 2630 comprises: a first optical element 2632, a second optical element 2633, a controller 2630a, a first actuator 2632a, and a second actuator 2633a. The first and second actuators 2632a, 2633a are operable to move the first and second optical elements 2632, 2633 respectively in response to a received signal S1, S2 from controller 2630a. The optical system 2630 is arranged to receive the beam of radiation B′ from the free electron laser FEL and, using the first and second movable optical elements 2632, 2633, to increase a cross-sectional area of the beam B′ (e.g. to increase the diameter of the beam if the beam has a circular cross-section, or increase the height and width of the beam if the beam has a rectangular cross-section). This larger beam B is output by the optical system 2630 and is received by the beam splitting apparatus 20 (FIG. 1). The sensor apparatus 2631 comprises two sets of sensors 2631a, 2631b spaced apart along the direction of propagation of the beam B. Each set of sensors 2631a, 2631b comprises sensors arranged around the periphery of the beam B such that deviation of the radiation beam from a desired position will cause overlap of an edge of the beam with one or more sensors. For example, for embodiments wherein the radiation beam B output by the optical system 2630 is circular, the sensing elements may be distributed around the circumference of a circle in the y-z plane, the diameter of the circle substantially matching that of the radiation beam B. Any other suitable form of sensor apparatus may be used. The sensor apparatus 2631 provides two output signals Sa, Sb, each signal being indicative of the position of the beam after it has propagated by a different distance. The controller S is arranged to process the signals Sa, Sb to determine the direction of propagation of the beam B. The controller may also determine the position of the beam B. The controller 2630a is operable to move the one or more movable optical elements 2632, 2633 using actuators 2632a, 2633a in response to the signal S from the sensor apparatus 2631, to compensate for changes in the direction of the beam B′ produced by the free electron laser FEL. The controller 2630a and the first and second actuators 2632a, 2633a form an adjustment mechanism of the optical system 2630. The optical elements 2632, 2633 may also be used to compensate for changes in the position of the beam B′ produced by the free electron laser FEL. As used in this context, the edge of the beam B may be defined as the point where the intensity has dropped below a pre-set threshold. The pre-set threshold may for example be a percentage of the maximum intensity. Each sensor of each sensor set 2631a, 2631b may output a signal indicative of the amount of radiation incident upon it. Each of these signals may be sent to the controller 2630a separately or as combined signals Sa, Sb. By analysing the amount of radiation incident upon each of the plurality of sensors, the position of the radiation beam B may be determined. For example, for embodiments wherein the radiation beam is circular, if there is a difference in the amount of radiation incident on two diametrically opposed sensing elements then the centre of the radiation beam B is closer to the sensing element that receives more radiation. Once the position of the radiation beam for each sensor set 2631a, 2631b has been determined in this manner, the direction of the radiation beam may be determined. If this differs from the desired direction of the radiation beam B, the controller 2630a may be operable to move the first and second optical elements 2632, 2633 to correct for this. The sensor sets 2631a, 2631b of the sensor apparatus 2631 may be movable. This may allow for changes in the shape of the radiation beam B and/or intensity profile to taken into account. Each of the first and second optical elements 2632, 2633 comprises a mirror and may be provided with an active cooling mechanism. For example, each mirror may be provided with a supply of cooling fluid such as, for example, water or carbon dioxide (CO2). However, there is a limit to the power density that an optical element can absorb and dissipate, without sustaining damage. For a given output power of the free electron laser FEL, the power density that the first optical element 2632 downstream of the free electron laser FEL receives is dependent upon: (i) the initial size and divergence of the radiation beam B′ as it leaves the undulator 24 of the free electron laser FEL; and (ii) the distance between the undulator 24 and the first optical element 2632. The power density that the first optical element 2632 receives decreases as the distance between the free electron laser FEL and the first optical element 2632 increases. The radiation beam produced by an EUV free electron laser typically has a relatively small etendue. In particular, the EUV radiation beam B′ provided by the free electron laser FEL has a significantly smaller etendue than an EUV radiation beam that would be generated by a laser produced plasma (LPP) source or a discharge produced plasma (DPP) source (both of which are known in the prior art). For example, the radiation beam B′ produced by the free electron laser FEL may have a divergence less than 500 μrad, for example less than 100 μrad, and may for example have a diameter of around 50 μm. The radiation beam B′ produced by the free electron laser FEL may for example have a diameter of around 50 μm. The output power of the free electron laser FEL may be of the order of tens of kilowatts, in order to support high throughput for one or more EUV lithographic apparatus. At these powers, since the initial diameter of the radiation beam B′ produced by the free electron laser FEL is so small, the power density will be significant. For example, the initial power density of a free electron laser with an output power of 30 kW and initial beam diameter of 50 μm will be of the order of 1.5×1013 W/m2. Even assuming an absorption rate of the order of 10% (which may be the case for a grazing incidence mirror), this power density is too large to be practically handled by the first optical element 2632 without damaging it. In embodiments of the present invention, the first optical element 2632 is a convex grazing incidence mirror. Preferably, the first optical element 2632 is formed from material which is a good conductor of heat such as, for example, copper, with a coating that maximizes reflectivity and minimizes absorption such as, for example, ruthenium (Ru). The convex grazing incidence mirror may have any suitable shape such as, for example, spherical, astigmatic or a-spherical. The angle between the radiation beam B′ and the surface of the first optical element 2632 is small, which provides two benefits: (a) it enlarges the beam spot size on the first optical element 2632, lowering the power density; and (b) it lowers the absorption coefficient, reducing the fraction of the incident power which is absorbed, and must be dissipated, by the first optical element 2632. The angle between the radiation beam B′ and the surface of the first optical element 2632 is preferably below about 10 degrees, since the reflectivity of the first optical element 2632 drops significantly as the angle increases above 10 degrees. Since the first optical element 2632 is convex, its radius of curvature sets a lower limit of the angle between the radiation beam B′ and the surface of the first optical element 2632. Preferably the angle is in the range 0.5 to 10 degrees, more preferably in the range 1 to 5 degrees, and most preferably in the range 1 to 3 degrees. For a circular beam B′, since the first optical element 2632 is a grazing incidence mirror, the beam spot size on the first optical element 2632 will be an ellipse. Neglecting the curvature of the first optical element 2632, the length of the minor axis of the ellipse will be the diameter, d, of the beam B′ and the length of the major axis will be the ratio of the diameter, d, of the beam B′ to the sine of the angle, a, between the radiation beam B′ and the surface of the first optical element 2632, i.e. d/sin(a). Again, neglecting the curvature of the first optical element 2632, for a circular beam B′ with the first optical element 2632 being a grazing incidence mirror, the power density, PD, absorbed by the first optical element 2632 is given by:PD=fa(α)×sin α×PD0, (10)where a is the angle between the radiation beam B′ and the surface of the first optical element 2632, fa(α) is the fraction of the power absorbed by the first optical element 2632 (which is dependent upon α), and PD0 is the ratio of the power of the beam B′ to its cross sectional area (i.e. the initial power density of the beam). In one example, the angle α between the radiation beam B′ and the surface of the first optical element 2632 is 2.5 degrees. At this angle, around 8% of the incident power may be absorbed by the first optical element 2632. Taking into account the enlarged spot size and the reduced absorption fraction, the power density absorbed by the first optical element 2632 for the above example of a free electron laser with an output power of 30 kW and initial beam diameter of 50 μm would be reduced to the order of 5.3×1010 W/m2. However, this power density is still too large to be practically handled by the first optical element 2632 without damaging it. As the radiation beam B′ propagates, it increases in size. The increase in size between two points will be proportional to the product of the distance between the two points and the tangent of half the divergence. Neglecting the curvature of the first optical element 2632, for a circular beam B′ normally incident upon the first optical element 2632 the power density, Pd, absorbed by the first optical element 2632 is given by: Pd = f α × ( d 1 d 1 + 2 l tan ( θ / 2 ) ) 2 × Pd i , ( 11 ) where fa is the fraction of the power absorbed by the first optical element 2632, d1 is the initial beam diameter, B is the divergence of the beam B′, l is the distance between the undulator 24 and the first optical element 2632, and Pdi is the ratio of the power of the beam B′ to its initial cross sectional area (i.e. the initial power density of the beam). Since the divergence of the radiation beam B′ produced by the free electron laser FEL is so small, in order for the size of the beam to increase significantly (corresponding to a significant reduction in the power density absorbed by the first optical element 2632), the beam must travel a significant distance. For example, it may be necessary for the distance between the undulator 24 and the first optical element 2632 to be of the order of tens of metres in order for the power density on the first optical element 2632 to be sufficiently low that its surface coating is not damaged. A distance between the undulator 24 and the first optical element 2632 of around 10 m may be too small and 100 m may be unnecessarily large. The distance between the undulator 24 and the first optical element 2632 may for example be in the range 30 m to 80 m, for example it may be around 50 m. The distance between the undulator 24 and the first optical element 2632 at which damage of the first optical element is avoided will depend upon material properties of the surface coating and substrate of the first optical element and upon the effectiveness of a cooling system used to cool the first optical element (in addition to depending upon the power density of the beam). For the above example of a free electron laser with: an output power of 30 kW, an initial beam diameter of 50 μm, an angle, α, between the radiation beam B′ and the surface of the first optical element 2632 of 2.5 degrees, a divergence of 100 μrad, and a distance between undulator 24 and the first optical element 2632 of 50 m, the power density on the first optical element 2632 may be reduced to the order of 4.4×108 W/m2. With sufficient cooling, such a power density may be absorbed and dissipated by the first optical element 2632 without damaging it. In general, for a given initial beam diameter, power and divergence the power density PD absorbed by the first optical element 2632 may be varied by altering: (i) the angle α between the radiation beam B′ and the surface of the first optical element 2632; and/or (ii) the distance l between the undulator 24 and the first optical element 2632. The range of acceptable values of angle α will be dependent upon the distance l and vice versa. The range of acceptable values of angle α may also be constrained by the radius of curvature of the first optical element 2632 (to avoid the possibility that the first optical element curves away from the radiation beam B′ to such an extent that part of the radiation beam misses the first optical element). When the distance between the undulator 24 of the free electron laser FEL and the first optical element 2632 is of the order of tens of metres, the placement of the beam spot on the first optical element 2632 will be strongly dependent upon the initial direction of the radiation beam B′ leaving the undulator 24. A very small variation in this direction may cause the spot at the first optical element 2632 to move a significant distance. The distance l is sufficiently large that small relative mechanical movement of components of the radiation source SO5 and/or the buildings they are housed in can give rise to a large displacement of the spot on the first optical element 2632. The controller 2630a and the first and second actuators 2632a, 2633a form an adjustment mechanism of the optical system 2630. The adjustment mechanism of the optical system 2630 (provided by the controller 2630a and the first and second actuators 2632a, 2633a) and the sensor apparatus 2631 provide an active feedback loop which allows the first optical element 2632 to be placed sufficiently far from the undulator 24 so that it is not damaged whilst ensuring that the direction and position of the beam B output by the optical system 2630 remain stable. Therefore, advantageously, the combination of a free electron laser FEL and this active feedback loop allows a high power EUV radiation beam to be available for lithography. Since the first optical element 2632 is convex, it will increase the divergence of the EUV radiation beam, decreasing the heat load on mirrors downstream in the optical path. This may allow the mirrors downstream to be of a lower specification, with less cooling and therefore less expensive. Additionally or alternatively, it may allow the downstream mirrors to be nearer to normal incidence. Referring to FIG. 74, relative to the reference set of axes 50, the axis of the undulator 24 is in the x direction. The radiation beam B′ will generally propagate in the x direction. Each of the first and second optical elements 2632, 2633 is operable to move linearly in the y and z directions and is operable to rotate about the x and z axes. This freedom allows the optical system 2630 to correct for deviations in the direction of propagation of the radiation beam from the x direction. For example, a so called beam-pointing error may occur, wherein the radiation beam B′ is not propagating in the x-direction but instead is propagating at a slight angle to the x-direction. The pointing error may for example be such that the direction of the radiation beam B′ includes a component in the y direction. This may be corrected for by rotating the first and second optical elements 2632, 2633 around the z-direction. Rotation of the first optical element 2632 may be used to direct the radiation beam B′ such that it is incident upon the centre of the second optical element 2633, and rotation of the second optical element may be used to ensure that the radiation beam B′ is propagating in the x-direction when it exits the optical system 2630. A pointing error in which the direction of the radiation beam includes a component in the z-direction may be corrected for in a similar manner by rotating the first and second optical elements 2632, 2633 around the x-direction. A pointing error in which the radiation beam includes components in the y and z directions may be corrected for by rotating the first and second optical elements 2632, 2633 in both the x and z directions. The first optical element 2632 may be translatable in the y and z-directions. Translation in the y and z-directions may be used to ensure that the radiation beam B′ is incident at or close to the centre of the first optical element. If the radiation beam B′ has deviated such that it is no longer incident upon centre of the first optical element 2632 then the translation of the first optical element in the y and/or z directions may be performed until the radiation beam B′ is at or close to the centre of the first optical element. The position of the radiation beam B′ on the first optical element 2632 may for example be monitored by a camera or some other sensor (not illustrated). Translation of the second optical element 2633 in the y and z-directions may not be needed in order to correct for beam pointing errors. However, the second optical element 2633 may be translatable in the y and z-directions in order to allow for correction of other errors. Translation of the second optical element 2633 may for example be used to provide correction or modification of the cross-sectional shape of the radiation beam (e.g. if the optical elements 2632, 2633 have an aspherical shape or other complex shape). FIG. 75 represents schematically in more detail the first optical element 2632 and the associated actuator 2632a. The actuator 2632a includes three actuator elements which are connected between the first optical element 2632 and a base 2635 of the actuator. Each actuator element is located at or adjacent to a corner of the optical element (the three actuator elements are arranged in the form of three corners of a triangle). Two of the actuator elements 2634a, 2634b are shown schematically in FIG. 75. The actuator elements 2634a, 2634b may for example be piezo-electric actuators, or may be any other suitable form of actuator. Each actuator element 2634a, 2634b is individually operable to move a corner of the first optical element in the y-direction (as indicated by double-headed arrows). This allows the first optical element to be rotated around the z-axis or around the x-axis as desired. Operating all of the actuator elements together will provide translation of the first optical element 2632 in the y-direction. A separate actuator element may be used to provide translation of the first optical element 2632 in the z-direction. The separate actuator element may for example be used to translate the base 2635 in the z-direction, thereby moving the first optical element 2632 in the z-direction. Similarly, a separate actuator element may be used to provide translation in the x-direction. The separate actuator element may for example be used to translate the base 2635 in the x-direction, thereby moving the first optical element 2632 in the x-direction. Although the above description of actuator elements 2634a, 2634b refers to them being at or adjacent to corners of the first optical element 2632, it is not necessary that the first optical element have corners (it may for example be elliptical). In general, arranging the actuator elements as three corners of a triangle allows for easily controllable rotation and translation of the first optical element (irrespective of the shape of the first optical element). However, any suitable arrangement of actuator elements may be used. For example, an arrangement of six actuator elements may be used, the actuator elements being mounted in pairs on the base and being mounted in different pairs on the optical element (arrangements of this type are referred to as a Stuart platform or Hexapod). One or more bellows that can vary in length may extend between the first optical element 2632 and the base 2635, and may act to transmit heat from the first optical element to the base. The transfer of heat may be facilitated by fixed material within the bellows with high heat conductivity. Additionally or alternatively, one or more flexible pipes may deliver cooling fluid to and from the mounting plate via the bellows. Additionally or alternatively, flexible heat pipes, in which a liquid is evaporated at the hot side and vapour is condensed at the cold side, can be used to transfer heat away from the optical element. The actuator 2633a for the second optical element 2633 may have a similar configuration to the actuator 2632a for the first optical element 2632. In an embodiment, the separate actuator used to provide translation in the z-direction may be omitted. The controller 2630a may be operable to determine whether or not the position and/or direction of the radiation beam B differs from a desired direction and if so, how the first and second optical elements 2632, 2633 need to move in order to return the radiation beam B to the desired direction. The controller 2630a may then convert this information into two signals S1, S2 for the two actuators 2632a, 2633a in order to move the first and second optical elements 2632, 2633 accordingly. The controller 2630a may comprise a processor (not shown) which may implement the above described functions. The processor may calculate in real time how the first and second optical elements 2632, 2633 must be moved in response to given input signals Sa, Sb from the sensor apparatus 2631. Additionally or alternatively, the processor may access this information from a look up table or the like which may be stored in a memory (not shown). The second optical element 2633 has a concave shape such that the divergence of the outgoing beam is substantially zero. The shape of the second optical element 2633 may substantially match that of the first optical element 2632 and may be, for example, spherical, astigmatic or aspherical. Therefore, downstream of the second optical element 2633, the beam is substantially collimated. Advantageously, this allows other optical elements that condition branch radiation beams B1-B3 (see FIG. 1) before they enter the lithographic apparatuses LA1, LA2 or mask inspection apparatus MIA, to be identical or at least very similar. This is beneficial from a manufacturing point of view. It may be preferable for the beam that is received by the beam splitting apparatus 20 to have a different shape and/or intensity distribution to that output by the undulator 24. For example, a rectangular shape may be preferable to a circular beam for consecutive knife edge extraction mirrors within the beam splitting apparatus 20. The shape and/or intensity distribution of the radiation may be altered by the optical system 2630 by, for example, using first and second optical elements with a-spherical optical surfaces. It will be appreciated that for different beam B shapes, different arrangements of sensing elements in the sensor apparatus 2631 may be used so that the distribution of sensing elements substantially matches the shape of the beam B. Although the above described embodiment of a radiation source SO5 comprises one free electron laser FEL, a radiation source according to an embodiment of the invention may comprise two or more free electron lasers. Referring to FIG. 76, a second embodiment of a radiation source SO6 according to the invention comprises two free electron lasers FELa, FELb, an optical system 2660 and a sensor apparatus (not shown in order to reduce the complexity of the drawing). Each of the free electron lasers FELa, FELb is operable to produce a beam of EUV radiation B′, B″ and may be substantially the same as the free electron laser FEL described above in relation to the first embodiment of a radiation source SO5. Such an arrangement provides redundancy, allowing one of the free electron lasers FELa, FELb to operate when the other free electron laser is being repaired or undergoing maintenance. Thus, one of the free electron lasers FELa, FELb is always available for use. The optical system 2660 comprises four movable optical elements: first and second optical elements 2662a, 2663a associated with a first one of the free electron lasers FELa; and first and second optical elements 2662b, 2663b associated with a second one of the free electron lasers FELb. The optical system further comprises a controller 2660a and an actuator 2664, 2665, 2666, 2667 for each of the movable optical elements 2662a, 2662b, 2663a, 2663b. Each of the four actuators 2664, 2665, 2666, 2667 is operable to move one of the of the movable optical elements 2662a, 2662b, 2663a, 2663b response to a received signal S1, S2, S3, S4 from controller 2660a. Each of the first optical elements 2662a, 2662b performs substantially the same function for its respective free electron laser FELa, FELb as the first optical element 2632 does for free electron laser FEL described above in relation to the first embodiment of a radiation source SO5. Both of the first optical elements 2662a, 2662b are arranged to direct the radiation beam B′, B″ received from their respective free electron lasers FELa, FELb to substantially the same location. The optical system 2660 is arranged to selectively receive a beam of radiation B′, B″ from one of the free electron lasers FELa, FELb and, using the first and second movable optical elements associated with that free electron laser FELa, FELb, to increase a cross-sectional area of the beam B′ to produce a beam B with a larger diameter. This larger beam B that is output by the optical system 2660 is received by the beam splitting apparatus 20. As with the first embodiment SO5, the sensor apparatus (not shown) is operable to determine a position and direction of the beam B output by the optical system 2660 and to send a signal S indicative thereof to the controller 2660a. The controller 2660a is operable to move the first and second optical elements corresponding to the free electron laser FELa, FELb that is operating in response to signal S to compensate for changes in the direction of the beam B′, B″ produced by that free electron laser FELa, FELb. The controller 2660a and the four actuators 2664, 2665, 2666, 2667 form an adjustment mechanism of the optical system 2660. In addition to the functionality described above in relation to the second optical element 2633 of the first embodiment SO5, each of the second optical elements 2663a, 2663b is operable to move in the y direction over a greater distance, as indicated by arrow A between an in use position, wherein it is arranged to receive radiation from its associated free electron laser FELa, FELb via its associated first optical element, and a storage position, wherein it is retracted out of the path of the radiation. In use, one of the free electron lasers, for example FELb in FIG. 76, is switched on and the other free electron laser is switched off (for example to allow for maintenance). The second optical element 2663b associated with the free electron laser FELb that is switched on is disposed in its in use position and the other second optical element is in its storage position. Advantageously, such an arrangement allows the beam of radiation B output by the optical system 2660 to be in substantially the same position and direction regardless of which free electron laser FELa, FELb is operating. A control mechanism (not shown) may be provided for moving the two second optical elements 2663a, 2663b between their in use and stored positions as appropriate. Relative to the reference set of axes 2670 in FIG. 76, the beam of radiation B output by the optical system 2660 is generally in the x direction. The axis of each of the two free electron laser FELa, FELb, along which their output radiation beams B′, B″ propagate are disposed at a small angle β relative to the x axis. This allows the physical separation between the two free electron lasers FELa, FELb to be larger than that between the two first optical elements. This is advantageous since it may be preferable for instance for system stability for the two first optical elements 2662a, 2662b to be relatively close, say of the order 1 metre apart, whereas the free electron lasers FELa, FELb are very large apparatuses and may necessarily need to be separated by a significantly larger distance. The distance A between the undulators of the two free electron lasers FELa, FELb is given by:Δ=2l tan(β)+2k tan(2α+β) (12)where, l is the distance between the undulators of the free electron lasers FELa, FELb and the first optical elements 2662a, 2662b, α is the angle between the radiation beam B′ and the surface of the first optical element 2662a, 2662b and k is the distance between the first optical elements 2662a, 2662b and the second optical elements 2663a, 2663b. For sufficiently large 1 and k:Δ=2β(l+k)+4kα. (13) Referring to FIG. 77, a radiation source SO7 comprises two free electron lasers FELa, FELb and an optical system 2730. Each of the free electron lasers FELa, FELb is selectively operable to produce a beam of EUV radiation Ba′, Bb′. That is, each of the free electron lasers FELa, FELb is switchable between an on state wherein it produces a beam of EUV radiation and an off state wherein it does not. Each of the free electron lasers FELa, FELb may be said to be on when disposed in its on state, and may be said to be off when disposed in its off state. Each of the beams of EUV radiation Ba′, Bb′ output by the free electron lasers FELa, FELa may have a substantially circular cross section and a Gaussian intentsity profile. As described above, the radiation beam produced by an EUV free electron laser typically has a relatively small etendue. For example, the radiation beams Ba′, Bb′ produced by the free electron lasers FELa, FELb may have a divergence less than 500 μrad, for example less than 100 μrad, and may for example have a diameter of around 50 μm as they leave their respective undulators 24. Referring again to FIG. 77, the optical system 2730 is arranged to receive a beam of radiation Ba′, Bb′ from each of the free electron lasers FELa, FELa and to output an output radiation beam B. The radiation beam B that is output by the optical system 2730 is received by the beam splitting apparatus 20 (see FIG. 1). The optical system 2730 comprises four optical elements: first and second optical elements 2732, 2734 associated with a first one of the free electron lasers FELa; and first and second optical elements 2736, 2738 associated with a second one of the free electron lasers FELb. The optical elements 2732, 2734, 2736, 2738 are arranged to alter the size and shape of the cross section of the radiation beams Ba′, Bb′ from the free electron lasers FELa, FELb. In particular, the first optical elements 2732, 2736 are convex mirrors, which act to increase the cross sectional area of the radiation beams Ba′, Bb′ from the free electron lasers FELa, FELb. Although in FIGS. 77, 79 and 80 the first optical elements 2732, 2736 appear to be substantially flat in the x-y plane they are in fact convex both in this plane and in the z direction. Since the first optical elements 2732, 2736 are convex, they will increase the divergence of the EUV radiation beams Ba′, Bb′, decreasing the heat load on mirrors downstream of them. The first optical element 2732 may be referred to as a diverging optical element arranged to increase the cross sectional area of the radiation beam Ba′ received from the first free electron laser FELa. The first optical element 2736 may be referred to as a diverging optical element arranged to increase the cross sectional area of the radiation beam Bb′ received from the second free electron laser FELb. This may allow the mirrors downstream to be of a lower specification, with less cooling, and therefore less expensive. Additionally or alternatively, it may allow the downstream mirrors to be nearer to normal incidence. In practice, the radiation beam B output by the radiation source SO7 may be split by a plurality of consecutive, static, knife edge mirrors arranged in series in the path of the beam B. Increasing the size of the beam B (by, for example, using convex mirrors as the first optical elements 2732, 2736) reduces the accuracy with which the mirrors must be located in the beam B path. Therefore, this allows for more accurate splitting of the output beam B by the splitting apparatus 20. The second optical elements 2734, 2738 are concave and are complementary in shape to the first optical elements such that the beams leaving the second optical elements 2734, 2738 have substantially zero divergence. The second optical element 2734 may be referred to as a converging optical element arranged to reduce a divergence of the radiation beam Ba′ received from the first free electron laser FELa to substantially zero after the cross sectional area of that radiation beam Ba′ has been increased by first optical element 2732. The second optical element 2738 may be referred to as a converging optical element arranged to reduce a divergence of the radiation beam Bb′ received from the second free electron laser FELb to substantially zero after the cross sectional area of that radiation beam Bb′ has been increased by first optical element 2736. Therefore, downstream of the second optical elements 2734, 2738 the beams are substantially collimated. Again, although in FIGS. 77, 79 and 80 the second optical elements 2734, 2738 appear to be substantially flat in the x-y plane they are in fact concave both in this plane and in the z direction. It may be preferable for the output beam B, which is received by the beam splitting apparatus 20, to have a different shape and/or intensity distribution to that output by the free electron lasers FELa, FELb. For example, a rectangular shape may be preferable to a circular beam for consecutive knife edge extraction mirrors within the beam splitting apparatus 20. Therefore, in addition to increasing the cross sectional area of the radiation beams Ba′, Bb′, the optical elements 2732, 2734, 2736, 2738 act to alter the cross sectional shape of the radiation beams Ba′, Bb′. In particular, the optical elements 2732, 2734, 2736, 2738 are astigmatic or aspherical and are shaped so as to ensure that the radiation beams Ba, Bb leaving the second optical elements 2734, 2738 are more rectangular in shape than the radiation beams Ba′, Bb′ produced by the free electron lasers FELa, FELb. For example, the optical elements may be shaped so that the beams Ba, Bb leaving the second optical elements 2734, 2738 are generally rectangular but with rounded corners, although other shapes are also possible. The two dimensions of such a rectangular shape may be related to radii of curvature of the optical elements in two perpendicular directions such as, for example, in the x-y plane and in the z direction. Advantageously, this allows the mirrors that are used to split the output radiation beam B into branch radiation beams before they enter the lithographic apparatuses, to be identical or at least very similar. This is especially beneficial from a manufacturing point of view. In the present example, it is described that eight branch radiation beams Ba-B8 are provided for eight lithographic apparatus LA1-LAB. It will be appreciated that as illustrated in FIG. 1, additional lithographic apparatuses may be provided. When both of the free electron lasers FELa, FELb are on, the optical system 2730 is operable to combine their radiation beams Ba′, Bb′ to form a composite radiation beam. In this embodiment, this is achieved by offsetting the first and second optical elements 2732, 2734 of the first free electron laser FELa from those 2736, 2738 of the second free electron laser FELb in the x direction so that the beams Ba, Bb leaving the second optical elements 2734, 2738 are both adjacent to each other and mutually parallel. In particular, the first and second optical elements 2732, 2734 of the first free electron laser FELa are disposed “downstream” (with respect to the direction of propagation of the laser beams Ba′, Bb′) of those 2736, 2738 of the second free electron laser FELb. Such an arrangement, the optical system 2730 is operable to combine the two radiation beams Ba′, Bb′ to form a composite radiation beam, provides a radiation source SO7 with two free electron lasers FELa, FELb, wherein the radiation source SO7 is able to continue to produce an output radiation beam in the event that one of the free electron lasers FELa, FELb is off. This may allow, for example, one of the free electron lasers FELa, FELb to be repaired or to undergo maintenance. However, advantageously, embodiments of the invention also allow both free electron lasers FELa, FELb to operate simultaneously when required or desired. Thus, if both of the free electron lasers FELa, FELb are operational they can both be producing radiation for a lithographic system such as the lithographic system LS. The composite beam is the output radiation beam B output by the optical system 2730. The cross sectional profile of the composite radiation beam B output by the optical system 2730 is shown in FIG. 78, the edge of the composite radiation beam B being defined as the point where its intensity has dropped below a pre-set threshold. FIG. 78 also illustrates eight portions 2820 of the output beam B, which correspond to the eight branch radiation beams Ba-B8 that may be produced by the beam splitting apparatus 20 using eight substantially identical consecutive knife edge extraction mirrors (not shown). FIG. 78A shows an embodiment wherein each branch radiation beam Ba-B8 comprises a portion of radiation from each of the two radiation beams Ba′, Bb′ whereas FIG. 78B shows an embodiment wherein each branch radiation beam Ba-B8 comprises radiation exclusively from one or the other of the two radiation beams Ba′, Bb′. Each of the free electron lasers FELa, FELb may have scheduled and/or unscheduled down time during which they are off. In the event that one of the free electron lasers is off, for example the first one FELa, the effect on the lithographic apparatuses LA1-LA20 will be different for the two different embodiments shown in FIGS. 78A and 78B respectively. In the case of the embodiment shown in FIG. 78A, all of the lithographic apparatuses LA1-LA20 will receive some radiation, although only half of that which they would receive when both free electron lasers FELa, FELb are on. Unless the optics which delivers the branch radiation beams Ba-B8 to the illuminators IL of the lithographic apparatuses LA1-LA20 is altered, for such embodiments only half of the facetted field mirror device 10 (FIG. 2) will be illuminated. Under these conditions, it may be the case that either: (a) all of the mirrors of the facetted field mirror device 10 are either illuminated completely of not illuminated at all; or (b) at least some of the mirrors of the facetted field mirror device 10 are only partially illuminated. If each of the mirrors of the facetted field mirror device 10 is either completely illuminated or not illuminated at all, by suitable configuration of the facetted field mirror device 10 and the facetted pupil mirror device 11 it can be arranged that the illuminators IL of the lithographic apparatuses LA1-LA20 produce a suitable illumination pattern for illumination of a mask MA. This is achieved by directing the mirrors so that the illuminated mirrors are substantially evenly distributed over the pupil plane of the illuminator IL. This results in a loss of performance. However, if the some of the mirrors of the facetted field mirror device 10 are only partially illuminated, these conditions can result in a large non-uniformity (tilt) in the field at the mask MA. This can be avoided by suitable re-design of the facetted field mirror devices 10 to ensure that no mirrors are partially illuminated, however, this is somewhat impractical. Furthermore, the effect of partial illumination of some of the mirrors can be reduced by increasing the total number of mirrors (for example by using MEMS devices and using, for example, more than 100,000 mirrors). In the case of the embodiment shown in FIG. 78B, half of the lithographic apparatuses LA1-LA20 will receive the same amount of radiation as when both free electron lasers FELa, FELb are on but the other half will receive no radiation. In order to address the problems of: (a) only illuminating half of the facetted field mirror devices 10; and/or (b) only providing half of the lithographic apparatuses LA1-LA20 with radiation, the optical system 2730 is adjustable and is operable to vary the cross sectional profile (size and/or shape) of the beams of radiation Ba, Bb leaving the second optical elements 2734, 2738. For this purpose, the optical system 2730 further comprises: a controller 2744; and an actuator 2752, 2754, 2756, 2758 for each of the optical elements 2732, 2734, 2736, 2738. Each of the four actuators 2752, 2754, 2756, 2758 is operable to move one of the optical elements 2732, 2734, 2736, 2738 in response to a received signal (not shown) from the controller 2744. In particular, when one of the two free electron lasers FELa, FELb is off the optical system 2730 may be operable to adjust so that the radiation beam Ba, Bb leaving the second optical element 2734, 2738 corresponding to the other free electron laser FELa, FELb has generally the same size, shape and position as the combined radiation beam B would have if both free electron lasers FELa, FELb were on. Alternatively the optical system 2730 may be operable to adjust so that the radiation beam Ba, Bb leaving the second optical element 2734, 2738 corresponding to the free electron laser FELa, FELb that is on so as to be at least closer in size, shape and position of the combined radiation beam B than it would be when both lithographic apparatuses are on. As a result, each lithographic apparatus LA1-LA20 will receive a branch radiation beam Ba-B8 that illuminates substantially all of its facetted field mirror device 10 but which has half the power that would be received if both free electron lasers FELa, FELb were on. Advantageously, this means that the beam splitting apparatus and the lithographic apparatuses LA1-LA20 need not change and all lithographic apparatuses LA1-LA20 in the lithographic system LS can continue to operate without any significant loss of performance. For the avoidance of doubt, as referred to in this context performance means the quality of images imparted to, for example, a substrate W by the lithographic apparatuses LA1-LA20. As will be apparent to the skilled person, when only one of the free electron lasers FELa, FELb is operating the power of the radiation available to each lithographic apparatus LA1-LA20 will be reduced (for two free electron lasers FELa, FELb of equal power it will be halved). Therefore when only one free electron laser FELa, FELb is operating, the speed of operation of each lithographic apparatus LA1-LA20 will be reduced (for example by a factor of 2) but the quality will not be significantly affected. Referring to FIGS. 79 and 80, to achieve this, the optical system 2730 is operable to vary the divergence of the first optical elements 2732, 2736 in a direction in the x-y plane (i.e. perpendicular to the z direction) and to move the second optical element 2738 of the second free electron laser FELb in the y direction. Each of the first optical elements 2732, 2736 comprises two opposing surfaces with different radii of curvature. For example, referring to FIG. 81, the first optical element 2732 corresponding to the first free electron laser FELa comprises first 2732a and second 2732b opposing surfaces. Actuator 2752 is operable to rotate the first optical element 2732 about an axis 2792 in the z direction. The divergence of the first optical element 2732 is be varied by rotating it about the axis 2792 in the z direction by 180 degrees so as to place a different one of the opposing surfaces 2732a, 2732b in the path of the radiation beam Ba′. When both free electron lasers FELa, FELb are on the radiation beam Ba′ from the first free electron laser FELa is incident upon the first surface 2732a. When only the first free electron laser FELa is on the first optical element 2732 is rotated such that the radiation beam Ba′ is incident upon the second surface 2732b, which has half the radius of curvature of the first surface 2732a (and therefore produces twice the divergence). Similarly, the first optical element 2736 corresponding to the second free electron laser FELb comprises two opposing surfaces 2736a, 2736b and actuator 2756 is operable to rotate it about an axis 2796 in the z direction so as to vary its divergence. In an alternative example embodiment, two or more first optical elements with different radii of curvature may be provided for each free electron laser FELa, FELb and the divergence of the first optical element 2732, 2736 may be varied by moving a first optical element out of the path of the radiation beam Ba′, Bb′ and replacing it with another one with a different radius of curvature. Referring again to FIG. 79, a configuration wherein the first free electron laser FELa is on and the second free electron laser FELb is off is illustrated. The first optical element 2732 for the first free electron laser FELa has been rotated about its axis 2792 by 180 degrees so as to place its second surface 2732b in the path of the radiation beam Ba′. Furthermore, the second optical element 2734 is moved up in the y direction (as indicated by arrow C). When incident upon the second surface 2732b, the radiation beam Ba′ from the first free electron laser FELa will illuminate twice the area of the second optical element 2734 as it would if it were incident upon the first surface 2732a. Since the divergence of the second optical element 2734 is the same, but the beam is spread over twice the area, the radiation beam Ba leaving the second optical element 2734 has substantially zero divergence. Referring to FIG. 80, a configuration wherein the second free electron laser FELb is on and the first free electron laser FELa is off is illustrated. The first optical element 2736 for the second free electron laser FELb has been rotated about its axis 2796 by 180 degrees so as to place its second surface 2736b in the path of the radiation beam Bb′. Furthermore, the second optical element 2734 corresponding to the first free electron laser FELa is moved down in the y direction (as indicated by arrow D). The radiation beam Bb′ from the second free electron laser FELb will illuminate twice the area of the second optical element 2738 as it would if the first surface 2736a of the first optical element 2736 was in the path of the radiation beam Bb′. Since the divergence of the second optical element 2738 is the same, but the beam is spread over twice the area, the radiation beam Bb leaving the second optical element 2738 has substantially zero divergence. The beam profile produced by the radiation source SO7 when only the first free electron laser FELa is on is shown in FIG. 82. The beam profile produced by the radiation source SO7 when only the second free electron laser FELb is substantially identical to that shown in FIG. 82. The radiation source SO7 may comprise one or more sensors (not shown) that are operable to determine whether the two free electron lasers FELa, FELb are on or off. Such sensors may send signals indicative of the state of the two free electron lasers FELa, FELb to the controller 2744. Additionally of alternatively, the radiation source SO7 may comprise user interface which may allow a user to manually input the state of two free electron lasers FELa, FELb (for example in the case of planned downtime). Relative to the reference set of axes 2770 in FIGS. 77, 79 and 80, the output beam of radiation B output by the optical system 2730 propagates generally in the x direction. The axis of each of the two free electron lasers FELa, FELb, along which their output radiation beams Ba′, Bb′ propagate are disposed at a small angle β relative to the x axis. This allows the physical separation between the two free electron lasers FELa, FELb to be larger than that between the two first optical elements 2732, 2736. This is advantageous since it may be preferable, for instance for system stability, for the two first optical elements 2732, 2736 to be relatively close, say of the order 1 metre apart, whereas the free electron lasers FELa, FELb are very large apparatuses and may necessarily need to be separated by a significantly larger distance. The radiation source SO7 further comprises a sensor apparatus 2740. The sensor apparatus 2740 comprises two sets of sensors 2741, 2742 spaced apart along the direction of propagation of the output beam B. Each set of sensors 2741, 2742 comprises sensors arranged around the periphery of the output beam B such that deviation of the radiation beam from a desired position will cause overlap of an edge of the beam with one or more sensors. For example, the sensing elements may be distributed around the perimeter of a region in the y-z plane that substantially matches the intensity distribution of the radiation beam B. For example, the sensing elements may be distributed around the lines marking the shape of the beam profiles shown in FIG. 78 or FIG. 82. Any other suitable form of sensor apparatus may be used. The sensor apparatus 2740 provides two output signals Sa, Sb, each signal being indicative of the position of the output beam B after it has propagated by a different distance. The controller 2744 is arranged to process the signals Sa, Sb to determine the direction of propagation of the output beam B. The controller may also determine the position of the beam B. The controller 2744 is operable to move the optical elements 2732, 2734, 2736, 2738 using actuators 2752, 2754, 2756, 2758 in response to the signals Sa, Sb from the sensor apparatus 2740, to compensate for changes in the direction of the beams Ba′, Bb′ produced by the free electron lasers FELa, FELb. The controller 2744 and the four actuators 2752, 2754, 2756, 2758 form an adjustment mechanism of the optical system 2730. The optical elements 2732, 2734, 2736, 2738 may also be used to compensate for changes in the position of the beams Ba′, Bb′ produced by the free electron lasers FELa, FELb. As used in this context, the edge of the output beam B may be defined as the point where the intensity has dropped below a pre-set threshold. The pre-set threshold may for example be a percentage of the maximum intensity. Each sensor of each sensor set 2741, 2742 may output a signal indicative of the amount of radiation incident upon it. Each of these signals may be sent to the controller 2744 separately or as combined signals Sa, Sb. By analysing the amount of radiation incident upon each of the plurality of sensors, the position of the output radiation beam B may be determined. For example, for embodiments wherein the sensing elements are distributed around the perimeter of a region in the y-z plane that substantially matches the intensity distribution of the output radiation beam B, if there is a difference in the amount of radiation incident on two diametrically opposed sensing elements then the centre of the output radiation beam B is closer to the sensing element that receives more radiation. Once the position of the radiation beam for each sensor set 2741, 2742 has been determined in this manner, the direction of the radiation beam may be determined. If this differs from the desired direction of the output radiation beam B, the controller 2744 may be operable to move the optical elements 2732, 2734, 2736, 2738 to correct for this. The sensor sets 2741, 2742 of the sensor apparatus 2740 may be movable. This allows for changes in the output beam shape B and/or intensity profile to taken into account. For example, the sensor sets may moveable so that they can be distributed according to the beam profile shown in FIG. 78 when both free electron lasers FELa, FELb are on and they can be distributed according to the beam profile shown in FIG. 82 when only one of the free electron lasers FELa, FELb is on. The radiation beams Ba′, Bb′ supply EUV radiation to the lithographic apparatuses LA1-LA20 and the optical system 2730 forms the first part of a set of dedicated optical components that direct the radiation from the free electron lasers FELa, FELb to the lithographic apparatuses LA1-LA20. Each of the optical elements 2732, 2734, 2736, 2738 comprises a mirror and may be provided with an active cooling mechanism. For example, each mirror may be provided with a supply of cooling fluid such as, for example, water or carbon dioxide (CO2). However, there is a limit to the power density that an optical element can absorb and dissipate, without sustaining damage. For a given output power of the free electron lasers FELa, FELb, the power density that the first optical element 2732, 2736 downstream of the corresponding free electron laser FELa, FELb receives is dependent upon: (i) the initial size and divergence of the radiation beam Ba′, Bb′ as it leaves the undulator 24 of that free electron laser FELa, FELb; and (ii) the distance between the undulator 24 of that free electron laser FELa, FELb and its corresponding first optical element 2732, 2736. The power density that each first optical element 2732, 2736 receives decreases as the distance between that first optical element 2732, 2736 and its corresponding free electron laser FELa, FELb increases. In embodiments of the present invention, the first optical elements 2732, 2736 are grazing incidence mirrors. Preferably, the first optical elements 2732, 2736 are formed from a material which is a good conductor of heat such as, for example, copper, with a coating that maximizes reflectivity and minimizes absorption such as, for example, ruthenium (Ru). The angle α between the radiation beam Ba′, Bb′ output by each free electron laser FELa, FELb and the surface of its corresponding first optical element 2732, 2736 is small, which provides two benefits: (a) it enlarges the beam spot size on the first optical elements 2732, 2736, lowering the power density; and (b) it lowers the absorption coefficient, reducing the fraction of the incident power which is absorbed, and must be dissipated, by the first optical elements 2732, 2736. The angle α between each radiation beam Ba′, Bb′ and the surface of the corresponding first optical element 2732, 2736 is preferably below about 10 degrees, since the reflectivity of the first optical elements 2732, 2736 drops significantly as the angle increases above 10 degrees. Since the first optical elements 2732, 2736 are convex, their radii of curvature set lower limits of the angle between the radiation beam Ba′, Bb′ and the surface of the corresponding first optical element 2732, 2736. Preferably the angle α is in the range 0.5 to 10 degrees, more preferably in the range 1 to 5 degrees, and most preferably in the range 1 to 3 degrees. As the radiation beams Ba′, Bb′ propagate, they increase in size. The increase in size between two points will be proportional to the product of the distance between the two points and the tangent of half the divergence. Since the divergence of the radiation beams Ba′, Bb′ produced by the free electron lasers FELa, FELb is so small, in order for the size of the beam to increase significantly (corresponding to a significant reduction in the power density absorbed by the first optical elements 2732, 2736), the beams must travel a significant distance. For example, it may be necessary for the distance between the undulator 24 of each free electron laser FELa, FELb and its corresponding first optical element 2732, 2736 to be of the order of tens of metres in order for the power density on the first optical elements 2732, 2736 to be sufficiently low that their surface coatings are not damaged. A distance between each undulator 24 and its corresponding first optical element 2732, 2736 of around 10 m may be too small and 100 m may be unnecessarily large. The distance may for example be in the range 30 m to 80 m, for example it may be around 50 m. In general, the distance between the undulator 24 of each free electron laser FELa, FELb and its corresponding first optical element 2732, 2736 at which damage of the first optical element is avoided will depend upon material properties of the surface coating and substrate of the first optical element and upon the effectiveness of a cooling system used to cool the first optical element (in addition to depending upon the power density of the beam). In general, for a given initial beam diameter, power and divergence the power density absorbed by each first optical element 2732, 2736 may be varied by altering: (i) the angle α between the surface of that first optical element 2732, 2736 and the radiation beam Ba′, Bb′ incident upon it; and/or (ii) the distance L between that first optical element 2732, 2736 and its corresponding undulator 24. The range of acceptable values of angle α will be dependent upon the distance L and vice versa. The range of acceptable values of angle may also be constrained by the radius of curvature of the first optical element 2732, 2736 (to avoid the possibility that the first optical element curves away from the radiation beam Ba′, Bb′ to such an extent that part of the radiation beam misses the first optical element). When the distance L between the undulator 24 of each free electron laser FELa, FELb and its corresponding first optical element 2732, 2736 is of the order of tens of metres, the placement of the beam spot on the first optical element 2732, 2736 will be strongly dependent upon the initial direction of the radiation beam Ba′, Bb′ leaving that undulator 24. A very small variation in this direction may cause the spot at the first optical element 2732, 2736 to move a significant distance. The distance L is sufficiently large that small relative mechanical movement of components of the radiation source SO7 and/or the buildings they are housed in can give rise to a large displacement of the spot on the first optical element 2732, 2736. The controller 2744 and the four actuators 2752, 2754, 2756, 2758 provide an active feedback loop which allows each of the first optical elements 2732, 2736 to be placed sufficiently far from the undulator 24 of its corresponding free electron laser FELa, FELb so that it is not damaged whilst ensuring that the direction and position of the output beam B output by the optical system 2730 remains stable. Therefore, advantageously, the combination of free electron lasers (which have very small etendues) and this active feedback loop allows a high power EUV radiation beam to be available for lithography. In particular, it allows for a radiation source SO7 that has an output radiation beam with a sufficiently large power to serve a plurality (for example eight) lithographic apparatuses. Referring to FIGS. 77, 79 and 80, relative to the reference set of axes 2770, the axes of the free electron lasers FELa, FELb are nominally disposed in the x-y plane and at a small angle β to the x axis. The output radiation beam B will generally propagate in the x direction. Each of the optical elements 2732, 2734, 2736, 2738 is operable to move linearly in the y and z directions and is operable to rotate about the x and z axes. This freedom allows the optical system 2730 to correct for deviations in the direction of propagation of the radiation beams Ba′, Bb′ from their nominal directions. For example, a so called beam-pointing error may occur, wherein one of the radiation beams Ba′, Bb′ is not propagating at an angle β to the x-direction but instead is propagating at a slightly different angle. The pointing error may for example be such that the direction vector of the radiation beam Ba′, Bb′ lies in the x-y plane but makes a different angle with the x axis. This may be corrected for by rotating the first 2732, 2736 and second 2734, 2738 optical elements around the z-direction. Rotation of the first optical element 2732, 2736 may be used to direct the radiation beam Ba′, Bb′ such that it is incident upon the second optical element 2734, 2738, and rotation of the second optical element 2734, 2738 may be used to ensure that the output radiation beam B is propagating in the x-direction when it exits the optical system 2730. A pointing error in which the direction of the radiation beam Ba′, Bb′ includes a component in the z-direction may be corrected for in a similar manner by rotating the first 2732, 2736 and second 2734, 2738 optical elements around the x-direction. A pointing error in which the radiation beam Ba′, Bb′ includes components in the y and z directions may be corrected for by rotating the first 2732, 2736 and second 2734, 2738 optical elements in both the x and z directions. The first optical elements 2732, 2736 may be translatable in the y and z-directions. Translation in the y and z-directions may be used to ensure that the radiation beam Ba′, Bb′ is incident at or close to the centre of the first optical element. If the radiation beam Ba′, Bb′ has deviated such that it is no longer incident upon centre of the first optical element 2732, 2736 then the translation of the first optical element in the y and/or z directions may be performed until the radiation beam Ba′, Bb′ is at or close to the centre of the first optical element 2732, 2736. The position of the radiation beam Ba′, Bb′ on the first optical element 2732, 2736 may for example be monitored by a camera or some other sensor (not illustrated). Translation of the second optical elements 2734, 2738 in the y and z-directions may not be needed in order to correct for beam pointing errors. However, the second optical elements 2734, 2738 may be translatable in the y and z-directions in order to allow for correction of other errors. Translation of the second optical elements 2734, 2738 may for example be used to provide correction or modification of the cross-sectional shape of the radiation beam (e.g. if the optical elements have an aspherical shape or other complex shape). The controller 2744 may be operable to determine whether or not the position and/or direction of the output radiation beam B differs from a desired direction and if so, how the optical elements 2732, 2734, 2736, 2738 need to move in order to return the output radiation beam B to the desired direction. The controller 2744 may then convert this information into two signals for the actuators 62, 64, 66, 68 in order to move the optical elements 2732, 2734, 2736, 2738 accordingly. The controller 2744 may comprise a processor (not shown) which may implement the above described functions. The processor may calculate in real time how the optical elements 2732, 2734, 2736, 2738 must be moved in response to given input signals Sa, Sb from the sensor apparatus 2740. Additionally or alternatively, the processor may access this information from a look up table or the like which may be stored in a memory (not shown). The optical layout of the optical system 2730 of the first embodiment (FIGS. 77, 79 and 80) is not symmetric with respect to the two free electron lasers FELa, FELb, which may lead to slightly different optical properties for the radiation originating from different free electron lasers FELa, FELb. With reference to FIGS. 83 and 84, another embodiment of a radiation source SO8 is described. Like components in the two embodiments share common labels. The radiation source SO8 comprises two free electron lasers FELa, FELb and an optical system 2780. The optical system 2780 comprises two first optical elements 2732, 2736, which are equivalent to those of optical system 2730 although they are disposed at generally the same position in the x direction. The optical system 2760 further comprises a single second optical element 2782. The second optical element 2782 is generally wedge shaped and comprises two reflective surfaces 2782a, 2782b. A first one of the reflective surfaces 2782a acts as a second optical element for the first free electron laser FELa and a second of the reflective surfaces 2782b acts as a second optical element for the second free electron laser FELb. Both of the reflective surfaces are concave and may have an astigmatic or a-spherical shape matching that of the first optical elements 2732, 2736. Similarly to the first embodiment SO, when both free electron lasers FELa, FELb are on, the optical system 2780 will act to increase the size of the radiation beams Ba′, Bb′, alter their shape and output a combined radiation beam B. However, as shown in FIG. 85, in contrast to the first embodiment SO, the combined beam B output by the optical system 2780 of the embodiment SO8 has a gap 2784 separating the contributions from the two free electron lasers FELa, FELb. Although the second optical element 2782 is wedge shaped it cannot taper to a point at the intersection 2782c between the first and second reflective surfaces 2782a, 2782b. The second optical element 2782 is provided with at least a minimum thickness at the intersection 2782c so that the thermal conductivity of the second optical element 2782 can dissipate the absorbed radiation power from the radiation beams Ba′, Bb′. The minimum size of the gap 2784 in the intensity distribution of the combined radiation beam B is determined by the minimal required thickness of the second optical element 2782 at the intersection 2782c. In principle, this gap 2784 does not present any problem for the beam extracting optical elements within the beam splitting apparatus 20, which can be designed and positioned such that substantially the entire facetted field mirror device 10 of each lithographic apparatus LA1-LA20 is illuminated. For example, referring to FIG. 85B, the beam extracting optical elements may be arranged, as illustrated by regions 2820, such that the gap 2784 does not effect any of the branch radiation beams Ba-B8. In some embodiments, similarly to the first embodiment SO, first optical elements 2732, 2736 are operable to rotate about axes 2792, 2796 in the z direction to alter their divergence, as described above in relation to the first embodiment SO. For such embodiments, the surfaces 2782a, 2782b of the second optical element 2782 are of sufficient size to accommodate a single radiation beam from one of the free electron lasers FELa, FELb (see FIG. 84). The shape of the radiation beam B output by the optical system 2780 will be as shown in FIG. 82. Note that when only one free election laser FELa, FELb is on, the radiation beam B output by the optical system 2780 does not have a gap 2784 and will be shifted either up or down in the y direction. Therefore the beam splitting apparatus 20 will have to be altered when switching between use of one free electron laser FELa, FELb and two free electron lasers FELa, FELb, unless the gap 2784 is negligibly small and the second optical element 2782 can be moved in the y direction to ensure the output radiation beam B is centred on the dashed line 2786. The embodiment of a radiation source SO8 may incorporate any or all compatible features of the first embodiment of a radiation source SO as desired or appropriate. For example, although not shown in FIGS. 83 and 84, radiation source SO8 may further comprise a sensor apparatus and the optical system 2780 may further comprises a controller and an actuator for each of the optical elements 2732, 2736, 2782. Each of the actuators may be operable to move one of the optical elements 2732, 2736, 2782 to compensate for changes in the direction of the beams of radiation produced by the two free electron lasers FELa, FELb. FIG. 86 shows a lithographic system LS8 comprising m radiation sources SOa-SOm, a beam delivery system BDS3 and n lithographic apparatuses LA1′-LAn′. Each of the radiation sources SOa-SOm is selectively operable to produce an extreme ultraviolet (EUV) radiation beam BS1-BSm (which may be referred to as a main beam). That is, each of the radiation sources SOa-SOm is switchable between an on state wherein it produces a main radiation beam and an off state wherein it does not. Each of the radiation sources SOa-SOm may be said to be on when disposed in its on state, and may be said to be off when disposed in its off state. The beam delivery system BDS3 is arranged to receive the main radiation beams BS1-BSm produced by each of the radiation sources SOa-SOm and to direct a portion of each main radiation beam to each lithographic apparatus LA1′-LAn′ as now described. The beam delivery system BDS3 comprises beam splitting optics. The beam splitting optics splits each main radiation beam BS1-BSm into n separate radiation beams (which may be referred to as branch beams), each of which is directed to a different one of the n lithographic apparatuses LA1′-LAn′. For example, the main radiation beam BS1 output by the first radiation source, is split into n branch radiation beams BS1,L1-BS1,Ln, the main radiation beam BS2 output by the second radiation source, is split into n branch radiation beams BS2,L1-BS2,Ln and the main radiation beam BSm output by the mth radiation source, is split into n branch radiation beams BSm,L1-BSm,Ln. In the following, it will be understood that a branch radiation beam referred to as branch radiation beam BSi,Lj refers to the portion of radiation output by the ith radiation source, which is directed to the jth lithographic apparatus. The beam delivery system BDS3 may comprise m beam splitting optics, arranged such that a different one of said m beam splitting optics is provided for each individual main radiation beam BS1-BSm. Beam guiding optics may be arranged to guide branch radiation beams output by each of the m beam splitting optics to the lithographic apparatuses. Optionally, for such embodiments beam combining optics may be provided to combine the branch radiation beams output by the m beam splitting optics into n composite radiation beams, each of which is directed towards a different lithographic apparatus LA1′-LAn′. For example, a single branch radiation beam from each of the m main radiation beams BS1-BSm may be combined into each composite radiation beam. For example, beam combining optics may be arranged to form a composite radiation beam comprising branch radiation beams BS2,L1, BS2,L1, . . . BSm,L1 that is directed to the first lithographic apparatus LA1′. Such an arrangement may reduce the complexity of the beam guiding optics since all of the branch radiation beams within a given composite radiation beam may share a single set of beam guiding optics. Each composite radiation beam may for example comprise a plurality of substantially parallel closely spaced branch radiation beams (i.e. with no spatial overlap). Alternatively, the beam delivery system BDS3 may comprise beam combining optics arranged to combine the m main radiation beams output by the m radiation sources SOa-SOm into a single composite radiation beam. For such embodiments, a single set of beam splitting optics may be arranged to split the single composite radiation beam into n composite radiation beams, each of which is directed towards a different lithographic apparatus LA1′-LAn′. The beam delivery system BDS3 may further comprise beam expanding optics and/or beam shaping optics. The beam expanding optics may be arranged to increase the cross sectional area of one or more of the main radiation beams BS1-BSm or the branch radiation beams formed therefrom. This decreases the power density of the heat load on mirrors downstream of the beam expanding optics. This may allow the mirrors downstream of the beam expanding optics to be of a lower specification, with less cooling, and therefore less expensive. Further, the lower power density on such mirrors results in less deformation of their optical surfaces due to thermal expansion. Additionally or alternatively, reducing the power density of the heat load on downstream mirrors may allow these mirrors to receive the main radiation beams BS1-BSm, or the branch radiation beams formed therefrom at a larger grazing incidence angle. For example, the mirrors may receive radiation at a grazing incidence angle of 5 degrees rather than, say, 2 degrees. The beam shaping optics may be arranged to alter the cross sectional shape and/or the intensity profile of one or more of the main radiation beams BS1-BSm or the branch radiation beams formed therefrom. In alternative embodiments, the beam delivery system BDS3 may not comprise beam expanding optics or beam shaping optics. In some embodiments, the beam delivery system BDS3 may comprise beam reducing optics, which may be arranged to decrease the cross sectional area of one or more of the main radiation beams BS1-BSm or the branch radiation beams formed therefrom. As discussed above, beam expanding optics may reduce the power density of the heat load received by mirrors within the beam delivery system BDS3, which may be desirable. However, beam expanding optics will also increase the size of said mirrors, which may be undesirable. Beam expanding optics and beam reducing optics may be used to reach a desired beam size, which may be the smallest beam cross section that results in optical aberrations below a given threshold level. The radiation sources SOa-SOm, beam delivery system BDS3 and lithographic apparatuses LA1′-LAn′ may all be constructed and arranged such that they can be isolated from the external environment. A vacuum may be provided in at least part of the radiation sources SOa-SOm, beam delivery system BDS3 and lithographic apparatuses LA1′-LAn′ so as to minimise the absorption of EUV radiation. Different parts of the lithographic system LS8 may be provided with vacuums at different pressures (i.e. held at different pressures which are below atmospheric pressure). Different parts of the lithographic system LS8 may, for example, be maintained under ultra-high vacuum (UHV) conditions, except for a partial hydrogen pressure. The partial hydrogen pressure may be well below 10 Pa, for example below 1 Pa. FIG. 87 shows a lithographic apparatus LA′, which comprises a focusing unit FU, an illumination system IL, a support structure MT configured to support a patterning device MA (e.g. a mask), a projection system PS and a substrate table WT configured to support a substrate W. Each of the lithographic apparatuses LA1′-LAn′ may be substantially identical to the lithographic apparatus LA′ of FIG. 87 as now described. The illumination system IL is configured to receive a radiation beam CBa though an opening 3008 in an enclosing structure of the illumination system IL. The opening 3008 may, for example, have a diameter of the order of a few millimetres. A first optical element of the illumination system IL comprises a facetted field mirror device 3010 and has a non-zero numerical aperture. For example, the facetted field mirror device 3010 may have a numerical aperture of around 0.22 and a focal point at or near to the opening 3008. Therefore the focusing unit FU is arranged to focus the radiation beam CBa at or near to the opening 3008 such that the facetted field mirror device 3010 is substantially fully illuminated by the radiation. Although not shown in FIG. 87 for clarity, the lithographic apparatus LA′ is arranged to receive a composite radiation beam CBa, comprising a plurality of substantially parallel adjacent radiation sub-beams. For example, the first lithographic apparatus of FIG. 86 is arranged to receive a composite radiation beam comprising branch radiation beams BS2,L1, BS2,L1, . . . BSm,L1. Further, also not shown on FIG. 87 for clarity, focusing unit FU comprises a plurality of focusing optics, each being arranged to receive a different one of the radiation sub-beams that are received by the lithographic apparatus LA′. As will be described further below, the plurality of focusing optics are arranged to focus each of the radiation sub-beams received by the lithographic apparatus LA′ at different intermediate foci. The different intermediate foci are arranged around an optical axis of the facetted field mirror device 3010 in close proximity to it, such that at the facetted field mirror device 3010 the radiation from each of the different radiation sub-beams partially overlaps and the facetted field mirror device 3010 is completely illuminated by each of the radiation sub-beams. For clarity, only a single intermediate focus 3006 has been shown in FIG. 87. The illumination system IL is configured to condition the radiation beam CBa that is received by that lithographic apparatus LA1′ before it is incident upon the patterning device MA. For this purpose, a second optical element of the illumination system IL comprises a facetted pupil mirror device 3011. The faceted field mirror device 3010 and faceted pupil mirror device 3011 together provide the radiation beam CBa with a desired cross-sectional shape and a desired angular distribution. The radiation beam CBa passes from the illumination system IL and is incident upon the patterning device MA held by the support structure MT. The patterning device MA reflects and patterns the radiation beam to form a patterned beam CBa′. In alternative embodiments, the illumination system IL may include other mirrors or devices in addition to or instead of the faceted field mirror device 3010 and faceted pupil mirror device 3011. The illumination system IL may for example include an array (or matrix) of independently moveable mirrors. The independently moveable mirrors may for example measure less than 1 mm across. The independently moveable mirrors may for example be microelectromechanical systems (MEMS) devices. Following reflection from the patterning device MA′ the patterned radiation beam CBa′ enters the projection system PS′. The projection system PS' is configured to project the patterned radiation beam CBa′ onto a substrate W′ held by the substrate table WT′. For this purpose, the projection system PS' comprises a plurality of mirrors 3013, 3014 which are configured to project the patterned radiation beam CBa′ onto the substrate W′. The projection system PS' may apply a reduction factor to the patterned radiation beam CBa′, so as to form an image with features that are smaller than corresponding features on the patterning device MA′. For example, a reduction factor of 4 may be applied. The projection system PS' may apply a different reduction factor to the patterned radiation beam CBa′ in each of two mutually perpendicular directions (which may be referred to as the x and y directions). Although the projection system PS' has two mirrors in FIG. 87, the projection system may include any number of mirrors (e.g. six mirrors). The substrate W′ may include previously formed patterns. Where this is the case, the lithographic apparatus LA′ aligns the patterned radiation beam CBa′ with a pattern previously formed on the substrate W′. Each of the radiation sources SOa-SOm is configured to generate an EUV radiation beam BS1-BSm. These m EUV radiation beams BS1-BSm have a sufficient combined power to supply each of the lithographic apparatuses LA1′-LAn′. Each of the radiation sources may comprise a free electron laser. Alternatively, the radiation source may be implemented in any other way and may, for example, comprise a laser produced plasma (LPP) radiation source. The following discussion relates to radiation generated by a free electron laser but it will be appreciated that a free electron laser is not essential to the invention. Embodiments of the invention may incorporate other high power radiation sources with relatively small etendues. The radiation beam B output by the free electron laser FEL may have a substantially circular cross section and a Gaussian-like intensity profile. The radiation beam B produced by an EUV free electron laser typically has a relatively small etendue. In particular, the EUV radiation beam B produced by a free electron laser FEL has a significantly smaller etendue than an EUV radiation beam that would be generated by a laser produced plasma (LPP) source or a discharge produced plasma (DPP) source (both of which are known in the prior art). For example, the radiation beam B may have a divergence less than 500 μrad, for example less than 100 μrad. The radiation beam B may for example have a diameter of around 50 μm to 100 μm at its beam waist, as it leaves the undulator 24. In free space (i.e. with a refractive index of 1), the etendue of a radiation beam at an infinitesimal surface element dS in an optical system is given by the product of the area of the surface dS, the solid angle dΩ subtended by radiation crossing (or emitted by) the surface element and the cosine of the angle between the normal to the surface element and the direction of the radiation crossing that point. In general, the etendue of a radiation beam at an extended surface S is given by integrating over the solid angle subtended by radiation crossing (or emitted by) each surface element (to account for the fact that light may cross each point on the surface at a range of angles) and integrating over the surface (to sum the contributions from all such surface elements). For a light source operable to produce a well collimated radiation beam, as is produced by a free electron laser, the etendue of the light source may be estimated by the product of the area of the light source and the solid angle into which light is emitted. Further, for such a light source the solid angle into which light is emitted is given by (using small angle approximations) πθ2, where θ is the half divergence of the light source. Therefore the etendue of a such a light source is given by G=πAθ2, where A is the area of the light source, from which it can be seen that the etendue of a free electron laser with a beam waist diameter of 50 μm and a full divergence of 100 μrad is around 1.5×10−11 mm2. The etendue of a radiation beam cannot decrease as it propagates an optical system. The etendue of a radiation beam remains constant as it propagates through a perfect optical system in free space, i.e. an optical system with perfect reflections and refractions. However, as a radiation beam propagates through an optical system which spreads out radiation, for example by scattering and/or diffraction, its etendue will increase. The higher the quality of the optical elements (for example mirrors and lenses) in the optical system, the smaller the increase in etendue will be. In light of the above, for embodiments wherein the m radiation sources SOa-SOm comprise free electron lasers, the main radiation beams BS1-BSm each have a very small etendue and, further, this etendue will either remain constant or increase by a relatively small amount as the radiation propagates from each light source to the lithographic apparatuses LA1′-LAn′. As a result, the etendue of the radiation that is projected onto the first optical element of each lithographic apparatus LA1′-LAn′ (for example the faceted field mirror device 3010 shown in FIG. 87) will also be very small. Therefore, the diameter of the intermediate focus formed by each free electron laser will be relatively small. As discussed above, the first optical element of the illumination system IL′ of each lithographic apparatus LA1′-LAn′ has a non-zero numerical aperture, which may be of the order of around 0.22. That is, the solid angle subtended by the first optical element at its focal point (which is at or near to the opening 3008) is significantly larger than the solid angle into which each free electron laser emits radiation. If the etendue of the radiation remains substantially constant, this means that the diameter of the intermediate focus formed by each free electron laser will be significantly smaller than (the already small) diameter of the beam waist diameter of each main radiation beam BS1-BSm. Embodiments of the present invention exploit the fact that radiation sources SOa-SOm with sufficiently small etendue, in combination with a high quality beam delivery system BDS3, form sufficiently small intermediate foci at each lithographic apparatus LA1′-LAn′ to allow two or more such intermediate foci to be closely spaced. By arranging these intermediate foci around an optical axis of the facetted field mirror device 3010 in close proximity to it, the radiation from each of the different branch radiation beams received by a given lithographic apparatus can partially overlap at the facetted field mirror device 3010 such that the facetted field mirror device 3010 is completely illuminated by each of the branch radiation beams. Although embodiments of the invention are herein described with particular reference to free electron lasers, it will be apparent that the radiation sources SOa-SOm may comprise any other type of radiation source with a sufficiently small etendue. Various embodiments of focusing units FU for lithographic apparatuses LA1′-LAn′ and beam delivery systems BDS3 for use with an embodiment of the lithographic system LS8 of FIG. 86 comprising two radiation sources SOa, SOb (i.e. m=2) are now described. FIG. 88 shows a schematic layout of a focusing unit 3300, which may form the focusing unit FU of each of the lithographic apparatuses LA1′-LAn′. Focusing unit 3300 comprises two focusing elements 3310, 3320, each of which is arranged to receive an input radiation beam and focus it at an intermediate focus. In particular, each focusing element 3310, 3320 comprises a Wolter collector, which uses two curved grazing incidence mirrors that are arranged to receive a generally parallel radiation beam and focus it to a focal point. The radiation beams received by focusing elements 3310, 3320 may, for example, comprise the ith branch radiation beams BS1,Li, BS2,Li from each of two radiation sources SOa, SOb. Each focusing element 3310, 3320 may comprise a type III Wolter collector. FIG. 90 shows a cross sectional view of a type III Wolter collector 3400. The collector comprises an inner, convex parabolic mirror 3410 and an outer, concave elliptical mirror 3420. When a generally parallel input radiation beam is incident upon the parabolic mirror 3410, it is reflected onto the elliptical mirror 3420, reflected by the elliptical mirror 3420 and focused to a focal point 3430 of the collector 3400. As it propagates away from the parabolic mirror 3410, the radiation beam appears to originate from a focal point 3432 of the parabolic mirror 3410, which coincides with a first focal point of the elliptical mirror 3420. As a result, after reflection form the elliptical mirror 3420, the focal point 3430 of the collector 3400 coincides with a second focal point of the elliptical mirror 3420. Such an arrangement allows EUV or X-ray radiation to be focused using reflective grazing incidence optics. The two focusing elements 3310, 3320 are arranged adjacent to each other, symmetrically about a central axis 3330 of the focusing unit 3300. Each of the focusing elements 3310, 3320 is provided with a grazing incidence steering mirror 3311, 3321 respectively. The grazing incidence steering mirrors 3311, 3321 are arranged to control the direction of branch radiation beams BS1,Li, BS2,Li as they approach focusing elements 3310, 3320 respectively. Each focusing element 3310, 3320 and its associated grazing incidence steering mirror 3311, 3321 may be referred to as a focusing optics. Steering mirror 3311 is arranged to receive a generally parallel branch radiation beam BS1,Li which is on one side of and generally parallel to the central axis 3330. Steering mirror 3311 alters the direction of branch radiation beam BS1,Li as it approaches focusing element 3310. As can be seen most clearly in FIG. 89, focusing element 3310 focuses the branch radiation beam BS1,Li to an intermediate focus 3312 which lies in a focal plane 3340 of the focusing unit 3300. The intermediate focus is 3312 is disposed on one side of the central axis 3330, separated by a distance x (in the focal plane 3340). Similarly, steering mirror 3321 is arranged to receive a generally parallel branch radiation beam BS2,Li which is generally parallel to the central axis 3330, on the opposite side of the central axis 3330 to branch radiation beam BS1,Li. Steering mirror 3321 alters the direction of branch radiation beam BS2,Li as it approaches focusing element 3320. As can be seen most clearly in FIG. 89, focusing unit 3320 focuses the branch radiation beam BS2,Li to an intermediate focus 3322 which lies in the focal plane 3340 of the focusing unit 3300. Intermediate focus is 3322 is disposed on an opposite side of the central axis 3330 to intermediate focus 3312 and is separated from the central axis 3330 by a distance x (in the focal plane 3340). On an opposite side of the focal plane 3340 to the two focusing elements 3310, 3320 the two branch radiation beams BS1,Li, BS2,Li are divergent, their divergence being determined by the diameter of the incoming branch radiation beams BS1,Li, BS2,Li and the curvature of the mirrors within each of the focusing elements 3310, 3320. Close to the focal plane 3340 of the focusing unit 3300, the two divergent branch radiation beams BS1,Li, BS2,Li remain spatially separated. However, as can be seen in FIG. 88, at a sufficiently large distance from the focal plane 3340 of the focusing unit 3300, for example, in plane 3350 the two beams partially overlap. An overlap region 3352 of plane 3350 receives radiation from both of the two branch radiation beams BS1,Li, BS2,Li. A first edge region 3354 of plane 3350 only receives radiation from branch radiation beam BS1,Li and second edge region 3356 of plane 3350 only receives radiation from branch radiation beam BS2,Li. By reducing the distance x in the focal plane 3340 separating each of the intermediate foci 3312, 3322 from the central axis 3330, the size of the overlap region 3352 in plane 3350 can be increased. The grazing incidence steering mirrors 3311, 3321 may be arranged to control the direction of branch radiation beams BS1,Li, BS2,Li as they approach focusing elements 3310, 3320 respectively so as to maximise the overlap between the two branch radiation beams BS1,Li, BS2,Li in plane 3350. Additionally or alternatively, the grazing incidence steering mirrors 3311, 3321 and the two focusing elements 3310, 3320 may be arranged to ensure that the direction of each of the branch radiation beams BS1,Li, BS2,Li as they leave focusing elements 3310, 3320 is generally aligned with the central axis 3330. Such an arrangement minimises the difference between the angle at which each of the two branch radiation beams BS1,Li, BS2,L1 approaches plane 3350. In turn, this minimises any shift of the intensity profile of the radiation beam CBa that is incident upon the patterning device MA′. In use, the focusing unit 3300 is disposed proximate to the opening 3008 in the enclosing structure of the illumination system IL′ of a lithographic apparatus, for example the ith lithographic apparatus LAi of lithographic system LS8. The focusing unit 3300 is arranged such that its central axis 3330 is generally aligned with an optical axis of the first optical element of the lithographic apparatus LAi (for example the faceted field mirror device 3010). Further, the focusing unit 3300 is arranged such that a focal point of the first optical element of the lithographic apparatus LAi lies in, or close to, the focal plane 3340 of the focusing unit 3300. With such an arrangement, the focusing unit 3300 can focus the two branch radiation beams BS1,Li, BS2,Li to the two intermediate foci 3312, 3322 at or near to the opening 3008. The facetted field mirror device 3010 is disposed within the overlap of the two branch radiation beams BS1,Li, BS2,Li. For example, the facetted field mirror device 3010 may be disposed in the overlap region 3352 of the plane 3350 shown in FIG. 88. Therefore, substantially the entire field of the facetted field mirror device 3010 is illuminated by both branch radiation beams BS1,Li, BS2,Li. It will be appreciated that “the entire field of the facetted field mirror device 3010” comprises all those parts of the facetted field mirror device 3010 that project onto the substrate W′, regardless of any pattern imparted to the radiation beam by the lithographic apparatus LAi. That is, when those parts of the facetted field mirror device 3010 receive radiation, and no pattern is imparted to the radiation beam, that radiation will propagate through the lithographic apparatus LAi to the substrate W′. In some embodiments, the focusing unit 3300 may be arranged such that a focal point of the first optical element of the lithographic apparatus LAi lies close to, but not in, the focal plane 3340 of the focusing unit 3300. Such a defocusing of the branch radiation beams BS1,Li, BS2,Li increases the size of the light spots in the plane 3340. The facetted field mirror device 3010 is arranged to form an image of these light spots on each of the mirrors of the faceted pupil mirror device 3011. Therefore, arranging for a focal point of the first optical element of the lithographic apparatus LAi to lie close to, but not in, the focal plane 3340 of the focusing unit 3300 will, in turn, increases the size of the beam spots that are imaged onto the mirrors of the faceted pupil mirror device 3011. This may be beneficial since it reduces the power densities of the heat load on the mirrors of the faceted pupil mirror device 3011 (and likewise on any mirror downstream that is located in a pupil plane). With such an arrangement, the radiation which is received by the first and second edge regions 3354, 3356 does not illuminate the facetted field mirror device 3010 and is therefore discarded. By reducing the distance x in the focal plane 3340 separating each of the intermediate foci 3312, 3322 from the central axis 3330, the fraction of radiation that is discarded in this manner can be decreased. For a free electron laser with a beam waist diameter of 50 μm and a full divergence of 100 μrad, the intermediate foci 3312, 3322 may be disposed sufficiently close to the central axis 3330 to ensure that the radiation that is received by the first and second edge regions 3354, 3356 and is therefore discarded is only a very small percentage of the radiation received by the lithographic apparatus LAi. For example, the distance x between each of the two intermediate foci 3312, 3322 and the central axis may be of the order of 3 mm and the radius of each of the branch radiation beams BS1,Li, BS2,Li in the plane of the facetted field mirror device 3010 may be of the order of 225 mm. For such an arrangement, it can be shown that the ratio of the size of each of the first and second edge regions 3354, 3356 to the size of the overlap region 3352 is around 0.017. Therefore, for embodiments wherein the branch radiation beams BS1,Li, BS2,Li have top-hat intensity distributions, 1.7% of the radiation would be discarded. For embodiments wherein the branch radiation beams BS1,Li, BS2,Li have Gaussian-like intensity distributions, the intensity of the branch radiation beams BS1,Li, BS2,Li in the first and second edge regions 3354, 3356 is relatively low. For a Gaussian-like radiation beam, the intensity at a radius of 2 sigma is 13.5% of the intensity in the centre. Thus for an embodiment wherein the branch radiation beams BS1,Li, BS2,Li have Gaussian-like intensity distributions and the first and second edge regions 3354, 3356 are around 2 sigma from the centres of the branch radiation beams BS1,Li, BS2,Li, only around 1.7%×13.5%=0.23% of the radiation would be discarded. The use of a focusing unit 3300 comprising a plurality (in this case two) of focusing elements 3310, 3320, each arranged to receive a different radiation beam and project it onto the first optical element of the lithographic apparatus LAi (for example the faceted field mirror device 3010) offers an arrangement that is relatively insensitive to the number of input radiation beams. For example, in the case that one of two radiation sources SOa, SOb is not operating, the facetted field mirror device 3010 of each lithographic apparatus LA1′-LAn′ remains substantially fully illuminated by a branch radiation beams from the other source. No active adjustments must be made to the beam delivery system BDS3 (for example to alter the optical paths followed by radiation from the operating radiation source) or to the lithographic apparatuses LA1′-LAn′ (for example, to adjust the configurations of the faceted field mirror device 3010 and faceted pupil mirror device 3011) for the lithographic apparatus to continue operating. The power of the radiation received by each lithographic apparatus is reduced (for example by a factor of m/(m−1) when one of m identical radiation sources SOa-SOm is not operating) but otherwise the lithographic system LS8 will remain unaffected. FIG. 91 shows a lithographic system LS9 which is an embodiment of the lithographic system LS of FIG. 86 comprising two radiation sources SOa, SOb (i.e. m=2). Lithographic system LS9 comprises a beam delivery system BDS4, which comprises beam combining optics 3500 and beam splitting optics 3550. The beam combining optics 3500 is arranged to receive two main radiation beams BS1, BS2 output by two radiation sources SOa-SOb and to output a single composite radiation beam Bout. The beam splitting optics 3550 is arranged to receive the single composite radiation Bout output by the beam combining optics 3500 and to split it into n composite radiation beams, each of which is directed towards a different lithographic apparatus LA1′-LAn′. FIG. 92 shows a schematic layout of a beam combining optics 3500 which may form part of the lithographic system LS9 of FIG. 91. The beam combining optics 3500 are arranged to receive a main radiation beam BS1, BS2 (from each of the radiation sources SOa, SOb) and to output an output radiation beam Bout. The beam combining optics 3500 comprises four optical elements: first and second optical elements 3532, 3534 associated with a first one of the radiation sources SOa; and first and second optical elements 3536, 3538 associated with a second one of the radiation sources SOb. The optical elements 3532, 3534, 3536, 3538 are arranged to alter the size and shape of the cross section of the main radiation beams BS1, BS2 from the radiation sources SOa, SOb. In particular, the first optical elements 3532, 3536 are convex mirrors, which act to increase the cross sectional area of the main radiation beams BS1, BS2. The first optical elements 3532, 3536 may be referred to as diverging optical elements. Although in FIG. 92 the first optical elements 3532, 3536 appear to be substantially flat in the x-y plane they are in fact convex in this plane. Since the first optical elements 3532, 3536 are convex, they will increase the divergence of the main radiation beams BS1, BS2, decreasing the heat load on mirrors downstream of them. The radiation beam Bout is split into a plurality of composite branch radiation beams by beam splitting optics 3550, which may, for example, comprise a plurality of consecutive, static, knife edge mirrors arranged in series in the path of the beam Bout. Increasing the size of the beam Bout using first optical elements 3532, 3536 reduces the accuracy with which these knife edge mirrors must be located in the path of the radiation beam Bout. This allows for more accurate splitting of the output beam Bout by the splitting optics 3550. The second optical elements 3534, 3538 are concave and are complementary in shape to the first optical elements such that the beams leaving the second optical elements 3534, 3538 have substantially zero divergence (i.e. are parallel beams). The second optical elements 3534, 3538 may be referred to as converging optical element. Therefore, downstream of the second optical elements 3534, 3538 the beams are substantially collimated. Again, although in FIG. 92 the second optical elements 3534, 3538 appear to be substantially flat in the x-y plane they are in fact concave in either this plane. Such an arrangement 3500 expands the two main radiation beams BS1, BS2 in the y direction. In order to also expand the beam in the z direction, another pair of mirrors (a first one convex and a second one concave), which curve in the z direction may be used. Therefore, in order to expand both main radiation beams BS1, BS2 in both the y and z directions a total of 8 mirrors may be used. It may be preferable for the output beam Bout, which is received by the beam splitting optics 3550, to have a different shape and/or intensity distribution to that output by the radiation sources SOa, SOb. For example, for embodiments wherein the beam splitting optics 3550 employs a plurality of consecutive knife edge extraction mirrors, a rectangular shape with a generally top hat intensity profile may be preferable to, for example, a circular beam with a Gaussian-like intensity profile (which may be output by the radiation sources SOa, SOb). Therefore, in addition to increasing the cross sectional area of the radiation beams BS1, BS2, the optical elements 3532, 3534, 3536, 3538 act to alter the cross sectional shape of the radiation beams BS1, BS2. In particular, the optical elements 3532, 3534, 3536, 3538 are astigmatic or aspherical and are shaped so as to ensure that the radiation beams BS1, BS2 leaving the second optical elements 3534, 3538 are more rectangular in shape than the radiation beams BS1, BS2 produced by the radiation sources SOa, SOb. For example, the optical elements may be shaped so that the beams BS1, BS2 leaving the second optical elements 3534, 3538 are generally rectangular, although other shapes are also possible. The two dimensions of such a rectangular shape may be related to radii of curvature of the optical elements in two perpendicular directions such as, for example, in the x-y plane and in the z direction. Such a generally rectangular shape allows the mirrors that are used to split the output radiation beam Bout into a plurality of branch radiation beams to be identical or at least very similar. This is especially beneficial from a manufacturing point of view. When both of the radiation sources SOa, SOb are on, the beam combining optics 3500 is operable to combine the two main radiation beams BS1, BS2 to form a composite radiation beam Bout. That is, the beams BS1, BS2 leaving the second optical elements 3534, 3538 are both adjacent to each other and mutually parallel. The beam combining optics 3500 allows all of the branch radiation beams that are directed to each lithographic apparatus LA1′-LAn′ to share a single set of optics (for example a single static knife edge mirror within the beam splitting optics 3550 and any additional guiding optics). Each of the radiation sources SOa, SOb of FIG. 91 may have scheduled and/or unscheduled down time during which they are off. In the event that one of the radiation sources SOa, SOb is off, all of the lithographic apparatuses LA1′-LAn′ will receive some radiation, although only half of that which they would receive when both radiation sources are on (assuming that the output of the two radiation sources is substantially the same). The cross sectional profile of the composite radiation beam Bout output by the optical system 3500 is shown in FIG. 93, the edge of the composite radiation beam Bout being defined as the point where its intensity has dropped below a pre-set threshold. FIG. 93 also illustrates eight portions 3120 of the output beam Bout, which correspond to eight branch radiation beams produced by a beam splitting optics 3550 using eight substantially identical consecutive knife edge extraction mirrors (not shown). This corresponds to an embodiment of the lithographic system LS9 comprising eight lithographic apparatuses LA1′-LA8 (i.e. n=8). Each portion 3120 comprises a portion of radiation from each of the two radiation beams BS1, BS2. FIG. 94 shows another lithographic system LS10 which is an embodiment of the lithographic system LS of FIG. 86 comprising two radiation sources SOa, SOb (i.e. m=2). Lithographic system LS10 comprises an alternative beam delivery system BDS5, which comprises two beam splitting optics 3610, 3620. Beam splitting optics 3610 is arranged to receive the main radiation beam BS1 output by radiation source SOa and to split it into n composite radiation beams BS2,L1-BS1,n, each of which is directed towards a different lithographic apparatus LA1′-LAn′. Beam splitting optics 3620 is arranged to receive the main radiation beam BS2 output by radiation source SOb and to split it into n composite radiation beams BS1,L1-BS2,Ln, each of which is directed towards a different lithographic apparatus LA1′-LAn′. Some or all of the optics within the beam delivery systems BDS3, BDS4, BDS5 may be operable to rotate about one or more axes and or translate in one or more directions. For this purpose, they may be provided with actuators, which may be controlled in response to a received signal from a controller. This may allow the beam delivery system BDS3 to be adjustable so as to correct for variations in the directions of the main radiation beams BS1-BSm output by the radiation sources SOa-SOm. The beam delivery system may further comprise one or more sensor apparatuses, which may be operable to output a signal to the controller that is indicative of the position of one or more radiation beams within the beam delivery system BDS3. Therefore, the sensor apparatuses and the controller may form part of a feed-back loop for correcting for variations in the directions of the main radiation beams BS1-BSm output by the radiation sources SOa-SOm. As described above, beam delivery systems which deliver a radiation beam produced by one or more sources generally comprise a plurality of optics including a plurality of mirrors. In an embodiment, where the source comprises a free electron laser (FEL) providing a radiation beam of generally Gaussian cross-section, the radiation beam diameter may be clipped by optics within the beam delivery system. For example, a particular mirror geometry may result in an intensity profile of a radiation beam being “clipped” at, for example, 2-3, or 4 sigma. In this case, there will be a sharp transition between “power” and “no power” in the reflected radiation beams. Such “sharp clipping” can cause interference effects that affect the beam profile as the radiation beam propagates. For example, diffraction effects can lead to substantial intensity oscillations over the cross-section of the radiation beam. One option to reduce intensity oscillations is to use mirrors which clip the radiation beam at a large diameter. For example, the radiation beam may be clipped at 6-sigma rather than 4-sigma. In order to do this, however, mirrors within the beam delivery system would need to be significantly larger to achieve the same peak power density at a centre of the radiation beam. An alternative method of reducing the effects of “hard clipping” is to provide “soft clipping” of the radiation beam. Soft clipping results in the radiation beam being clipped gradually, rather than with a sharp transition. In an embodiment, an EUV radiation-absorbing material is provided at outer edges of one or more mirrors within the beam delivery system. The radiation-absorbing material may be arranged such that her is a gradual transition from an inner-most portion of the radiation-absorbing material to an outer edge of the mirror, from a maximum reflectivity to a minimum reflectivity. For example, the radiation-absorbing material may be deposited with varying thickness, the thickness increasing from an inner portion to an outer portion. Alternatively, different materials, or compositions of materials, having different radiation-absorbing qualities, may be applied at different portions of the mirror. The thickness of the radiation-absorbing material may be selected so as to reduce wave-front shift that may be caused by the radiation-absorbing material. In particular, for a thickness of radiation absorbing material tab, wave-front ΔWf shift may be given by:ΔWf=2*a*tab (14)where a is the grazing angle in radians. For example, in some embodiments, a may have value of approximately 0.035 rad. EUV radiation absorbed by the radiation-absorbing material will result in an increase heat load. In order to limit deformation of the mirror due to temperature gradients caused by increased heat loads at the edges of the mirror, in some embodiments outer edges of mirrors (carrying radiation-absorbing material) are thermally insulated from an inner portion of the mirror. FIG. 71 schematically illustrates a cross-section of a mirror 3800 that may be used in one or more components of a beam delivery system described above. The mirror 3800 comprises a reflective surface 3801 which is positioned in the path of a radiation beam provided from a radiation source. The radiation source may be any radiation source as described above. The mirror 3800 further comprises a radiation-absorbing material 3802 applied to an edge of the mirror 3800. The radiation-absorbing coating is applied so as to have an increasing thickness closer to the edge of the mirror 3800. The radiation-absorbing coating may be any made from any suitable material. By way of example, the radiation coating may be an aluminium, gold, nickel or rhenium. Aluminium may be particularly beneficial as it has a refractive index substantially similar to that of a vacuum for EUV radiation, and hence, little reflection at grazing incidence angles, while still providing absorption of EUV radiation. The mirror 3800 comprises a top portion 3803 comprising the reflective surface 3801, and a bottom portion 3804. The top portion 3803 and the bottom portion 3804 each comprise cooperating grooves so as to form an insulating gap 3805. The insulating gap 3805 may provide a vacuum, or may be gas-filed. The insulating gap 3805 acts to insulate the edge portion of the mirror 3800, so that local heat loads caused by the absorption of EUV radiation by the radiation-absorbing material 3802 do not cause (or cause reduced) temperature gradients across a center part of the mirror 3800. The mirror 3800 additionally comprises cooling channels 3806 to carry cooling fluid. An alternative example of a mirror 3900 is schematically illustrated in FIG. 72. The mirror 3900 comprises a reflective surface 3901 arranged in the path of a radiation beam. A radiation-absorbing material in the form of a plurality of spots 3902 is deposited on the reflective surface 3901 with a varying surface area density. That is, towards an outer edge of the mirror 3900, the spots 3902 cover an increasing portion of the reflective surface 3901. The spots are preferably sufficiently small to allow diffraction from the edges of the spots 3901 to spread out sufficiently so as not to negatively affect the propagation of the radiation beam or cause other negative consequences. For example, the spots may have a diameter of the order of 0.25 mm. In a further embodiment, the spots 3901 may be reflective rather than absorbing, and arranged so as to reflect incident radiation in a different direction to that of the main radiation beam. For example, reflective spots may be provided by milling appropriate wells into the reflective surface and coating the wells with a reflective coating such as ruthenium. It will be appreciated from the above that a beam delivery system may comprise a plurality of mirrors (e.g. arrangements to combine radiation beams from two radiation sources, arrangements to split radiation beams for provision to multiple tools, etc.). In an embodiment, a desired clipping of the radiation beam is achieved by soft clipping the radiation beam over a plurality subsequent mirrors. This may be advantageous so as to reduce the heat load experienced by the soft-clipping means of a single mirror. For example, in one example embodiment, a first mirror may provide soft-clipping means along a first two of four edges, with a subsequent (e.g. next) mirror providing soft-clipping means along a second two of four edges. In this way, the two mirrors together provide soft-clipping means along all four edges. In addition to spreading heat load, providing soft-clipping means on different edges of subsequent mirrors allows a diameter of the “soft aperture” to be varied where a diameter of the radiation beam varies or is unknown in advance. As has been described above with reference to various embodiments of a lithographic system LS11, a lithographic apparatus (e.g. the lithographic apparatus LA1) is provided with a branch radiation beam B1. The branch radiation beam B1 is formed from a main radiation beam B which is emitted from a radiation source SO which comprises at least one free electron laser FEL. For some embodiments of a lithographic system LS11 it is advantageous to provide a lithographic apparatus LA1, with a branch radiation beam B1 which has a desired polarization state. For example, it may be desirable to provide a lithographic apparatus with a branch radiation beam which is circularly polarized. In general, the polarization of a branch radiation beam B1 which is received by a lithographic apparatus LA1, depends on the polarization of radiation which is emitted from one or more free electron lasers whose output forms part of the branch radiation beam B1 and any changes to the polarization which occur along the optical path of the radiation between the one or more free electron lasers FEL and the lithographic apparatus LA1. Radiation which is emitted from a free electron laser FEL typically undergoes several reflections at reflective elements (e.g. mirrors) before it is received by a lithographic apparatus LA1. When radiation from a free electron laser undergoes a reflection at a reflective element the polarization of the radiation may be altered. The polarization of a branch radiation beam B1 which is received by a lithographic apparatus LA1, may therefore be different to the polarization of the radiation which is emitted from a free electron laser FEL. The polarization of radiation which is emitted from a free electron laser FEL depends on the geometry of an undulator 24 which forms part of the free electron laser FEL. In particular the polarization of radiation which is emitted from a free electron laser FEL depends on the factor A (which appears in equation 1) in an undulator 24. In some embodiments the undulator 24 is a helical undulator. If the undulator 24 is a helical undulator then the factor A may be approximately equal to 1 and the undulator may emit radiation which is circularly polarized. As was explained above it may be desirable to provide a lithographic apparatus LA1 with a branch radiation beam B1 which is circularly polarized. However in an embodiment in which the free electron laser FEL emits circularly polarized radiation, changes in the polarization of radiation which is caused by reflective elements along the optical path of a branch radiation beam B1 from the free electron laser FEL to the lithographic apparatus LA1, may result in the branch radiation beam B1 which is provided to the lithographic apparatus LA1 not being circularly polarized. For example, changes to the polarization of the branch radiation beam B1 along its optical path from the free electron laser FEL to the lithographic apparatus LA1 may result in the branch radiation beam B1 being elliptically polarized when it is provided to the lithographic apparatus LA1. It may be therefore be desirable to configure a lithographic system LS11 such that a branch radiation beam B1 which is provided to a lithographic apparatus LA1, is substantially circularly polarized. FIGS. 97A and 97B are schematic illustrations of a portion of an embodiment of a lithographic system LS11″. The lithographic system LS11″ comprises a radiation source SO comprising a free electron laser FEL, a beam delivery system BDS and a lithographic apparatus LA1. In the illustrations of FIGS. 97A and 97B the optical path of radiation which forms a single branch radiation beam B1 which propagates from a free electron laser FEL to a lithographic apparatus LA1 is shown. However it will be appreciated that the lithographic system LS11″ may include more lithographic apparatuses and may produce more branch radiation beams than are shown in FIGS. 97A and 97B. For example, portions of a main radiation beam B which is emitted from the radiation source SO may be split off to form other branch radiation beams which may be directed along other optical paths (not shown) to other lithographic apparatuses (not shown). FIGS. 97A and 97B each depict the same optical path of a branch radiation beam B1 from a free electron laser FEL to a lithographic apparatus LA1. A consistent Cartesian co-ordinate system is used throughout FIGS. 97A and 97B and is shown with labeled axes in each of the figures. FIG. 97A shows the portion of the lithographic system LS11″ as projected into a y-z plane and FIG. 97B shows the portion of the lithographic system LS11″ as projected into an x-y plane. The branch radiation beam B1 is directed from the free electron laser FEL to the lithographic apparatus LA1 via four reflective elements M1-M4 and a bending optics 4005. In the embodiment which is depicted in FIGS. 97A-97B bending optics 4005 comprises four reflective elements 4005a-4005d. The branch radiation beam B1 therefore undergoes eight reflections before it is received at the lithographic apparatus LA1. In other embodiments a branch radiation beam may undergo fewer or more reflections than eight on its optical path between a free electron laser FEL and a lithographic apparatus LA1. When polarized radiation undergoes a reflection at a reflective element it may be considered to be formed from a p-polarized component and an s-polarized component. The p-polarized component is the component of the radiation beam which has a polarization direction which is parallel to a plane of incidence and the s-polarized component is the component of the radiation beam which has a polarization direction which is perpendicular to the plane of incidence. The plane of incidence is the plane in which both the radiation beam which is incident on the reflective element and the radiation beam which is reflected from the reflective element lies. In the embodiment which is depicted in FIGS. 97A-97B the free electron laser FEL includes a planar undulator. In a planar undulator the factor A is approximately equal to 2 and linearly polarized radiation is emitted. The orientation of the linear polarization of the radiation emitted from a planar undulator depends on the orientation of undulator magnets 4003 which generate a periodic magnetic field in the undulator. As is best seen from FIG. 97B the undulator magnets 4003 lie in a polarization plane 4004 which forms a polarization angle αp with the x-axis. The plane of linear polarization of the radiation beam B which is emitted from the free electron laser FEL is the polarization plane 4004. The linear polarization of the radiation beam B therefore forms the polarization angle α, with the x-axis. In practice a free electron laser FEL may include many more magnets 4003 than are shown in FIGS. 97A and 97B. The radiation beam B is initially incident on a first reflective element M1. The first reflective element M1 is orientated such that the plane of incidence at the first reflective element forms an angle β1 with the x-axis. It can be seen from FIG. 97B that the angle between the polarization plane 4004 and the plane of incidence at the first reflective element M1 is αp+β1. The angle αp+β1 between the polarization plane 4004 and the plane of incidence at the first reflective element M1 may be approximately 45°. In the embodiment depicted in FIGS. 97A and 97B the polarization angle αp is approximately 15° and the angle β1 is approximately 30°. The angle αp+β1 is therefore approximately 45°. Since the angle αp+β1 between the polarization plane 4004 and the plane of incidence at the first reflective element M1 is 45°, the s and p-polarized components which are incident on the first reflective element M1 have the same magnitude. Since the radiation which is incident on the first reflective element M1 is linearly polarized the s and p-polarized components are in phase with each other. During a reflection at a reflective element the phase difference between the s and p-polarized components may change. A change in the phase difference between s and p-polarized components which occurs during a reflection at a reflective element may be referred to as a phase retardance ε. The phase retardance ε which occurs during a reflection at a reflective element depends on the complex refractive index of the reflective element and on the relative orientation of the reflective element and the incident radiation. FIG. 98 is a schematic illustration of an example of a reflection of a radiation beam at a reflective element 4011. An incident radiation beam Bi is incident on the reflective element 4011 which results in a reflected radiation beam Br being reflected from the reflective element 4011. The incident radiation beam Bi forms a grazing angle Ω with the surface of the reflective element 4011. Also shown in FIG. 98 is a plane of incidence 4013 in which both the incident radiation beam Bi and the reflected radiation beam Br lie. The s and p-polarized components are depicted as being perpendicular and parallel to the plane of incidence 4013 respectively. FIG. 99 is a representation of the phase retardance ε (in degrees) between the s and p-polarized components which occurs at the reflective element 4011 as a function of the grazing angle Ω of radiation which is incident on the reflective element 4011. The phase retardance ε is depicted in FIG. 99 with a solid line labeled 4021. Also shown in FIG. 99 is the percentage loss of radiation due to absorption at the reflective element 4021. The absorption loss for the p-polarized component is depicted with a dashed line labeled 4023. The absorption loss for the s-polarized component is depicted with a dotted line labeled 4025. The values in FIG. 99 were calculated for a reflective element 4011 having a complex refractive index of 0.87-0.017i. This value of the complex refractive index is representative of a mirror which is configured to reflect EUV radiation at a grazing incidence angle. In an embodiment the reflective element may be a mirror configured for reflection of EUV radiation which is coated with ruthenium. The refractive index of such a mirror at a wavelength of approximately 13.5 nm may be approximately 0.87-0.017i as is used to perform the calculations shown in FIG. 99. In alternative embodiments a reflective element may be coated with a material other than ruthenium. For example, a reflective element may be coated with molybdenum. A reflective element which is coated with molybdenum may cause a similar phase retardance to that which is shown in FIG. 99. In alternative embodiments a reflective element may be coated with platinum, osmium, iridium, gold, zirconium, niobium or nickel. However a reflective element which is coated with platinum, osmium, iridium, gold, zirconium, niobium or nickel may cause less phase retardance than the phase retardance which is shown in FIG. 99. It can be seen from FIG. 99 that the absorption loss of both s and p-polarized components increases with increasing grazing angle Ω. In some circumstances it is therefore advantageous to arrange a reflective element such that the grazing angle Ω is relatively small. It can also be seen from FIG. 99 that at relatively small grazing angles Ω (e.g. grazing angles which are less than approximately 10°) the phase retardance ε is approximately proportional to the grazing angle Ω. In the example which is shown in FIG. 99 the phase retardance ε is equal to approximately 0.92Ω (in units of degrees per degrees) for grazing angles of less than about 10°. Referring again to FIGS. 97A and 97B the linearly polarized radiation beam B is incident on the first reflective element M1 such that the s and p-polarized components have the same magnitude. As was explained above with reference to FIGS. 98 and 99, reflection from the first reflective element M1 will cause a phase shift between the s and p-polarized components. For example, the reflection at the first reflective element M1 may introduce a phase difference between the s and p-polarized components which is approximately equal to the grazing angle Ω at the first reflective element M1. Since the radiation which is reflected from the first reflective element M1 has perpendicular linear polarization components which are not in phase with each other, the reflected radiation is no longer linearly polarized. Instead the radiation which is reflected from the first reflective element M1 is elliptically polarized. Elliptical polarization is characterized by an ellipse which is traced out by the electric field vector of the radiation. FIG. 100 is a representation of several different polarization states of a radiation beam. The horizontal and vertical axes of FIG. 100 represent directions which extend perpendicular to the direction of propagation of the radiation beam. The shapes which are plotted on FIG. 100 represent the shape which the electric field vector of a radiation beam traces out in different polarization states. For example, a dotted line 4030 which is shown in FIG. 100 represents a linear polarization state in which the electric field vector is confined to a plane. A dotted line 4032 and a dashed line 4034 represent two elliptical polarization states in which the electric field vector traces out an ellipse. A solid line 4036 represents a circular polarization state in which the electric field vector traces out a circle. A polarization state may be quantified with a polarization contrast C which is given by: C = ( I max - I min ) ( I max + I min ) ( 15 ) where Imax is the maximum intensity of the electric field vector at different angles about a central axis of the radiation beam and Imin is the minimum intensity of the electric field vector at different angles about the central axis of the radiation beam. That is, if the radiation beam were to be incident on an ideal polarizer and the ideal polarizer were to be rotated through 360° Imax and Imin are the maximum and minimum intensities of radiation which would be transmitted by the polarizer during its rotation. The maximum intensity of the electric field vector Imax and the minimum intensity of the electric field Imin for the elliptical polarization state 4032 are shown in FIG. 100. For the linear polarization state 4030 which is depicted in FIG. 100, Imin=0 and thus the polarization contrast C is 1. For the circular polarization state 4036 which is depicted in FIG. 100 Imin=Imax and thus the polarization contrast C is 0. As was described above a linear polarization state arises when there is no phase retardance between s and p-polarized components. A circular polarization state arises when there is a phase retardance ε of 90° between s and p-polarized components. An elliptical polarization state arises when there is a retardance ε of greater than 1 between s and p-polarized components. The elliptical polarization state 4032 which is shown in FIG. 100 arises when there is a phase retardance ε of 45° between s and p-polarized components. The elliptical polarization state 4034 which is shown in FIG. 100 arises when there is a phase retardance ε of 75° between s and p-polarized components. In general if s and p-polarized components of a polarized radiation beam have equal magnitude then the polarization contrast C is related to the phase retardance ε between the s and p-polarized components by equation 16.C=|cos ε| (16) Referring again to FIGS. 97A and 97B the radiation which is reflected from the first reflective element M1 is elliptically polarized and therefore has a polarization contrast C which is less than 1. The first reflective element M1 therefore serves to decrease the polarization contrast C of the radiation which is reflected at the first reflective element M1. The radiation which is reflected from the first reflective element M1 is incident on the second reflective element M2. The second reflective element M2 is orientated such that (similarly to the radiation which is incident on the first reflective element) the plane of incidence at the second reflective element M2 forms the angle β1 with the x-axis. The plane of incidence at the second reflective element M2 is therefore in the same plane as the plane of incidence at the first reflective element M1. This correspondence between the plane of incidence at the first and second reflective elements M1, M2 means that the s and p-polarized components at the first reflective element M1 corresponds with the s and p-polarized components at the second reflective element M2. The s and p-polarized components which are incident on the second reflective element M2 therefore have a phase difference between them which is equal to the phase difference which was introduced between the s and p-polarized components at the first reflective element M1. During the reflection of radiation at the second reflective element M2 a further phase retardance ε between the s and p-polarized components occurs. The phase retardance ε which is caused at the second reflective element M2 is dependent on the grazing angle Ω with which the radiation is incident on the second reflective element M2. The complex refractive index of the second reflective element M2 may be such that the phase retardance ε which is caused at the second reflective element M2 is approximately equal to the grazing angle Ω at the second reflective element M2. Since the s and p-polarized components at the second reflective element M2 correspond to the s and p-polarized components at the first reflective element M1, the phase retardance ε which occurs at the second reflective element M2 further increases the phase difference between s and p-polarized components. The second reflective element M2 therefore serves to further decrease the polarization contrast C of the radiation which is reflected by it. Radiation which is reflected from the second reflective element M2 is incident on the third reflective element M3. The third reflective element M3 is orientated such that the plane of incidence at the third reflective element M3 forms an angle β2 with the x-axis. In the embodiment which is shown in FIGS. 97A-97B the plane of incidence at the third reflective element M3 is perpendicular to the plane of incidence at the first reflective element M1 and is perpendicular to the plane of incidence at the second reflective element M2. The sum of the angles β1 and β2 is therefore approximately 90°. As was described above the angle β1 is approximately 30° and the polarization angle αp is approximately 15°. The angle β2 is therefore approximately 60° and the angle β2-αp between the plane of incidence at the third reflective element M3 and the polarization angle αp is approximately 45°. Since the plane of incidence at the third reflective element M3 is perpendicular to the plane of incidence at the first and second reflective elements M1, M2, the s and p-polarized components at the third reflective element M3 are swapped relative to the s and p-polarized components at the first and second reflective elements M1, M2. That is the s-polarized component at the third reflective element M3 corresponds to the p-polarized component at the first and second reflective elements M1, M2 and the p-polarized component at the third reflective element M3 corresponds to the s-polarized component at the first and second reflective elements M1, M2. The phase retardance ε which occurs at the third reflective element M3 therefore acts in the opposite direction to the phase retardance ε which occurs at the first and second reflective elements M1, M2. The reflection at the third optical element M3 therefore serves to decrease the phase difference between s and p-polarized components which was introduced at the first and second reflective elements M1, M2. Reflection at the third reflective element M3 therefore serves to increase the polarization contrast C of the radiation which is reflected by it. Radiation which is reflected from the third reflective element M3 is incident on the fourth reflective element M4. The fourth reflective element M4 is orientated such that the plane of incidence at the fourth reflective element lies in the same plane as the plane of incidence at the third reflective element M3. The plane of incidence at the fourth reflective element M4 therefore forms the angle β2 with the x-axis. Since the plane of incidence at the fourth reflective element M4 lies in the same plane as the plane of incidence at the third reflective element M3 the s and p-polarized components at the third reflective element M3 correspond with the s and p-polarized components at the fourth reflective element M4. The phase retardance ε which occurs at the fourth reflective element M4 therefore acts in the same direction as at the third reflective element M3 and in the opposite direction as at the first and second reflective elements M1, M2. In the embodiment of FIGS. 97A and 97B the sum of the grazing angles at the first and second reflective elements M1, M2 are approximately equal to the sum of the grazing angles Ω at the third and fourth reflective elements M3, M4. As was explained above, for reflections at small grazing angles Ω the phase retardance ε which occurs during a reflection is approximately proportional to the grazing angle Ω. The combined phase retardance ε which occurs at the first and second reflective elements M1, M2 is therefore approximately equal and opposite to the combined phase retardance ε which occurs at the third and fourth reflective elements M3, M4. That is, the reflections at the first and second reflective elements M1, M2 serve to decrease the polarization polarization contrast C of the radiation and the reflections at the third and fourth reflective elements M3, M4 serve to increase the polarization contrast C of the radiation by an approximately equal amount. The polarization of the radiation which is reflected from the fourth reflective element M4 is therefore approximately the same as the polarization of the radiation which is incident on the first reflective element M1. The radiation which is reflected from the fourth reflective element M4 is incident on the bending optics 4005. The bending optics 4005 comprises four reflective elements 4005a-4005d which together serve to bend the branch radiation beam B1 and direct it to the lithographic apparatus LA1. The sum of the grazing angles Ω at each of the reflective elements 4005a-4005d which form the bending optics 4005 is approximately 45° which causes the branch radiation beam B1 to be bent through an angle of approximately 90° by the bending optics 4005. It can be seen from FIG. 97B that the plane of incidence at each of the reflective elements 4005a-4005d which form the bending optics 4005 forms the angle β2 with the x-axis and lies in the same plane as the planes of incidence at the third and fourth reflective elements M3, M4. The s and p-components at the reflective elements 4005a-4005d which form the bending optics 4005 therefore correspond with the s and p-components at the third and fourth reflective elements M3 and M4. Each reflection at the reflective elements 4005a-4005d therefore causes a phase retardance ε which acts in the same direction as the phase retardance ε which is caused by reflections at the third and fourth reflective elements M3 and M4. The phase retardance ε which is caused by reflections at each of the reflective elements 4005a-4005d may, for example, be approximately equal to the grazing angles Ω with which radiation is incident on each reflective element 4005a-4005d. Since the sum of the grazing angles at each of the reflective elements 4005a-4005d which form the bending optics 4005 is approximately 45° then the phase retardance ε which is caused by the bending optics may be approximately 45°. The branch radiation beam B1 which is output from the bending optics 4005 and is provided to the lithographic apparatus LA1 therefore has perpendicularly polarized components which are approximately 45° out of phase with each other. The bending optics 4005 therefore serve to decrease the polarization contrast C of the branch radiation beam B1 and results in elliptically polarized radiation being provided to the lithographic apparatus LA1. As was described above, the free electron laser FEL emits linearly polarized radiation which has a polarization contrast C of approximately 1. At least some of the radiation which is emitted from the free electron laser FEL is reflected by the first and second reflective elements M1, M2 which cause a phase retardance ε which serves to decrease the polarization polarization contrast C of the radiation which is reflected by them. Radiation which is reflected by the first and second reflective elements M1, M2 is incident on the third and fourth reflective elements M3, M4 which cause a phase retardance ε which serves to increase the polarization contrast C of the radiation which is reflected by them. The decrease in polarization contrast C which results from reflection by the first and second reflective elements M1, M2 is approximately equal to the increase in polarization contrast C which results from reflection by the third and fourth reflective elements M3, M4 such that radiation which is reflected from the fourth reflective element M4 is substantially linearly polarized and has a polarization contrast C of approximately 1. The bending optics 4005 causes a phase retardance ε of approximately 45° and which serves to decrease the polarization contrast C of the radiation which is reflected by it. The first, second, third and fourth reflective elements M1, M2, M3, M4 and the bending optics 4005 together form a beam delivery system BDS which directs radiation from a free electron laser FEL to a lithographic apparatus LA1. The reflective elements which form the beam delivery system BDS alter the polarization state of the radiation such that the polarization contrast C of the branch radiation beam B1 which is provided to the lithographic apparatus LA1 is less than the polarization contrast C of the radiation beam B which is emitted from the free electron laser FEL. In the embodiment which is depicted in FIGS. 97A-97B the reflective elements at which radiation is reflected on its optical path from the free electron laser FEL to the lithographic apparatus LA1, are arranged such the plane of incidence at the reflective elements forms an angle of either β1 or β2 with the x-axis. A first group of reflective elements which comprises the first and second reflective elements M1, M2 are arranged such that the plane of incidence at the first group of reflective elements forms the angle β1 with the x-axis. A second group of reflective elements which comprises the third and fourth reflective elements M3, M4 and the reflective elements 4005a-d which form the bending optics 4005 are arranged such that the plane of incidence at the second group of reflective elements forms the angle β2 with the x-axis. The polarization plane 4004 is arranged relative to the x-axis (at the polarization angle αp) such that the angle between the planes of incidence at each of the reflective elements is approximately 45°. The first group of reflective elements are orientated such that the plane of incidence at each reflective element is orientated at an angle of +45° relative to the polarization plane 4004 and the second group of reflective elements are orientated such that the plane of incidence at each reflective element is orientated at an angle of −45° relative to the polarization plane 4004. Arranging each of the reflective elements such that the planes of incidence form an angle of +45° or −45° relative to the polarization plane 4004 means that the s and p-polarized components which are incident on each of the reflective elements have approximately the same magnitude at each of the reflective elements. As was explained above the first group of reflective elements cause a phase retardance ε in a first direction and the second group of reflective elements cause a phase retardance in a second opposing direction. The total phase retardance ε which occurs as a result of reflection at each of the reflective elements on the optical path of radiation from the free electron laser FEL to the lithographic apparatus is equal to the difference between the phase retardance ε which is caused by reflections at the first group of optical elements and the phase retardance ε which is caused by reflections at the second group of optical elements. In the example which was described above with reference to FIGS. 97A and 97B the difference between the phase retardance ε at the first group of reflective elements and the phase retardance ε at the second group of reflective elements is approximately 45°. Since the radiation which is emitted from the free electron laser FEL is linearly polarized this results in elliptically polarized radiation being provided to the lithographic apparatus LA1. For some applications it is desirable to provide a branch radiation beam B1 to a lithographic apparatus LA1, which is substantially circularly polarized. This may be achieved, for example, by providing a greater number of reflective elements in the second group of reflective elements such that the radiation which is directed from the free electron laser FEL to the lithographic apparatus undergoes a greater number of reflections at reflective elements which have planes of incidence which are arranged at approximately −45° relative to the polarization plane 4004. Increasing the number of reflections which the radiation undergoes at reflective elements which have planes of incidence which are arranged at approximately −45° relative to the polarization plane 4004 will increase the total phase retardance ε which occurs along the optical path of the radiation. For example, the number of reflections which the radiation undergoes at reflective elements which have planes of incidence which are arranged at approximately −45° relative to the polarization plane 4004 may be increased such the total phase retardance ε which occurs along the optical path of the radiation approaches 90°. In such an embodiment the radiation which is received by the lithographic apparatus is substantially circularly polarized. Additionally or alternatively the total phase retardance ε which occurs along the optical path of radiation from a free electron laser FEL to a lithographic apparatus LA1, may be increased by increasing the grazing angles Ω at reflective elements which form the second group of reflective elements. As was described with reference to FIG. 99 at small grazing angles the phase retardance ε is approximately proportional to the grazing angle Ω. Increasing the grazing angle Ω at a reflective element may therefore increase the phase retardance ε which occurs at the reflective element. However it can be seen from FIG. 99 that the phase retardance ε only increases with increases in the grazing angle Ω over a limited range of grazing angles (e.g. grazing angles less than approximately 20°) and that increases in the grazing angle Ω beyond the limited range causes a decrease in the phase retardance ε. There may therefore be a limit as to how much the phase retardance ε can be increased by increasing the grazing angle Ω. Furthermore, it can also be seen from FIG. 99 that the percentage absorption of radiation at a reflective element increases with increases in the grazing angle Ω. Increasing the grazing angle Ω in order to increase the phase retardance ε will therefore increase the absorption of radiation at the reflective element and thus increase the amount of radiation which is lost to absorption along the optical path of radiation from a free electron laser FEL to a lithographic apparatus LA1. The optical path of radiation from a free electron laser FEL to a lithographic apparatus LA1 which is depicted in FIGS. 97A and 97B is presented merely as an example in order to aid an understanding of the change in polarization which is brought about by reflection from a series of reflective elements. In practice a lithographic system LS11 may include more or fewer reflective elements than are shown in FIGS. 97A and 97B and the reflective elements may be orientated differently than are shown in FIGS. 97A and 97B. For example any of the reflective elements and arrangements of reflective elements which have been described throughout the description and which have been depicted in the figures may form part of an optical path of radiation from a free electron laser FEL to a lithographic apparatus. In general, a beam delivery system comprising a plurality of reflective elements is arranged to receive radiation from a free electron laser and direct at least some of the radiation to a lithographic apparatus. The reflective elements are arranged such that an alteration of the polarization of the radiation which occurs as a result of reflection from the reflective elements serves to decrease the polarization contrast C such that the polarization contrast C of the radiation which is received by the lithographic apparatus LA1 is less than the polarization contrast C of the radiation which is emitted from the free electron laser FEL. In some embodiments the reflective elements may be arranged such that the polarization of radiation which is emitted from the free electron laser FEL and the change in polarization which occurs as a result of reflection from reflective elements of a beam delivery system is such that a branch radiation beam B1 which is provided to a lithographic apparatus is substantially circularly polarized. It will be appreciated that whilst one or more of the reflective elements which form a beam delivery system may act to increase the polarization contrast C of radiation which is reflected from the one or more reflective elements, the net effect of the beam delivery system is to decrease the polarization contrast C of the radiation on its path from a free electron laser FEL to a lithographic apparatus LA1. In some embodiments the free electron laser FEL emits linearly polarized radiation whose polarization lies in a polarization plane 4004. A beam delivery system which is configured to direct at least some of the radiation emitted by the free electron laser FEL to a lithographic apparatus LA1, may comprise a first group of reflective elements and a second group of reflective elements. The first group of reflective elements are each orientated such that the reflection of radiation at each reflective element defines a plane of incidence which forms an angle of approximately +45° with the plane of polarization 4004. The second group of reflective elements are each orientated such that the reflection of radiation at each reflective element defines a plane of incidence which forms an angle of approximately −45° with the plane of polarization 4004. Reflection of radiation at reflective elements which form the first group of reflective elements causes a phase retardance ε which acts in a first direction. Reflection of radiation at reflective elements which form the second group of reflective elements causes a phase retardance ε which acts in a second opposing direction. The total phase retardance ε which is caused by the beam delivery system is equal to the difference between the phase retardance ε which occurs at the first group of reflective elements and the phase retardance ε which occurs at the second group of reflective elements. The first group of reflective elements and the second group of reflective elements may be orientated such that the total phase retardance ε which is caused by the beam delivery system results in a branch radiation beam B1 which has a desired polarization. For example the total phase retardance ε which is caused by the beam delivery system may be approximately 90° thereby resulting in a branch radiation beam B1 which is circularly polarized. In other embodiments the total phase retardance ε which is caused by the beam delivery system may be less than 90°. For example, the total phase retardance ε which is caused by the beam delivery system may be such that elliptically polarized radiation is provided to a lithographic apparatus LA1. The elliptically polarized radiation which is provided to the lithographic apparatus LA1 may have a relatively low polarization contrast C and has a lower polarization contrast C than the radiation which is emitted from the free electron laser FEL. In the embodiments which have been described above reflection of radiation at reflective elements of a beam delivery system causes a decrease in the polarization contrast C of radiation on its optical path between a free electron laser FEL and a lithographic apparatus LA1. This may, for example, allow a branch radiation beam B1 which is substantially circularly polarized or elliptically polarized to be formed from a linearly polarized radiation beam which is emitted from a free electron laser FEL. A free electron laser FEL having a planar undulator which emits linearly polarized radiation may therefore be used as part of a radiation source SO for a lithographic system LS11 in which circularly or elliptically polarized radiation is provided to one or more lithographic apparatuses in the lithographic system LS11. Using a free electron laser FEL which has a planar undulator in a radiation source SO for a lithographic system LS11 may be advantageous, for example when compared to using a free electron laser FEL which has a helical undulator. The design of a helical undulator is typically more complicated than the design of a planar undulator. For example, in a helical undulator magnets may be positioned around a large portion of the circumference of a beam pipe in which an electron beam propagates. This may provide a limited space in which other components of the undulator can be positioned. For example, an undulator may include components such as vacuum pumps for maintaining a vacuum in the beam pipe and/or components which are configured to manage thermal conditions in the undulator. The positioning of components in a helical undulator may therefore present significant engineering challenges. In comparison to a helical undulator, magnets in a planar undulator may be positioned in a single polarization plane 4003 as is shown, for example, in FIG. 97B. This may provide space around the remaining circumference of a beam pipe in which other components of an undulator may be positioned. Additionally or alternatively, the positioning and spacing of magnets in an undulator may be more critical and/or problematic in a helical undulator than in a planar undulator. The design and set up of a planar undulator may therefore be simplified in comparison to a helical undulator. A beam delivery system in which the reflective elements are configured to decrease the polarization contrast C of radiation on its optical path from a free electron laser to a lithographic apparatus may therefore be particularly advantageous in that it allows a planar undulator to be used whilst still providing a lithographic apparatus with circularly polarized or elliptically polarized radiation. As has been described above with reference to various embodiments of a lithographic system LS11, a branch radiation beam B1 which is received by a lithographic apparatus LA1 may undergo several reflections at reflective elements on its optical path from a free electron laser FEL to the lithographic apparatus LA1 and may undergo more reflections than are shown in the embodiment depicted in FIG. 97A-97C. An example optical path along which radiation may propagate between a free electron laser FEL and a lithographic apparatus in an embodiment of a lithographic system LS11 will now be described by way of example only. In an embodiment a free electron laser FEL emits a main radiation beam B which is linearly polarized in a polarization plane 4004. The main radiation beam B is initially incident on a separation mirror (e.g. the reflective first optical element 1520 shown in FIG. 47) which is configured to separate the main radiation beam B from gamma radiation and/or neutrons which may also be emitted from the free electron laser FEL. The main radiation beam B is incident on the separation mirror at a grazing angle Ω of approximately 2°. The main radiation beam B which is reflected from the separation mirror is incident on two reflective gratings (e.g. the mirror 90 shown in FIG. 10, the mirror 100 shown in FIG. 11 and/or the mirror 110 shown in FIG. 13) which are configured to split the main radiation beam B into a plurality of branch radiation beams. The reflective gratings are orientated such that they lie parallel to the polarization plane or perpendicular to the polarization plane such that radiation which is incident on the gratings consists only of either a p-polarized component or an s-polarized component. Such an orientation of a reflective grating may result in substantially no phase retardance ε being introduced at the gratings. Whilst alternative orientations of a reflective grating may be used, an orientation which is either perpendicular or parallel to the polarization plane may reduce the impact of a reflective grating on the polarization of radiation which is reflected from the grating. Such an arrangement may therefore simplify the design of a beam delivery system. A branch radiation beam which is reflected from the gratings is incident on two shaping mirrors. One of the shaping mirrors has a concave shape and the other of the shaping mirrors has a convex shape. The shaping mirrors are configured to alter the cross-sectional shape of a branch radiation beam which is reflected from the gratings. For example, a branch radiation beam which is received from the gratings may have an elliptical cross-section. The shaping mirrors may alter the cross-sectional shape of the branch radiation beam such that after reflection from the shaping mirrors the branch radiation beam has a substantially circular cross-section. The shaping mirrors may, for example, be similar to the first optical element 2632 and the second optical element 2633 which are shown in FIG. 74. Whilst the first optical element 2632 and the second optical element 2633 are shown in FIG. 74 as shaping a main radiation beam B prior to splitting the main radiation beam B into branch radiation beams, it will be appreciated that similar optical elements may be used to shape a branch radiation beam after having been split off from a main radiation beam. The branch radiation beam may be incident on each of the shaping mirrors at a grazing angle Ω of approximately 3°. In an alternative embodiment the shaping mirrors may be cylindrically shaped such that they only curve in a single direction (as opposed to concave or convex mirrors which curve in two directions). In such an embodiment the branch radiation beam may be reflected at four shaping mirrors. However reflecting the branch radiation beam at four shaping mirrors may result in a greater loss of radiation due to absorption than reflecting the branch radiation beam at two shaping mirrors. Additionally reflecting the branch radiation beam at four shaping mirrors may result in a total phase retardance ε at the shaping mirrors which is equal to zero, whereas reflecting the branch radiation beam at two shaping mirrors may result in a total phase retardance ε at the shaping mirrors which is greater than zero. The branch radiation beam which is reflected from the shaping mirrors is incident on two steering mirrors which are operable to control the position and direction of the branch radiation beam which is reflected from the steering mirrors. The steering mirrors may, for example, be similar to the grazing incidence steering mirror 3311 shown in FIG. 88. The branch radiation beam may be incident on each of the steering mirrors at a grazing angle Ω of approximately 3°. The branch radiation beam which is reflected from the steering mirrors is incident on a bending optics (e.g. the bending optics 4005 shown in FIGS. 97A-97C). The bending optics comprises a plurality of reflective elements which are configured to bend the branch radiation beam through approximately 90°. The grazing angle Ω at which the branch radiation beam is incident on each of the reflective elements of the bending optics depends on the number of reflective elements which form the bending optics. For example, if the number of reflective elements which form the bending optics is decreased then the angle through which each reflective element deflects the branch radiation beam is increased in order to bend the branch radiation beam through 90°. As was described above with reference to FIG. 99 the absorption loss of radiation which occurs during a reflection increases with increasing grazing angle Ω. It may therefore be advantageous to decrease the grazing angle Ω at each reflective element in order to decrease the absorption loss of radiation at each reflective element. However if the grazing angle Ω at each reflective element is decreased then the number of reflective elements which are needed in order to bend the branch radiation beam through 90° is increased. Since the bending optics bends the branch radiation beam through 90°, the sum of the grazing angles Ω at each reflective element of the bending optics is approximately 45°. The branch radiation beam which is reflected from the bending optics is incident on two variable attenuation mirrors. For example, the branch radiation may be incident on the first mirror 2520 and the second mirror 2521 of the attenuation apparatus 2519 which is shown in FIG. 70a. The variable attenuation mirrors are configured to controllably attenuate the branch radiation beam such that the intensity of the branch radiation beam may be controlled. The branch radiation beam may be incident on each of the variable attenuation mirrors at a grazing angle Ω of approximately 5°. The branch radiation beam which is reflected from the variable attenuation mirrors is incident on a Wolter collector (e.g. the Wolter collector 3310 or 3320 shown in FIGS. 88 and 89). The branch radiation beam undergoes two reflections at the Wolter collector. The sum of grazing angles Ω at each reflection may be approximately 14°. The radiation which is output from the Wolter collector is provided to a lithographic apparatus. During the example optical path of radiation from a free electron laser FEL to a lithographic apparatus which was described above, the sum of the grazing angles at each reflection which the radiation undergoes is approximately 72°. As was described above with reference to FIG. 99 the phase retardance ε which occurs during a reflection at a small grazing angle Ω may be approximately equal to the grazing angle Ω. In an embodiment each of the reflective elements along the optical path of the radiation may be orientated such that the plane of incidence at each of the reflective elements forms an angle of approximately 45° with the polarization plane 4004. In this embodiment the phase retardance ε which is caused along the optical path of radiation from the free electron laser FEL to the lithographic apparatus is approximately 72° and the radiation which is received at the lithographic apparatus is elliptically polarized. Since the radiation which is emitted from the free electron laser FEL is linearly polarized (and therefore has a polarization contrast C of 1) the reflections of the radiation along its optical path to the lithographic apparatus act to decrease the polarization contrast C of the radiation. The polarization contrast C of the branch radiation beam which is provided to the lithographic apparatus is approximately 0.3. In some embodiments it may be desirable to provide a lithographic apparatus with a branch radiation beam having a polarization contrast C which is less than a contrast threshold. For example, it may be desirable to provide a lithographic apparatus with a branch radiation beam having a polarization contrast C which is less than approximately 0.1. A polarization contrast C which is less than approximately 0.1 corresponds to a radiation beam having a phase retardance ε which is between approximately 84° and 96°. In other embodiments the contrast threshold may be more or less than 0.1. In the embodiment which was described above the polarization contrast C of the branch radiation beam which is provided to the lithographic apparatus is approximately 0.3. As was described above, for some applications it may be desirable to provide a branch radiation beam which has a polarization contrast C of less than 0.3. For example, it may be desirable to provide a branch radiation beam which has a polarization contrast C of less than 0.1. In order to further decrease the polarization contrast C of the branch radiation beam the number of reflective elements at which the branch radiation beam is reflected may be increased and the additional reflective elements may be configured to increase the phase retardance of the branch radiation beam. Additionally or alternatively the grazing angle Ω at one or more of the reflective elements may be increased in order to increase the phase retardance which occurs at the one or more reflective elements. However increasing the number of reflective elements at which the branch radiation beam is reflected and/or increasing the grazing angle Ω at one or more of the reflective elements may increase the amount of radiation which is absorbed at the reflective elements. Furthermore changing the number and/or the orientation of reflective elements at which the branch radiation beam is reflected may alter the position to which the branch radiation beam is directed and/or the direction of propagation of the branch radiation beam. Changing the number and/or the orientation of reflective elements may therefore require the position and/or the orientation of a lithographic apparatus to be changed such that it receives the branch radiation beam. It will be appreciated that in a lithographic system comprising a plurality of lithographic apparatuses changing the position and/or the orientation of lithographic apparatuses may be problematic. In an alternative embodiment the polarization of the branch radiation beam which is provided to the lithographic apparatus may be controlled by controlling the polarization of the main radiation beam which is output from the free electron laser FEL. For example, the free electron laser FEL may output a main radiation beam which is elliptically polarized and has a phase retardance of approximately 18°. As was described above reflection of the radiation beam at the reflective elements causes a phase retardance of 72°. The combination of the phase retardance of the main radiation beam which is output from the free electron laser and the phase retardance which is caused by reflection at reflective elements therefore results in a substantially circularly polarized branch radiation beam which has phase retardance of approximately 90°. In general, a beam delivery system comprising a plurality of reflective elements may be characterized in terms of a change in polarization which is caused by the beam delivery system. The change in polarization which is caused by a beam delivery system may be used to determine a polarization state which when input to the beam delivery system results in a branch radiation beam being output from the beam delivery system which has a desired polarization state. FIG. 101 is a schematic illustration of a lithographic system LS11. A free electron laser FEL emits a main radiation beam B. A beam delivery system BDS receives the main radiation beam B from the free electron laser FEL and directs a branch radiation beam B1 to a lithographic apparatus LA1. The branch radiation beam B1 comprises at least some of the radiation beam B which is emitted from the free electron laser FEL. In practice the lithographic system LS11 may comprise a plurality of lithographic apparatuses and the beam delivery system BDS may be configured to split the main radiation beam B into a plurality of branch radiation beams and direct them to a plurality of lithographic apparatuses. However for the purposes of the following discussion only the path of a single branch radiation beam B1 to a single lithographic apparatus LA1 is considered. In some embodiments the lithographic system LS11 may comprise a plurality of free electron lasers FEL whose outputs are combined to form the main radiation beam B. However for the purposes of the following discussion only a single free electron laser FEL is considered. The polarization state of a radiation beam may be described in terms of a Jones vector J. A Jones vector J is a two component complex vector which describes the relative amplitude and relative phase of perpendicular components of the electric field vector of a radiation beam. For example, for a radiation beam propagating in a z-direction, the Jones vector J may describe the relative amplitude and relative phase of x and y-components of the electric field vector of the radiation beam. The polarization of the main radiation beam B1 which is emitted from the free electron laser FEL and which is input to the beam delivery system BDS, may be charaterized with an input jones vector Jin. The change in the polarization of the branch radiation beam B1 (relative to the main radiation beam B) which is caused by the beam delivery system BDS may be characterized with a Jones matrix M. The polarization of the branch radiation beam B1 which is output from the beam delivery system BDS may be characterized with an output Jones matrix Jout. The output Jones matrix Jout is given by equation 16.Jout=MJin (16) In order to control the polarization state of the branch radiation beam B1 the Jones matrix M may be determined. FIG. 102A is a representation of the polarization states of the main radiation beam B and the branch radiation beam β1. The main radiation beam B has a right-handed circular polarization state. The beam delivery system changes the polarization of radiation which propagates through it so as to provide a branch radiation beam B1 which has a left-handed elliptical polarization state orientated as is shown in FIG. 102A. In this example, the polarization contrast C of the radiation which propagates through the beam delivery system BDS is undesirably increased by the beam delivery system BDS. The change in polarization which is caused by the beam delivery system may be used to determine the Jones matrix M of the beam delivery system BDS. The determined Jones matrix M of the beam delivery system BDS may then be used to determine a polarization state of the main radiation beam B which results in a branch radiation beam B1 having a desired polarization state. For example, it may be desired to provide a branch radiation beam B1 having a right-handed circular polarization state. The Jones vector Jin of a main radiation beam B which results in a branch radiation beam B1 having a right-handed circular polarization state may be determined from:Jin=M−1Jout (17)where M−1 is the inverse of the Jones matrix M of the beam delivery system BDS and Jout is the Jones vector of a branch radiation beam having the desired polarization state. The polarization state of the main radiation beam B which is emitted from the free electron laser FEL may be controlled such that is described by the Jones vector Jin which is determined by equation 17 such that a branch radiation beam B1 having the desired polarization state is output from the beam delivery system BDS. In the example which was shown in FIG. 102A a right-handed circular polarization state of the main radiation beam B was changed to a left-handed elliptical polarization state of the branch radiation beam B1. A desired polarization state of the branch radiation beam B1 may, for example, be a right-handed circular polarization state. By determining the Jones matrix M of the beam delivery system BDS of FIG. 102A, it may be found that inputting a main radiation beam B having a left-handed elliptical polarization state with a particular orientation to the beam delivery system BDS results in a branch radiation beam B1 having the desired right-handed circular polarization state. FIG. 102B is a representation of the polarization states of the main radiation beam B and the branch radiation beam B1 when a main radiation having a left-handed elliptical polarization state with a particular orientation is input to the beam delivery system BDS thereby resulting in a branch radiation beam B1 having a right-handed circular polarization state. In the example shown in FIG. 102B the polarization contrast C of the radiation which propagates through the beam delivery system BDS is advantageously decreased by the beam delivery system BDS. An elliptical polarization state may be output from a free electron laser FEL as shown in FIG. 102B by forming an undulator of the free electron laser FEL from a combination of planar and helical undulator sections. FIG. 103 is a schematic illustration of an undulator 24 which may be used to provide a main radiation beam B having an elliptical polarization state. The undulator 24 comprises a plurality of undulator sections 4024a-4024d through which an electron beam B propagates. At least one of the undulator sections 4024a-4024d is a helical undulator section and at least one of the undulator sections 4024a-4024d is a planar undulator section. In an embodiment, first, second and third undulator sections 4024a-4024c are helical undulator sections from which circularly polarized radiation is emitted. A fourth undulator section 4024d is a planar undulator section from which linearly polarized radiation is emitted. The circularly polarized radiation which is emitted from the first, second and third undulator sections 4024a-4024c passes through the planar undulator section 4024d. Some of the circularly polarized radiation may be absorbed by electrons in the planar undulator section 4024d and re-emitted as linearly polarized radiation. The combination of the helical undulator sections 4024a-4024c and the planar undulator section 4024d results in emission of a radiation beam B from the undulator 24 which is elliptically polarized. The planar undulator section 4024d comprises magnets which lie in a polarization plane (not shown in FIG. 103). The polarization plane in the planar undulator section 4024d determines the orientation of the elliptical polarization of the radiation beam B. In particular the major axis of an ellipse which is traced out by the electric field vector of the radiation beam B is aligned with the polarization plane in the planar undulator section 4024d. The polarization contrast C of the radiation beam B which is emitted from the undulator 24 depends on the relative gains of the radiation in the helical and planar undulator sections. Typically the gain of radiation in an undulator section increases with increases in the length of the undulator section. The polarization contrast C of the radiation beam B may therefore be controlled by controlling the relative lengths of the planar and helical undulator sections. For example, increasing the total length of the helical undulator sections 4024a-4024c relative to the length of the planar undulator section 4024d leads to a decrease in the polarization contrast C of the radiation beam B which is emitted from the undulator 24. Increasing the length of the planar undulator section 4024d relative to the total length of the helical undulator sections 4024a-4024c leads to an increase in the polarization contrast C of the radiation beam B which is emitted from the undulator 24. Alternative embodiments of an undulator 24 may include more or fewer helical and/or planar undulator sections than the undulator 24 which is shown in FIG. 103. The lithographic system LS11 which is depicted in FIG. 101 has been described above in the context of a single branch radiation beam B1 which is provided to a single lithographic apparatus LA1. However it will be appreciated that the beam delivery system BDS may splits the main radiation beam B into a plurality of branch radiation beams which are directed to a plurality of lithographic apparatuses. In some embodiments it may be desirable to provide the plurality of lithographic apparatuses with branch radiation beams which have substantially the same polarization state. In such embodiments it is therefore desirable that the beam delivery system BDS is configured to change the polarization state of each of the branch radiation beams in the substantially the same manner. That is, the Jones matrix M for each branch radiation beam is substantially the same. This allows a single main radiation beam B having a first polarization state to be split into a plurality of branch radiation beams which are provided to a plurality of lithographic apparatuses and which each have a second polarization state. In general the polarization contrast C of the second polarization state is less than the polarization contrast C of the first polarization state. Embodiments of a lithographic system LS11 have been described above in which a main radiation beam B is emitted from a single free electron laser FEL. In other embodiments the main radiation beam B may comprise radiation which is emitted from a plurality of free electron lasers FEL which is combined to form the main radiation beam B. For example, an optical system 40 (e.g. the optical system 40 which is shown in FIGS. 4 and 5) may combine a plurality of radiation beams received from a plurality of free electron lasers FEL to form a main radiation beam B. In such an embodiment the polarization state of the main radiation beam B which is provided to a beam delivery system BDS depends on the polarization state of the radiation which is emitted from each of the free electron lasers FEL and any changes to the polarization of the radiation which may occur during the combination of the radiation into a main radiation beam B. As has been described above the polarization state of a branch radiation beam B1 which is provided to a lithographic apparatus LA1 depends on the polarization state of a main radiation beam B and on any changes to the polarization state of radiation as it propagates through a beam delivery system BDS. It will be appreciated that the principles which have been described above with reference to various embodiments of a lithographic system LS11 may be used in order to design a lithographic system LS11 such that a branch radiation beam having a desired polarization state is provided to a lithographic apparatus. FIG. 104 is a flow chart of a first method of configuring a lithographic system LS11. At step 1 a desired polarization state of a branch radiation beam B1 is determined. For example, it may be determined that it is desirable to provide a branch radiation beam B1 which is circularly polarized. At step 2 the polarization state of a main radiation beam B which is output from a radiation source is determined. The radiation source comprises at least one free electron laser FEL. For example, the radiation source may comprise a single free electron laser which emits the radiation beam B. Alternatively the radiation source may comprise a plurality of free electron lasers whose outputs are combined to form a main radiation beam B. The main radiation beam B may, for example, be linearly polarized. Alternatively the main radiation beam B may be elliptically polarized. At step 3 a change in the polarization state of the main radiation beam B is determined which results in the desired polarization state of the branch radiation beam B1. For example, a phase retardance may be determined which when applied to the main radiation beam B results in a branch radiation beam B1 having a desired phase retardance. At step 4 a beam delivery system BDS which delivers at least some of the radiation of the main radiation beam to form the branch radiation beam B1 is configured such that the beam delivery system BDS causes the change in polarization which was determined at step 3. For example, reflective elements of the beam delivery system BDS may comprise a first group of reflective elements which have planes of incidence which are orientated at an angle of approximately +45° relative to a polarization plane of the main radiation beam B and a second group of reflective elements which have planes of incidence which are orientated at an angle of approximately −45° relative to the polarization plane such that at each reflective element s and p-polarized components have substantially the same magnitude. The first and second group of reflective elements may be orientated such that grazing angles Ω at each reflective element are such that the net phase retardance which is occurs along the optical path of radiation through the beam delivery system BDS is the phase retardance which was determined at step 3. The first method of configuring a lithographic system LS11 therefore results in a beam delivery system BDS which is configured to change the polarization state of a main radiation beam so as to provide a branch radiation beam B1 having a desired polarization. FIG. 105 is a flow chart of a second method of configuring a lithographic system LS11 in which a radiation source is configured so as to provide a main radiation beam whose polarization state, when changed by a beam delivery system, results in a branch radiation beam B1 having a desired polarization. At step 5 a desired polarization state of a branch radiation beam B1 is determined. For example, it may be determined that it is desirable to provide a branch radiation beam B1 which is circularly polarized. At step 6 a change in polarization which is caused by a beam delivery system BDS is determined. For example, a phase retardance which is caused by a beam delivery system BDS may be determined. Additionally or alternatively a Jones matrix M which describes the change in polarization which is caused by the beam delivery system BDS may be determined. The change in polarization which is caused by the beam delivery system BDS may, for example, be determined theoretically by calculating the change in polarization which is caused by each reflective element of the beam delivery system BDS. Additionally or alternatively the change in polarization which is caused by the beam delivery system BDS may be determined experimentally. For example, a radiation beam of known polarization may be input to the beam delivery system BDS and the polarization of a radiation beam which is output from the beam delivery system BDS may be measured. The polarizations of the input and output beams may be compared in order to determine the change in polarization which is caused by the beam delivery system BDS. At step 7 an input polarization state is determined which combined with the polarization change which was determined at step 6 results in a branch radiation beam B1 having the desired polarization which was determined at step 5. For example, a Jones matrix M of the beam delivery system which was determined at step 6 may be inverted and combined and multiplied by an output Jones vector Jout corresponding to the desired polarization state determined at step 5 in order to determine an input Jones vector Jin accordance with equation 17. At step 8 a radiation source is configured such that it emits a main radiation beam B having the input polarization state which was determined at step 7. The radiation source comprises at least one free electron laser FEL. The at least free electron laser FEL may comprise a plurality of undulator sections which together output a radiation beam having the determined input polarization state. For example, if the determined input polarization state is an elliptical polarization state then one or more helical undulator sections may be combined with one or more planar undulator sections such that the free electron laser FEL emits the determined elliptical polarization state. In an embodiment the first method of configuring a lithographic system LS11 may be combined with the second method of configuring a lithographic system LS11. That is, both the radiation source and the beam delivery system BDS may be configured in order to provide a branch radiation beam B1 having a desired polarization state. Whilst embodiments have been described above in which a branch radiation beam B1 is provided to a lithographic apparatus LA1, a branch radiation beam B1 may be provided to any tool. For example, a branch radiation beam may be provided to any lithographic tool which may comprise a lithographic apparatus, a mask inspection apparatus, or another form of lithographic tool. Any of the methods and apparatus which have been described above with reference to providing a lithographic apparatus with a branch radiation beam may therefore be equivalently used to provide any tool (e.g. a lithographic apparatus) with a branch radiation beam. It will be appreciated that features described above with respect to one example embodiment may be combined with features described with respect to another example embodiment. For example, while a number of lithographic systems LS-LS11 have been described above, it will be appreciated that components of one lithographic system may be used with other lithographic systems even where such a combination is not explicitly described above. For example, while some lithographic systems comprise one beam delivery system BDS-BDS5, it will be appreciated that other beam delivery systems may be used with each lithographic system. More generally, it will be appreciated that components and arrangements described in a particular example embodiment may be used in other example embodiments. Embodiments of a lithographic system may also include one or more mask inspection apparatus MIA and/or one or more Aerial Image Measurement Systems (AIMS). In some embodiments, the lithographic system may comprise two mask inspection apparatuses to allow for some redundancy. This may allow one mask inspection apparatus to be used when the other mask inspection apparatus is being repaired or undergoing maintenance. Thus, one mask inspection apparatus is always available for use. A mask inspection apparatus may use a lower power radiation beam than a lithographic apparatus. Further, it will be appreciated that radiation generated using a free electron laser of the type described herein may be used for applications other than lithography or lithography related applications. The term “relativistic electrons” should be interpreted to mean electrons which relativistic energies, which they may obtain through acceleration by a particle accelerator. An electron may be considered to have a relativistic energy when its kinetic energy is comparable to or greater than its rest mass energy (511 keV). In practice a particle accelerator which forms part of a free electron laser may accelerate electrons to energies which are much greater than its rest mass energy. For example a particle accelerator may accelerate electrons to energies of >10 MeV, >100 MeV, >1 GeV or more. Embodiments of the invention have been described in the context of free electron lasers which output an EUV radiation beam. However a free electron laser may be configured to output radiation having any wavelength. Some embodiments of the invention may therefore comprise a free electron which outputs a radiation beam which is not an EUV radiation beam. The term “EUV radiation” may be considered to encompass electromagnetic radiation having a wavelength within the range of 4-20 nm, for example within the range of 13-14 nm. EUV radiation may have a wavelength of less than 10 nm, for example within the range of 4-10 nm such as 6.7 nm or 6.8 nm. The lithographic apparatuses described herein may be used in the manufacture of ICs. Alternatively, the lithographic apparatuses described herein may have other applications. Possible other applications include the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc. While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. The descriptions above are intended to be illustrative, not limiting. Thus it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below. |
|
summary | ||
047626682 | summary | BACKGROUND OF THE INVENTION 1. Field of the Invention This invention relates to an ultrasonic device for cleaning a venturi flow nozzle mounted in a pipe in a fluid system whereby the nozzle may be cleaned on line without requiring the system to be shut down. 2. Description of the Prior Art In operation of many industrial plants employing fluid systems having venturi flow nozzles therein, fouling of the venturi flow nozzles, particularly at or near the throat, occurs causing the flow indication to be higher than the actual or calibrated flow value. In these circumstances, the flow rate is less than actually indicated, and consequently, the output for the plant is reduced. This is particularly true in connection with pressurized water nuclear powered electric generating plants which employ venturi flow nozzles in the main feedwater pipe to measure the flow rate of the feedwater to the steam generator. In such plants, following the secondary side calorimetric and adjustment of the Nuclear Instrumentation System, indicated power is higher than the actual reactor power, and the plant thus operates below its licensed thermal limit. Because of this, the electrical output on such plants can fall short of its rated limit by as much as four percent, which in some plants can amount to 40 megawatts. While various means have been tried for correcting the problem of fouling of venturi flow nozzles, none have proved totally satisfactory. For example, one solution has been to install a handhole upstream of the venturi flow nozzle and manually insert a jet spray nozzle through the handhole to hose off and remove deposits for fouling on the inner surface of the venturi. This particular solution requires a plant shutdown and pipe drainage which is expensive and time consuming. Another solution has been to install leading edge flow meters which are not subject to fouling. Installation of such leading edge flow meters, however, can be expensive. At the present time, numerous utilities with nuclear power plants, in particular, are trying to find solutions to recovering lost megawatts due to feedwater venturi flow nozzle fouling. In view of the fact that a good solution to the fouling problem presently does not exist, such utilities are reluctant to implement any solutions, and consequently, they continue to lose megawatt output which is very costly. Accordingly, a need still exists for an economical device capable of easily cleaning venturi flow nozzles which is relatively inexpensive and easy to install and which does not require plant shutdown and pipe drainage. SUMMARY OF THE INVENTION Accordingly, it is an object of the present invention to provide an ultrasonic cleaning device for venturi flow nozzles which can be operated on line and does not require plant shutdown. It is another object of the present invention to provide an ultrasonic device for cleaning venturi flow nozzles which is automatic in operation and therefore does not have to be operated manually. It is still another object of the present invention to provide an ultrasonic cleaning device for venturi flow nozzles which is relatively inexpensive, easy to install and is essentially maintenance free. To achieve the foregoing and other objects of the present invention, and in accordance with the purpose of the invention, there is provided an ultrasonic cleaning device for a venturi flow nozzle mounted in a pipe in a fluid system which includes one or more transducer assemblies mounted around a pipe for producing and transmitting sound waves to the throat of the venturi flow nozzle. The transducer assemblies may be mounted on a plate mounted around the pipe. Each transducer assembly includes a transducer for generating sound waves, a horn attached to the transducer for concentrating the sound waves and a rod connected at one end to the horn and extending through an opening into the pipe so that the other end of the rod contacts the nozzle to transmit the sound waves thereto. The device further includes a guiding and sealing assembly for each rod which is attached to the pipe around each opening. Each guiding and sealing assembly includes a base member and a cover member, each having a central opening therein through which the rod passes, and sealing means surrounding the rod. In one of the embodiments the sealing means includes packing rings around the rod, while in another embodiment the sealing means includes a bellows surrounding the rod. The guiding and sealing assembly further may include spring means for biasing the rod towards the nozzle. In another embodiment of the invention, there is provided an ultrasonic cleaning device for a venturi flow nozzle mounted in a pipe in a fluid system which includes one or more transducers positioned adjacent the nozzle in the pipe for producing and transmitting sound waves to the nozzle. A mounting and sealing assembly is mounted in an opening in the pipe for each transducer for maintaining the transducer in position adjacent the nozzle and for sealing the opening. The mounting and sealing assembly includes a fitting threadably mounted in the pipe opening and spring means for biasing the transducer towards the nozzle. The transducer may include a threaded portion at one end for connection to the nozzle. In another embodiment, the mounting and sealing assembly includes a base member attached to the pipe and has a central opening therein in alignment with the pipe opening and wherein at least a portion of the fitting is threadably engaged with the base member. These, together with other objects and advantages, which subsequently will be apparent, reside in the details of construction and operation of the invention as more fully hereinafter described and claimed, reference being made to the accompanying drawings forming a part hereof wherein like numerals refer to like parts throughout. |
description | 1. Field of the Invention The present invention relates to an apertured plate support mechanism used in a charged-particle beam instrument, such as an electron-beam lithography machine, and also to a charged-particle beam instrument equipped with the support mechanism. 2. Description of Related Art A variable-area electron beam lithographic machine is known as a charged-particle beam instrument for writing a desired pattern on a substrate, such as a semiconductor wafer or mask substrate (reticle), by irradiating the substrate with a charged-particle beam. In such an electron-beam lithography machine, an electron beam is emitted and accelerated from an electron beam source consisting of an electron gun. The beam is passed through an aperture formed in an apertured plate so that the cross section of the beam is shaped. The beam shaped in this way is made to hit a specified position to be written on the substrate for a desired time under control of an illumination system having an objective lens and a deflector. Lithographic delineation on the substrate using the electron beam is carried out by repeating the irradiation by the shaped beam with different specified positions. Usually, there are fitted two apertured plates, each having an aperture for shaping the cross section of an electron beam. A deflector is disposed between the two apertured plates. The shaped beam passed through the aperture in the first plate is made to hit the second plate having the aperture that is offset from the aperture in the first plate. In consequence, the cross section of the beam passed through the aperture in the second stage is shaped into a desired form. FIG. 1 schematically shows a mechanism for supporting such an apertured plate. In this figure, the support mechanism is generally indicated by numeral 51. This mechanism 51 has a plate holder 51a for holding the apertured plate and a holder support 51b for supporting the holder 51a. The plate holder 51a has a holder body 59 and a cap portion 60. The apertured plate is held to the plate holder 51a while sandwiched between the holder body 59 and cap portion 60. A protruding portion 61 for engagement is fixed to the holder body 59. When the apertured plate held to the plate holder 51a is replaced, a holder pullout (not shown) is brought into engagement with the protruding portion 61 of the plate holder 51a to move the plate holder 51a. The holder support 51b has a rectangular upper plate 52, a U-shaped pedestal 58, and a connector portion 57 for connecting the upper plate 52 and pedestal 58. The plate holder 51a is accommodated in the space between the upper plate 52 and pedestal 58 and supported on the upper surface (supporting surface) 58a of the pedestal 58. At this time, the lower supported surface 59c of the peripheral portion of the holder body 59 of the plate holder 51a is in contact with the upper surface 58a of the pedestal 58. A recess 59b is formed in the side surface of the holder body 59 of the plate holder 51a . A positioning pin 56 mounted between the upper plate 52 and pedestal 58 is fitted in the recess 59b, thus placing the plate holder 51a in position. A U-shaped leaf spring 54 is mounted to the lower surface 52b of the upper plate 52 of the holder support 51b via a holding portion 53. A roller 55 is mounted at the front end 54a of the leaf spring 54. The bottom of the roller 55 is in contact with the upper surface 59d of the holder body 59 in the plate holder 51a. Resilient force of the leaf spring 54 holds the plate holder 51a against the pedestal 58, the spring 54 being mounted to the upper plate 52. An aperture 52a is formed in the upper plate 52 of the holder support 51b. Also, apertures 59a and 60a are formed in the holder body 59 and cap portion 60, respectively, in the plate holder 51a. The apertures 52a, 59a, and 60a are so positioned that their centers are coaxial. An electron beam 100 emitted from an electron beam source (not shown) passes through the apertures 52a, 59a, and 60a. The apertured plate is held between the holder body 59 and cap portion 60 in the plate holder 51a. The center of the aperture in the plate is made coaxial with the centers of the apertures 52a, 59a, and 60a. When the beam 100 passes through the apertures 59a and 60a formed in the holder body 59 of the plate holder 51a and cap portion 60, the cross-sectional shape of the beam 100 is shaped. FIG. 2 is a plan view showing main portions of the support mechanism 51 for supporting an apertured plate 62 which is provided with an aperture 62a. The apertured plate 62 is disposed under the holder body 59. The apertured plate 62 is held between the holder body 59 and cap portion 60 and fixed, to the holder body 59. As described previously, the center of the aperture 62a formed in the apertured plate 62 and the center of the aperture 59a formed in the holder body 59 are coaxial. The position of the plate holder 51a within a plane is determined by contact of two contact surfaces 58b, 58c of the pedestal 58 with the side surface 60b of the cap portion 60 forming the plate holder 51a. In another known structure, such an apertured plate is supported by support pins. Thus, the apertured plate is supported to an apertured plate support mechanism (see Japanese Patent Laid-Open No. 10-284391, for example). In the plate support mechanism 51 constructed as shown in FIGS. 1 and 2, the electron beam 100 may hit the plate holder 51a. This thermally expands the cap portion 60 forming the plate holder 51a. When the cap portion 60 forming the plate holder 51a thermally expands in this way, the apertured plate 62 moves away from the contact surfaces 58b and 58c of the pedestal 58. As a result, the aperture 62a formed in the apertured plate 62 moves away from the contact surfaces 58b and 58c. If the aperture 62a formed in the apertured plate 62 moves unnecessarily in this way, shaping of the cross section of the electron beam 100 passing through the aperture 62a is affected. Furthermore, the amount of current supplied from the electron beam 100 to the substrate and the writing position are varied. In the technique described in the above-cited Japanese Patent Laid-Open No. 10-284391, four support pins are disposed rotationally symmetrically with respect to the center of the apertured plate. The support pins act to support the apertured plate, each with a point contact with the plate. It is said that if the apertured plate holder thermally expands, the resultant displacement of the plate holder can be absorbed by slippage of the point contact portions. It is considered that in this structure, too, movement of the apertured plate and the aperture formed in it due to thermal expansion of the plate holder can be prevented to some extent. Since displacement of the plate holder is absorbed by slippage of the point contact portions, it is difficult to control the slippage reliably. It is difficult to certainly prevent movement of the apertured plate and aperture. In addition, it is difficult to restrict rotation of the apertured plate within a plane. It is an object of the present invention to provide an apertured plate support mechanism capable of certainly preventing positional displacement of an apertured plate held to a plate holder and of an aperture formed in the plate. It is another object of the present invention to provide a charged-particle beam instrument equipped with such an apertured plate support mechanism. An apertured plate support mechanism according to the present invention has: a plate holder for holding an apertured plate provided with an aperture through which a charged-particle beam passes; and a holder support for supporting the plate holder. Grooves extending radially in plural directions about the center axis of the aperture are formed in the supported surface of the plate holder or the supporting surface of the holder support. Convex portions engaged in the grooves are formed on the other of the supported surface or supporting surface. A charged-particle beam instrument according to the present invention comprises: a source of charged particles for emitting a beam of charged particles; an apertured plate provided with an aperture through which the beam passes; a plate support mechanism for supporting the apertured plate; and an illumination system for directing the beam passed through the aperture at a substrate. The plate support mechanism has a plate holder for holding the apertured plate and a holder support for supporting the plate holder. Grooves extending radially in plural directions about the center axis of the aperture are formed in the supported surface of the plate holder or the supporting surface of the holder support. Convex portions engaged in the grooves are formed on the other of the supported surface or supporting surface. In the present invention, the apertured plate support mechanism has the plate holder for holding the apertured plate and the holder support for supporting the plate holder. The grooves extending radially in the plural directions about the center axis of the aperture formed in the apertured plate are formed in the supported surface of the plate holder or the supporting surface of the holder support. The convex portions engaged in the grooves are formed on the other of the supported surface or supporting surface. Therefore, if the plate holder thermally expands when irradiated with the beam of charged particles, the plate holder thermally expands about the center axis of the aperture formed in the apertured plate. This prevents positional displacement of the apertured plate and of the aperture formed in it. Furthermore, the grooves and convex portions are in engagement with each other. Consequently, it is assured that rotation of the apertured plate and the aperture formed in it within a plane is restricted. Other objects and features of the present invention will appear in the course of the description thereof, which follows. The preferred embodiments of the present invention are hereinafter described with reference to the accompanying drawings. FIG. 3 schematically shows a charged-particle beam instrument according to the present invention. This instrument has the structure of an electron beam lithographic machine. In FIG. 3, the instrument has a source of an electron beam 1 (source of a beam of charged particles) consisting of an electron gun. An electron beam (beam of charged particles) 10 is emitted and accelerated from the beam source 1. The beam 10 is focused by a first condenser lens 2 and passes through an aperture 5a formed in a first apertured plate 5. In this way, the cross section of the beam 10 is shaped in the first stage. The electron beam 10 passed through the aperture 5a in the first apertured plate 5 is deflected by a first deflector 7 and then focused by a second condenser lens 3. Then, the beam 10 passes through an aperture 6a formed in a second apertured plate 6. In this way, the cross section of the beam 10 is shaped in the second stage. The electron beam 10 passed through the aperture 6a in the second apertured plate 6 is focused onto a substrate 9 by the objective lens 4. At this time, the beam 10 passed through the objective lens 4 is deflected appropriately by a second deflector 8. The beam is scanned over the substrate 9. Lithographic delineation is carried out on the substrate 9 by the beam 10 scanned over the substrate 9 in this way. The objective lens 4 and second deflector 8 constitute an illumination system 12 behind the second apertured plate 6. The beam 10 is directed at the substrate 9 under control of the illumination system 12. The substrate 9 is placed on a substrate stage 11. The first apertured plate 5 and second apertured plate 6 are supported by a plate support mechanism (not shown). This support mechanism is described below by referring to FIG. 4, which is a schematic view showing the plate support mechanism of the present invention. As an example, the support mechanism supports the first apertured plate 5. Referring still to FIG. 4, a mechanism 21 for supporting the apertured plate has a plate holder 21a and a holder support 21b for supporting the plate holder 21a. The plate holder 21a holds the apertured plate 5 provided with an aperture 5a (FIG. 3). The plate holder 21a has a holder body 29 and a cap portion 30. The apertured plate 5 provided with the aperture 5a is sandwiched between the holder body 29 and cap portion 30 and held to the plate holder 21a. A protruding portion 31 for engagement is fixed to the holder body 29. When the apertured plate 5 held to the plate holder 21a is replaced, a holder pullout means (not shown) is brought into engagement with the engaging protruding portion 31 of the plate holder 21a, and the plate holder 21a is moved. The holder support 21b has a rectangular upper plate 22, a disk-like pedestal 28, and a connector portion 27 for connecting the upper plate 22 and pedestal 28. The plate holder 21a is accommodated in the space between the upper plate 22 and pedestal 28 and supported on the upper surface (supporting surface) 28b of the pedestal 28. The support structure is described in detail later. An aperture 22a is formed in the upper plate 22 of the holder support 21b. Also, apertures 29a and 30a are formed in the holder body 29 and cap portion 30, respectively, in the plate holder 21a. The apertures 22a, 29a, and 30a are so positioned that their centers are coaxial. An electron beam 10 emitted from an electron beam source 1 (FIG. 3) passes through the apertures 22a, 29a, and 30a. The apertured plate 5 is held between the holder body 29 and cap portion 30 in the plate holder 21a. The center of the aperture 5a in the apertured plate 5 is made coaxial with the centers of the apertures 22a, 29a, and 30a. When the beam 10 passes through the apertures 29a and 30a formed in the holder body 29 of the holder 21a and cap portion 30, respectively, the cross-sectional shape of the beam 10 is shaped. As described previously, the plate holder 21a is supported on the upper surface (supporting surface) 28b of the pedestal 28. In particular, grooves 36 of V-shaped cross section are formed in the lower surface (supported surface) 30b of the cap portion 30 forming the plate holder 21a. Convex portions 35 are formed on the upper surface 28b of the pedestal 28. Each convex portion 35 is made of a spherical member. The convex portions 35 are engaged in the grooves 36 formed in the lower surface 30b of the cap portion 30. FIG. 5 is a plan view showing main portions of the mechanism 21 for supporting an apertured plate 5 that is provided with an aperture 5a. The plate 5 is disposed under the holder body 29. The apertured plate 5 is held between the holder body 29 and cap portion 30 and fixed to the holder body 29. As described previously, the center of the aperture 5a formed in the apertured plate 5 and the center of the aperture 29a formed in the holder body are arranged coaxially. The grooves 36 formed in the lower surface 30b of the cap portion 30 forming the plate holder 21a extend radially in three directions about the center axis of the aperture 5a in the apertured plate 5. The aforementioned convex portions 35 are three in number and formed on the upper surface 28b of the pedestal 28. The convex portions 35 are engaged in the three grooves 36 extending in the three directions. As a reference, main portions of the plate support mechanism 21 of the present invention as viewed obliquely from below are shown in the perspective view of FIG. 6. In this way, in the present invention, the mechanism 21 for supporting the apertured plate has the plate holder 21a for holding the apertured plate 5 and the holder support means 21b for supporting the plate holder 21a. The grooves 36 extending radially in the plural directions about the center axis of the aperture 5a formed in the apertured plate 5 are formed in the supported surface 30b (lower surface of the cap portion 30) of the plate holder 21a. The convex portions 35 engaged in the grooves 36 are formed on the supporting surface 28b (upper surface of the pedestal 28) of the holder support means 21b. Therefore, if the plate holder 21a thermally expands when irradiated with the electron beam 10, the thermal expansion of the holder 21a occurs about the center axis of the aperture 5a formed in the apertured plate 5. This can certainly prevent positional deviations of the apertured plate 5 and of the aperture 5a formed in it. That is, if the plate holder 21a thermally expands due to irradiation by the beam 10, the positions of the contact portions of the grooves 36 formed in the plate holder 21a which make contact with the convex portions 35 formed on the holder support 21b are displaced along the radially formed grooves 36. Therefore, the position of the center of the aperture 5a in the apertured plate 5 held to the plate holder 21a does not vary. Furthermore, the grooves 36 and convex portions 35 are in engagement with each other. Consequently, rotation of the apertured plate 5 and the aperture 5a formed in it within a plane is restricted. In the above-described embodiment, the grooves 36 extending radially in three directions about the center axis of the aperture 5a in the apertured plate 5 are formed in the lower surface 30b of the cap portion 30 forming the plate holder 21a. The present invention is not limited to this structure. The number of the grooves 36 extending radially about the center axis of the aperture 5a may be more than three (i.e., in more than three directions). In this case, the convex portions 35 formed on the upper surface 28b of the pedestal 28 are equal in number with the grooves 36. Also, the convex portions 35 are disposed in a corresponding manner to the grooves 36. It is to be noted, however, where the number of the grooves 36 is set to three (i.e., extend in three directions) as in the above embodiment, the engagement is made with good stability when the cap portion 30 of the plate holder 21a is supported on the pedestal 28 of the holder support means 21b. In the embodiment described above, the present invention is embodied as the mechanism for supporting the first apertured plate 5. The present invention can also be applied to a mechanism for supporting the second apertured plate 6 (see FIG. 3). Furthermore, it is not necessary that the shape of the cross section of each groove 36 be limited to a V shape. It may also be a U shape, for example. However, where they are V-shaped grooves, engagement with the convex portions 35 made of spherical members provide better stability. In addition, the grooves 36 may be formed in the upper surface 28b of the pedestal 28 forming the holder support means 21b, and the convex portions 35 engaged in the grooves 36 may be formed on the lower surface 30b of the cap portion 30 forming the plate holder 21a. Having thus described my invention with the detail and particularity required by the Patent Laws, what is desired protected by Letters Patent is set forth in the following claims. |
|
048790893 | claims | 1. A liquid metal cooled nuclear reactor, of the kind in which the liquid metal coolant is circulated from a relatively cool region (herein called the cold pool) of the reactor primary vessel through the reactor core where the coolant is in heat exchange relationship with nuclear fuel and emerges at a higher temperature in a higher region (herein called the hot pool) of the reactor vessel, the coolant then being returned from the hot pool to the cold pool via heat exchangers which serve to extract heat from the hot coolant for conversion to other forms of energy, the reactor having an intermediate plenum encircling the reactor core to provide a thermal barrier between the hot and cold pools of the reactor, characterised by means intercommunicating an upper part of the intermediate plenum and the hot pool superimposed in relation thereto to establish therebetween a permanently open, convectively acting communication capable of allowing rapid interchange of coolant convectively and unforced between the intermediate plenum and the hot pool in response to rapid temperature reduction in the hot pool and in a sufficient amount so as to avoid substantial temperature differentials which would otherwise occur between the upper part of the intermediate plenum and the hot pool but incapable of allowing, when the reactor is in a steady state condition, any more than a substantially smaller interchange to occur such as will not materially affect the thermal barrier function of said intermediate plenum. 2. A reactor as claimed in claim 1 in which the means comprises coolant interchange routes between the intermediate plenum and the hot pool, which routes are provided with deflector means for deflecting inward coolant flow to the intermediate plenum in a predominantly horizontal direction. 3. A reactor as claimed in claim 1 in which said means comprises thermosiphon means to effect transfer of coolant from the intermediate plenum to the hot pool. 4. A reactor as claimed in claim 3 in which said thermosiphon means comprises a thermosiphon leg which opens at its opposite ends into the intermediate plenum and the hot pool respectively and is in thermal contact with the hot pool so that, when a fall in temperature occurs within the hot pool, a pressure head is developed to create coolant flow along the thermosiphon leg from the intermediate plenum into the hot pool. 5. A reactor as claimed in claim 4 in which, to compensate for the outward coolant flow along the thermosiphon leg, the intermediate plenum includes one or more openings in communication with the hot pool to admit coolant from the hot pool into the intermediate plenum. 6. A reactor as claimed in claim 4 in which the thermosiphon leg is constituted by one or more standpipes which communicate at the lower end with the interior of the intermediate plenum and open at the upper end adjacent the normal operating level of the hot pool. 7. A reactor as claimed in claim 4 in which the thermosiphon leg comprises an annular passage which encircles the hot pool and is defined between an inner tank, which is located within the reactor primary vessel and encloses the upper regions of the coolant pool, and a radially inwardly spaced, generally vertical annular wall which terminates below the normal operating level of the hot pool. 8. A reactor as claimed in claim 7 in which the intermediate plenum is bounded along its generally vertical outer side by the inner tank and the generally vertical annular wall penetrates below the top of the intermediate plenum and is formed with a series of circumferentially spaced port holes. 9. A reactor as claimed in claim 1 in which openings for said interchange of coolant are provided in the intermediate plenum and are in the form of clearances between penetration holes in the top of the intermediate plenum and structures passing through the intermediate plenum. 10. A reactor as claimed in claim 1 in which the top of the intermediate plenum is of permeable construction. 11. A reactor as claimed in claim 10 in which the intermediate plenum top is perforated with a large number of relatively small holes or comprises a structure of generally vertical honeycomb channels. 12. A reactor as claimed in claim 10 in which the intermediate plenum top comprises an array of generally horizontally disposed plates. 13. A reactor as claimed in claim 12 in which the plates are supported in generally co-planar relationship and in spaced relation to one another to form an openwork structure permitting coolant interchange between the hot pool and the intermediate plenum. 14. A reactor as claimed in claim 13 in which the array of plates is superimposed above an array of generally horizontal deflector plates which overlap with the clearances between the plates above so as to deflect inward coolant flow to the intermediate plenum in a predominantly horizontal direction. 15. A reactor as claimed in 13 in which the plates forming the openwork structure are in relatively closely spaced relation and unsupported at their edges such that, in steady state conditions, flow of coolant into the intermediate plenum is severely restricted and when transient conditions develop and tend to create a temperature differential through the thickness of the plates, the plates deform to enlarge the gaps between adjacent plates and permit increased flow of coolant into the intermediate plenum. 16. A reactor as claimed in claim 1 which the intermediate plenum is divided into at least two vertically superimposed sections, the upper of which is in communication with the hot pool and the lower of which is isolated from any convection flow of coolant within the upper section. |
043222676 | abstract | In a control apparatus for residual heat removal system for a nuclear reactor in which the steam generated in the reactor vessel is cooled and condensed into water through a heat exchanger and the condensed water is fed back by a feedwater pump driven by the reactor steam so as to cool the reactor core, the pressure of the condensed water at the exit of the heat exchanger is increased with the rise of the reactor water level so as to decrease the flow of the condensed water, that is, coolant water and the flow-in of the make-up water from the make-up water reservoir communicating with the outlet port of the condenser while the quantity of the reactor steam supplied to the turbine is decreased with the increase in the reactor temperature fall rate so as to decrease the flow of the coolant water fed back into the reactor vessel. |
claims | 1. A method of constructing a multi-divergent beam collimator, the method comprising:partitioning a convergent cone-beam collimator into a plurality of ordered regions;separating members of the plurality of ordered regions from each other; andreassembling in a substantially reversed order the separated members of the plurality of ordered regions, such that the collimator is configured to act as a multi-divergent beam collimator. 2. The method of claim 1, wherein the partitioning comprises partitioning the cone-beam collimator into regions having substantially equal dimensions. 3. The method of claim 1, wherein the partitioning comprises partitioning the cone-beam collimator into regions having different dimensions. 4. The method of claim 1, wherein a plurality of outer regions of the ordered regions have dimensions larger than a plurality of central regions. 5. The method of claim 1, wherein the partitioning comprises portioning the cone-beam collimator into a 3-by-3 array of ordered regions. 6. The method of claim 1, wherein the partitioning comprises portioning the cone-beam collimator into a 2-by-3-by-2 array of ordered regions. 7. An apparatus comprising:a plurality of ordered sections of a convergent cone-beam collimator reassembled in a substantially reversed order relative to the ordering of the sections of the cone-beam collimator, wherein the collimator is positionable to function as a multi-divergent-beam collimator. 8. The apparatus of claim 7, wherein each of the sections has substantially similar dimensions. 9. The apparatus of claim 7, wherein some of the plurality of sections have dimensions different from others of the plurality of sections. 10. The apparatus of claim 7, wherein a plurality of outer regions of the ordered regions sections have dimensions larger than those of a plurality of central regions. 11. The apparatus of claim 7, wherein the plurality of sections are portioned into a 3-by-3 array of ordered regions. 12. The apparatus of claim 7, wherein the plurality of sections are portioned into a 2-by-3-by-2 array of ordered regions. 13. A single photo emission computed tomography (SPECT) system, comprising:a camera comprising a detector and a collimator, the collimator comprising a plurality of ordered sections of a convergent cone-beam collimator reassembled in a substantially reversed order relative to the ordering of sections of in the cone-beam collimator, wherein the collimator is positionable to function as a multi-divergent beam collimator; anda computing system in communication with the camera, the computing system receiving measurements from the camera and processing the received measurements. 14. The SPECT system of claim 13, wherein the camera is substantially stationary. 15. The SPECT system of claim 13, wherein each of the sections has substantially similar dimensions. 16. The SPECT system of claim 13, wherein some of the sections have different dimensions. 17. The SPECT system of claim 13, wherein a plurality of outer regions of the ordered sections have dimensions larger than those of a plurality of central regions. 18. The SPECT system of claim 13, wherein the plurality of sections are portioned into a 3-by-3 array of ordered regions. 19. The SPECT system of claim 13, wherein the plurality of sections are portioned into a 2-by-3-by-2 array of ordered regions. |
|
abstract | Method for compensating for image faults in a digital image recording which has been created by an x-ray system consisting of a radiographic source, an anti-scatter grid and a digital x-ray detector such as an image amplifier or a solid-state image detector, said image faults resulting from decentering, defocusing or defects in the anti-scatter grid or by the Heel effect and causing an intensity reduction of the primary radiation falling on the x-ray detector, characterized in that the actual reduction in intensity is measured through the anti-scatter grid and correction parameters are determined based on the measured values recorded which are then used to correct the x-ray image recording. |
|
051679060 | summary | DESCRIPTION 1. Technical Field The present invention relates generally to nuclear reactors, and more particularly to a type of nuclear reactor known as a "spectral shift" reactor whereby excess reactivity is provided initially, with some means of regulating the level of the reactor during reactor operation lifetime. More specifically, the present invention provides a means for increasing fuel efficiency by automatically changing the relative fuel-to-moderator volumes during the reactor operation. 2. Background Art In every nuclear reactor there must be arranged a quantity of fissionable material as a fuel and other materials as a moderator such that a "chain" reaction is achieved. The mass of fissionable material is termed a "critical mass". In order that the nuclear reactor can be operated over an appreciable period of time there must be included an excess of fuel above the critical mass, with this excess representing the fuel that will be consumed during operation of the reactor. As this extra fuel makes available a quantity of neutrons greater than the quantity necessary to perpetuate a controlled chain reaction, these excess neutrons must be absorbed in some manner so that an uncontrolled reaction does not result. The inherent ability of the excess fuel to produce these excess neutrons is generally referred to as "excess reactivity". In the field of liquid (usually water) moderated nuclear reactors, such as pressurized water reactors (PWR), one technique for the control of reactivity is to produce an initial "spectral shift" which has the effect of increasing the epithermal (low reactivity) part of the neutron spectrum at the expense of the thermal (high reactivity) part. This results in production of fewer thermal neutrons and decreased fission. Then, as fission decreases during extended reactor operation, a reverse shift back to the thermal part of the neutron spectrum is undertaken. There have been numerous systems developed to achieve this spectral shift. One such system is described in U.S. Pat. No. 3,081,246 issued to M. C. Edlund on Mar. 12, 1963. This system utilized the control of the ratio of heavy and light water used as moderator (and coolant) in the reactor during operation. More recently various mechanical systems have been developed to effect the volumetric ratio between the fuel and the moderator to achieve the spectral shift concept. Typical of these systems are described in U.S. Pat. Nos.: 4,657,726 issued to D. B. Lancaster, et al., on Apr. 14, 1987; U.S. Pat. No. 5,683,103 issued to R. G. Lott, et al., on Jul 28, 1987; U.S. Pat. No. 4,683,116 issued to H. M. Ferrari, et al., on Jul. 28, 1987; U.S. Pat. No. 4,687,620 issued to A. J. Impink, Jr., on Aug. 18, 1987; U.S. Pat. No. 4,687,621 issued to H. M. Ferrari on Aug. 19, 1987; U.S. Pat. No. 4,687,627 issued to J. F. Wilson, et al., on Aug. 18, 1987; U.S. Pat. No. 4,710,340 issued to W. J. Dollard, et al., on Dec. 1, 1987; and U.S. Pat. No. 4,716,007 issued to W. R. Carlson, et al., on Dec. 29, 1987. In all but the '621 of the "mechanical regulation" patents, there are a plurality of "displacer rods" that can be moved within the reactor. Initially these displacer rods are fully inserted so as to displace a portion of the water within the reactor. As reactor operation proceeds, these rods are removed so as to add a higher proportion of water and thus more moderation as the fuel is consumed to achieve the spectral shift. Generally these displacer rods are grouped for a single fuel element, or a group of elements, so that a single mechanism can be used to accomplish the removal. As such, groups of displacer rods are attached to a "spider", with that spider being moved axially in the reactor with a suitable drive means (usually a motor-gear means). In order that this removal can be effectively achieved, each displacer rod must be provided with guides to prevent non-axial movement. For a given reactor, many groups of displacer rods are used, and it may be desirable that removal of one group is at different times relative to another group. This removal must be accomplished without deleteriously affecting temperature and neutron flux gradients within the reactor. Thus, very complex mechanical means and controls are required to accomplish regulation of appropriate moderation of the nuclear reaction with the displacer rods of the prior art. In the '621 patent, these displacer rods contain burnable neutron poison material. Provision is made, via rupture elements, to permit gradual dissolution of the burnable poison material, with this material entering into the coolant and thus the moderator. This poison provides control of the excess reactivity. As the poison burns, together with the burn-up of the fuel, the reactor continues to be controlled. Of course, in any of the reactor designs, there are normal control rods that regulate the level of operation of the nuclear reactor. Accordingly, it is an object of the present invention to provide an apparatus for increasing the fuel efficiency of a nuclear reactor without adding elaborate mechanical and electrical controls. It is another object of the present invention to provide this increased fuel efficiency using substantially conventional reactor construction without the complexity of movement of displacer rods as called for in the prior art reactor designs. A further object of the present invention is to provide displacer rods for a nuclear reactor to initially provide proper moderation for any excess reactivity of a pressurized water reactor, with these displacer rods having a selected dissolution or volatilization/sublimation rate, whereby the volume of the displacer rods is gradually decreased as the nuclear fuel is burned so as to control (increase) the volume of the moderator during reactor operation. Still another object of the present invention is to provide a spectral shift nuclear reactor in which displacer rods formed of a sacrificial material provide for a change in the fuel-to-moderator ratio without mechanical movement and take into account temperature and neutron flux gradients within the reactor. Another object of the present invention is to provide controlled dissolution of displacer rods in a pressurized water reactor, with the product of that dissolution having no effect upon the nuclear characteristics of the reactor. These and other objects of the present invention will become apparent upon a consideration of the drawings that follow together with a detailed description of the invention. DISCLOSURE OF THE INVENTION In accordance with the present invention, a nuclear reactor is provided that contains displacer rods throughout the reactor so as to provide for the control of excess reactivity as the reactor is operated. These displacer rods, which appropriately displace a controlled portion of fluid moderator within the reactor, are fabricated at least partially from a sacrificial material that slowly dissolves, volatilizes or sublimes during operation such that the effective quantity of the fluid moderator increases during the life of the reactor as the fuel material is burned. The displacer rods are fabricated from a material that does not poison the reactor or have any other nuclear effect upon the nuclear reaction. The choice of a material for the displacer rods depends upon the rate of decrease of the displacer rod volume in the specific fluid moderator material. |
summary | ||
claims | 1. An electromagnetic field application system to a specimen for observation or fabrication to be used in the charged particle beam instrument, with a specimen placed on the optical axis of the charged particle beam, the magnetic field being applied in a specific direction in a specific region containing a plane perpendicular to the optical axis and the plane holding the specimen thereon, and the electric field being applied in a specific direction in a specific region containing a plane perpendicular to the optical axis and the plane holding the specimen, and being applied to at least one part in the region in which the magnetic field is applied,wherein the electric field is generated by at least two set of parallel-plate electrode-pair having the same axis of electric field and composed of at least two plate-electrodes which are arranged at both sides of the specimen in the plane at which the specimen is positioned and opposite to the optical axis,wherein the parallel plate-electrodes are surrounded by magnetic shields in the plane holding the specimen, and are placed at least two positions a certain distance away from the optical axis. 2. The electromagnetic field application system according to claim 1, wherein the magnetic field and the electric field are applied in the directions which are parallel to the plane at which the specimen is positioned and also perpendicular to each other. 3. The electromagnetic field application system according to claim 2, which is capable of applying a magnetic field to the specimen in the direction parallel to the optical axis. 4. The electromagnetic field application system according to claim 3, wherein the magnetic field to be applied to the specimen in the direction parallel to the optical axis is generated by at least one set of coil-pair composed of at least two coils having the magnetic axis parallel to the optical axis. 5. The electromagnetic field application system according to claim 2, which is capable of applying an electric field to the specimen in the direction parallel to the optical axis. 6. The electromagnetic field application system according to claim 5, wherein the electric field to be applied to the specimen in the direction parallel to the optical axis is generated by at least one set of parallel-plate electrode-pair composed of at least two plate-electrodes having the axis of electric field parallel to the optical axis. 7. The electromagnetic field application system according to claim 1, wherein the magnetic field to be applied is generated by at least one set of coil-pair having the same magnetic axis and composed of at least two coils which are arranged at both sides of the specimen in the plane at which the specimen is positioned and opposite to the optical axis. 8. The electromagnetic field application system according to claim 1, wherein the charged particle beam passing along the optical axis keeps its direction of propagation without deflection because the magnetic field applied and the electric field applied cancel out each other their effect of deflection. 9. The electromagnetic field application system according to claim 1, wherein the charged particle beam proceeding along the optical axis has its trajectory deflected sequentially in the direction away from the optical axis at the upstream side of the specimen, in the direction toward the optical axis, in the direction back to the optical axis at the position where the specimen is placed and further in the direction away from the optical axis, in the direction toward the optical axis at the downstream side of the specimen, and in the direction of proceeding along the optical axis on the optical axis. 10. An electromagnetic field application system to a specimen for observation or fabrication to be used in the charged particle beam instrument,the apparatus being so constructed as to apply simultaneously an electric field in a specific direction and a magnetic field in a direction parallel to the plane at which the specimen is placed in at least one part of the region in which the electric field being applied in a specific direction in a specific region containing a plane perpendicular to the optical axis and the plane holding the specimen, and is applied, the specimen being placed on the optical axis of a charged particle beam and the region containing a plane perpendicular to the optical axis at which the specimen is positioned,wherein the electric field to be applied is generated by at least two set of parallel-plate electrode-pair composed of at least two plate-electrodes having the same axis of electric field which are arranged at both sides of the specimen in the plane at which the specimen is positioned and opposite to the optical axis,wherein the parallel plate-electrodes are surrounded by magnetic shields in the plane holding the specimen, and are placed at least two positions a certain distance away from the optical axis. 11. The electromagnetic field application system according to claim 10, wherein the magnetic field to be applied is generated by at least one set of coil-pair having the same magnetic axis and composed of at least two coils which are arranged at both sides of the specimen in the plane at which the specimen is positioned and opposite to the optical axis. 12. The electromagnetic field application system according to claim 10, which is capable of applying a magnetic field to the specimen in the direction parallel to the optical axis. 13. The electromagnetic field application system according to claim 12, wherein the magnetic field to be applied to the specimen in the direction parallel to the optical axis is generated by at least one set of coil-pair composed of at least two coils having the magnetic axis parallel to the optical axis. 14. The electromagnetic field application system according to claim 10, which is capable of applying an electric field to the specimen in the direction parallel to the optical axis. 15. The electromagnetic field application system according to claim 14, wherein the electric field to be applied to the specimen in the direction parallel to the optical axis is generated by at least one set of parallel-plate electrode-pair composed of at least two plate-electrodes having the axis of electric field parallel to the optical axis. 16. The electromagnetic field application system according to claim 10, wherein the charged particle beam proceeding along the optical axis has its trajectory deflected sequentially in the direction away from the optical axis at the upstream side of the specimen, in the direction toward the optical axis, in the direction back to the optical axis at the position where the specimen is placed and further in the direction away from the optical axis, in the direction toward the optical axis at the downstream side of the specimen, and in the direction of proceeding along the optical axis on the optical axis. |
|
059303131 | abstract | A method and apparatus for transporting a positive ion beam to a distant target. An ion channel is created as a path to the target, and the beam injected into the channel at a mildly-relativistic beam velocity. Because the beam is mildly-relativistic, the electric field caused by its positive charge propagates well in advance of the beam, attracting free electrons in the plasma channel and pulling them into the beam along its axis of propagation. The current which is initiated by this precursor electron flow, is sustained during the duration of the beam, and is then a combination of the beam current and additional current carried by the electrons within the channel. As a result, a magnetic flux circulates annularly about the beam of a sufficient magnitude to pinch the beam. |
abstract | A composite material pre-patient collimator for shaping an x-ray beam in a computed tomography (CT) system is disclosed. The pre-patient collimator includes a base comprised of a first material having a first material density and an insert mechanically coupled to the base and being comprised of a second material, the second material comprising a moldable material having a second material density greater than the first material density and that is sufficient to block high frequency electromagnetic energy. The base comprises a plurality of structural features by which the insert is molded to the base, with the moldable material of the insert forming a connection with the plurality of structural features to mechanically couple the base and the insert. |
|
039716988 | summary | BACKGROUND OF THE INVENTION This invention relates to nuclear reactors of the kind having a core structure comprised of closely packed parallel fuel element assemblies. Such fuel element assemblies may be of the type in which a plurality of elongate fuel pins are arranged parallel to one another and spaced apart within an outer tubular casing. Close packing of such fuel element assemblies is to be found in reactor cores without fixed moderator, that is to say in fast reactor cores and in reactor cores cooled by moderating liquids, such as in pressurised and boiling water reactors. In a typical sodium cooled fast reactor core structure the fuel element assemblies are supported from a bottom core support structure or diagrid. Entry of sodium coolant into the fuel element assemblies is at their lower ends that is, in the region of the diagrid. Coolant flow is through the outer tubular casings of the fuel assemblies over the fuel pins contained therein, heat being extracted from the fuel pins by the coolant flowing through the casings. The rate of coolant flow through the fuel element assemblies is controlled by gagging means located within the lower ends of the casings of the fuel element assemblies. In a nuclear reactor core structure the neutron flux distribution varies across the width of the core structure; generally the neutron flux is a maximum at the centre of the core structure falling to a lesser value at the periphery. Materials such as stainless steel, which are used for the manufacture of fuel element assemblies of the kind described, are subject to the phenomenon of irradiation induced voidage growth. This phenomenon entails physical growth of material under neutron irradiation, the degree of growth being dependent on the intensity of the neutron flux. Fuel element assemblies at certain positions in the core structure will be subject to a neutron flux gradient. Because of the progressive reduction of neutron flux towards the periphery of the core structure, a fuel element assembly will be subject to a lower neutron flux on its side towards the outside of the core structure and will be subject to a higher neutron flux on its side towards the centre of the core structure. Thus the two sides of the casing of the fuel element assembly towards the outside and towards the inside of the core structure will be subjected to a differential growth. The side of the casing of the fuel element assembly towards the centre of the core structure will be subject to a greater growth than the side towards the outside of the core structure. This differential growth will induce bowing in the fuel element assemblies which can give rise to difficulties in operation of the reactor. For example the bowing can cause difficulties in removal of the fuel element assemblies from the core structure during a refuelling operation. Irradiation induced voidage growth is known to be temperature dependent. Lowering of the operating temperature of the casings of the fuel element assemblies can result in a significant reduction of the degree of bowing which occurs. One method of reducing the casing temperature of fuel element assemblies is by under gagging the fuel element assemblies so as to increase the rate of coolant flow therethrough. Increased coolant flow means that the coolant temperature and hence the temperature of the casings of the fuel element assemblies is decreased for the same rate of heat extraction. However this procedure has disadvantages because the theoretical efficiency of the plant is reduced by the decrease of coolant outlet temperature that results. SUMMARY OF THE INVENTION According to the invention, in a nuclear reactor having a core structure comprising closely packed parallel fuel element assemblies, each assembly comprising a cluster of spaced fuel pins arranged parallel to each other within a tubular casing, there is provided in each assembly longitudinally extending barrier means defining inner and outer coolant flow ducts through the casing, an outer group of fuel pins being contained in the outer duct and the remainder of the fuel pins of the assembly being contained in the inner duct, and gagging means for restricting coolant flow through the ducts arranged so that the temperature of the coolant flow from the outer duct is lower than that from the inner duct. Because the coolant flowing over the outer rows of fuel pins is at a lower temperature than the temperature of the bulk coolant flow over the remainder of the fuel pins the casings of the fuel element assemblies are subjected to a lower temperature than would be the case if the casings were subjected to the temperature of bulk coolant flow through the fuel element assemblies. Operation of the casings of the fuel elements at a reduced temperature results in a reduction in the amount of bowing of the fuel element assemblies due to a reduction of the amount of irradiation induced voidage growth in the casing of the fuel element assemblies. The invention also resides in a nuclear reactor fuel element assembly comprising a cluster of spaced fuel pins arranged parallel to each other within a tubular casing, wherein there is provided longitudinally extending barrier means defining inner and outer coolant flow ducts through the casing, the outer row of fuel pins being contained in the outer duct and the remainder of the fuel pins being contained in the inner duct, and adjustable gagging means for varying the fractions of total coolant flow through the ducts. According to a further feature of the invention it is additionally provided that the outer row of fuel pins in the fuel element assembly contain breeder material whilst the remainder of the fuel pins contain fissile material. Thus the heat generation in the outer row of fuel pins is further reduced leading to a further reduction in the temperature of the outer casing of the fuel element assembly. |
048615200 | claims | 1. A drivable radioactive source capsule comprising: (1) a tubular body containing therein one or more radioactive sources, said tubular body having a first end and a second end which is a terminus of the tubular body; (2) a plug having an elongated closure portion with the diameter of said closure portion being substantially equal to the inside diameter of said tubular body, said closure portion being disposed within the tubular body through the second end thereof and being attached to said second end of the tubular body, and said plug having a connection portion adjacent said closure portion with the diameter of the connecting portion being substantially equal to the outside diameter of the tubular body: and (3) an elongated flexible drive cable connected to said connection portion of said plug; (1) providing said tubular body; (2) attaching said flexible drive cable to said connection portion of said plug; (3) placing a plurality of radioactive sources in said tubular body through said second end thereof; (4) disposing said closure portion of said plug within the tubular body through the second end thereof; and (5) attaching the closure portion to said second end. 2. The capsule of claim 1 wherein the said drive cable is welded to said connection portion of said plug. 3. The capsule of claim 1 wherein the said first end is rounded and the said radioactive sources are contained within said tubular body between said first end of the tubular body and the closure portion of said plug. 4. The capsule of claim 1 wherein the closure portion of the said plug is attached to the second end of the tubular body by a weld. 5. The capsule of claim 4 wherein the weld is an electron-beam weld. 6. The capsule of claim 1 wherein the drive cable is attached to the connection portion of said plug by a weld. 7. The capsule of claim 6 wherein the weld is a laser weld. 8. The capsule of claim 1 wherein the diameters of the tubular body, plug and cable are substantially the same. 9. A method of producing the capsule of claim 1 comprising 10. The method of claim 9 wherein the said drive cable is attached to the said connection portion by welding. 11. The method of claim 10 wherein said welding is by laser welding. 12. The method of claim 9 wherein the closure portion of the said plug is attached to the second end of the tubular body by welding. 13. The method of claim 12 wherein the welding is by electron-beam welding. 14. The method of claim 9 wherein the end flexible drive cable is welded to a solid end, a thread is formed on the solid end and the threaded solid end is threaded into the connection portion of said plug. 15. The method of claim 9 wherein the diameters of the tubular body, plug and cable are substantially the same and the said first end of the tubular body is rounded. |
053032764 | description | DESCRIPTION OF THE PREFERRED EMBODIMENT(S) In the typical nuclear reactor, heat due to fission of nuclear material contained in fuel rods is transferred from the fuel rods to liquid moderator coolant flowing past the fuel rods. It is important for safety reasons that the coolant efficiently removes the heat produced by each fuel rod such that DNB is avoided. According to the invention, such efficient removal of heat from the surface of the fuel rod is obtained by curved deflector vanes belonging to a fuel assembly, which contains the fuel rods. However, before describing the subject matter of the present invention, it is instructive first to briefly describe the structure and operation of a typical nuclear power reactor. Therefore, referring to FIG. 1, there is shown a typical nuclear power reactor, generally referred to as 10, for producing heat by the controlled fission of nuclear fuel material 150 (see FIG. 2). As shown in FIG. 1, reactor 10 includes pressure vessel shell 20 having an open top end and a plurality of inlet nozzles 30 and outlet nozzles 40 attached thereto (only one of each nozzle is shown). A closure head 50 is sealingly mounted atop vessel shell 20 so that closure head 50 sealingly mounted atop vessel shell 20 so that closure head 50 sealingly caps or closes open vessel shell 20. Capping vessel shell 20 in this manner allows for suitable pressurization of the coolant within vessel shell 20 as reactor 10 operates. Still referring to FIG. 1, disposed in vessel shell 20 is a nuclear reactor core, generally referred to as 60, containing nuclear fuel 150. Disposed through the top of closure head 50 are a plurality of control rod drive shafts 70. Each drive shaft 70 is connected to a plurality of control rods 270 (see FIG. 2) for controlling the fission process in reactor core 60 in a manner well known in the art of nuclear power production. As shown in FIG. 1, disposed inwardly of reactor vessel shell 20 are a horizontal upper core plate 90 and a horizontal lower core plate 100 spaced-apart from upper core plate 90. Lower core plate 100 and upper core plate 90 each has a multiplicity of coolant flow orifices 110 transversely therethrough for flow of the coolant that removes the heat produced by fission of nuclear fuel 150. During operation of reactor core 10, control rods 80 are at least partially withdrawn from reactor core 60 by operation of drive shaft 70 to sustain the fission chain reaction. As heat is produced by reactor core 60, a fluid flow stream of liquid moderator coolant (e.g., demineralized water) is caused to enter inlet nozzle 30 and circulate generally upwardly through reactor core 60 in the direction illustrated by the vertical arrows in FIG. 1. The liquid moderator coolant assists the fission process by "moderating" neutrons in reactor core 60 and also carries away the heat produced by the fission process. The liquid moderator coolant exits nuclear reactor 10 through outlet nozzle 40, whereupon it is piped to a heat exchange device (not shown) for generating steam. The steam is then piped from the heat exchange device to a turbine-generator (not shown) for producing electricity in a manner well known in the art of electrical power production. Referring to FIG. 2, there is more clearly shown the subject matter of the present invention, which is a fuel assembly including deflector vanes for deflecting a component of a fluid stream flowing past such fuel assembly, as more particularly described and claimed hereinbelow. The fuel assembly, generally referred to as 120, comprises a plurality of elongate generally cylindrical fuel rods 130 vertically arranged in spaced parallel array. Each fuel rod 130 in turn comprises an elongate, hollow and generally cylindrical metal casing or cladding 140 for sealingly enclosing a plurality of generally cylindrical fuel pellets 150 capable of generating heat by nuclear fission. Cladding 140 has an inside diameter 160 and an outside diameter 170 and may be any suitable metal having a relatively small microscopic cross section for neutrons, such as "ZIRCALOY-4". In this regard, "ZIRCALOY-4 " is by weight composed of approximately 1.5% tin, 0.12% iron, 0.09% chromium, 0.05% nickel, and 98.24% zirconium. Each fuel pellet 150 is formed from a nuclear fuel material comprising fissile nuclei, such as U-235 (i.e., uranium-235) uniformly dispersed in a matrix of fertile nuclei, such as U-238 (i.e., uranium-238), for generating heat by the process of nuclear fission. Fuel assembly 120 further comprises a first nozzle or first tie plate 180 having a top portion 190 and a bottom portion 200, which first tie plate 180 may have a regular hexagonal transverse cross section. First tie plate 180 has a plurality of transverse bores 205 therein (only one of which is shown) for reasons described hereinbelow. Connected to top portion 190 of first tie plate 180, such as by hold-down fasteners or screws 210, is a flexible hold-down spring 220. Hold-down spring 220 outwardly projects from top portion 190 of first tie plate 180 for abutting upper core plate 90, such that first tie plate 180 and thus fuel assembly 120 are downwardly biased onto lower core plate 100 as fuel assembly 120 is vertically interposed between upper core plate 90 and bottom core plate 100. Downwardly biasing fuel assembly 120 prevents lift-off of fuel assembly 120 from lower core plate 100, which lift-off may otherwise occur due to the upward hydraulic force exerted by the coolant fluid stream as the fluid stream flows through reactor core 60 generally upwardly along a unidirectional flow axis. Coaxially aligned with and spaced-apart from first tie plate 180 is a second nozzle or second tie plate 230, which may have a regular hexagonal transverse cross section. Second tie plate 230 includes a plurality of outwardly projecting feet 240 integrally formed therewith for resting fuel assembly 120 in a predetermined location on bottom core plate 100. Second tie plate 230 has a plurality of bores 250 (only one of which is shown) therein for reasons described hereinbelow. Referring again to FIG. 2, connected to top portion 190 of first tie plate 180 is drive shaft 70 having radially outwardly extending arms 260 for reasons described presently. Attached to each arm 260 and slidably extending through bore 205 is an elongate neutron absorber rod or control rod 270 for controlling the fission process in fuel assembly 120. The plurality of control rods 270, which extend outwardly through bottom portion 200 of first tie plate 180, are arranged in spaced parallel array. Each control rod 270 is made from a suitable material, such as B.sub.4 C (i.e., boron carbide), having a relatively large microscopic absorption cross section for neutrons. Moreover, each control rod 270 is sized to be slidably received in an elongate, generally cylindrical and hollow control rod guide thimble tube 280 that outwardly extends from bottom portion 200 of first tie plate 180, each thimble tube 280 having a first end portion 290 and a second end portion 300. Each thimble tube 280 also has an inside diameter 315 and an outside diameter 317. First end portion 290 of each thimble tube 280 is received in its respective bore 205 belonging to first tie plate 180 and secured thereat, such as by bulging or welding. Moreover, second end portion 300 of each thimble tube 280 is received in its respective bore 250 belonging to second tie plate 230 and secured thereat, such as by a screw (not shown) or by weldments. In this manner, first tie plate 180 and second tie plate 230 are interconnected by thimble tubes 280 for providing rigidity and structural integrity to fuel assembly 120. Referring now to FIGS. 2, 3, 4, 5 and 6, spaced along the axial length of thimble tubes 280 and fuel rods 130 and coaxially interposed between first tie plate 180 and second tie plate 230 are a plurality of coaxially aligned lattice members, generally referred to as 310, for maintaining thimble tubes 280 and fuel rods 130 in their predetermined spaced parallel array configuration. Each lattice member 310 may be made from "ZIRCALOY-4", or the like, for the previously described reasons of neutron economy. Each lattice member 310 includes an outer strap 320 having a regular hexagonal transverse contour disposed edgewise in the fluid stream. That is, outer strap 320 has six integrally attached elongated side panels 330, each side panel 330 being disposed at a predetermined obtuse angle with respect to its adjacent side panel 330 for defining the regular hexagonally-shaped transverse contour of outer strap 330. Disposed edgewise to the fluid stream and transversely interiorly of outer strap 320 are a plurality of elongate parallel first inner straps 340, each first inner strap 340 having a predetermined length. Each first inner strap 340 has a first end portion 350 integrally attached to an interior wall, such as interior wall 374, of outer strap 320 and a second end portion 360 integrally attached to another interior wall, such as interior wall 377, of outer strap 320 such that each first inner strap 340 is parallel to a preselected one of the side panels 330, for reasons disclosed hereinbelow. Moreover, disposed edgewise to the fluid stream and transversely interiorly of outer strap 320 are a plurality of elongate parallel second inner straps 370, each second inner strap 370 having a predetermined length. Each second inner strap 370 similarly has a first end portion 380 integrally attached to an interior wall of outer strap 320 and a second end portion 390 integrally attached to another interior wall of outer strap 320, for reasons disclosed hereinbelow. As described in more detail hereinbelow, each second inner strap 370 intersects and interlocks with each first inner strap 340 at an intersection plane 400 to provide lattice member 310 with an egg crate-like construction. First inner strap 340 and second inner strap 370 are thusly joined at intersection plane 400 and may be secured thereat such as by weldments 402. In the preferred embodiment of the invention, each second inner strap 370 intersects each first inner strap 340 at an angle ".phi." of approximately 29 degrees with respect to first inner strap 340 for defining a plurality of rhombic-shaped rod cells 410 and a plurality of generally rhombic-shaped thimble cells 420 through lattice member 310. First inner strap 340 has a plurality of throughway slots 404 perpendicular to and extending from the downstream edge of first inner strap 340 to approximately the longitudinal axis (i.e., the mid-portion) of first inner strap 340 for reasons described presently. Moreover, second inner strap 370 has a plurality of throughway slots 406 perpendicular to and extending rom the upstream edge of second inner strap 370 to approximately the longitudinal axis (i.e., the mid-portion) of second inner strap 340 for reasons described presently. The purpose of slots 404/406 is to provide means for interlocking or interconnecting first inner straps 340 and second inner straps 370. That is, each slot 404 extending from the downstream edge of each first inner strap 340 is positioned so as to be mated with its respective slot 406 formed in the upstream edge of second inner strap 370. Similarly, each slot 406 extending from the upstream edge of each second inner strap 370 is positioned so as to be mated with its respective slot 404 formed in the downstream edge of first inner strap 340. In this manner, each first inner strap 340 is interlocked or interconnected with each second inner strap 370 to define the egg crate-like construction of lattice member 310. The egg crate-like construction of lattice member 310 provide maximum structural integrity to lattice member 310 while minimizing the weight of lattice member 310. It is to be appreciated that the terminology "downstream edge" is defined herein to mean that edge which is downstream of fluid flow in reactor core 60 and the terminology "upstream edge" is defined herein to mean that edge which is upstream of fluid flow. Thus, first inner strap 340 and second inner strap 370 are interlocked when the upstream edge of each second inner strap 370 is matingly seated in slots 404 of each first inner straps 370 and when the downstream edge of each first inner strap 340 is matingly seated in slots 406 of each second inner strap 370. When first inner straps 340 and second inner straps 370 are interlocked in this manner, each first inner strap 340 will intersect each second inner strap 370 at intersection plane 400 and at the predetermined angle ".phi.", which may be approximately 29 degrees, as best seen in FIG. 5, for defining rhombic-shaped rod cells 410 and generally rhombic-shaped thimble cells 420. This is important because, when fuel rods 130 are extended through their respective rod cells 410, they will obtain a triangular pitch for providing "dense-pack" fuel assembly 120. As best seen in FIG. 4, each fuel rod 130 extends through respective ones of the rod cells 410 and has a longitudinal center axis generally parallel to the flow axis of the fluid stream. In addition, each thimble tube 280 extends through respective ones of the thimble cells 420 and has a longitudinal axis generally parallel to the flow axis of the fluid stream. Thus, it will be appreciated by reference to FIG. 4 that each control rod 270 is surrounded by its associated fuel rods 130 for suitably controlling the fission process in fuel rods 130. Referring to FIGS. 6 and 7, each thimble tube 280 is attached, such as by bulging and/or welding, to its associated thimble cell 420 for affixing each lattice member 310 at a predetermined location along the axial length of fuel assembly 120. In addition, formed from the interior of the walls of each rod cell 420 and inwardly projecting or extending therefrom are a plurality of resilient spring members 430 for frictionally supporting and retaining each fuel rod 130 in its associated rod cell 410, so that fuel rod 130 does not move axially, laterally, or rotationally during normal operation and postulated accident situations experience by reactor core 60. Each spring member 430 is disposed at an acute angle, which angle may be approximately 45 degrees, with respect to a resilient first dimple 440 and a resilient second dimple 450 that are coaxially aligned and that are formed from the interior walls of each rod cell 420. Dimples 440/450 frictionally support each fuel rod 130. In the preferred embodiment of the invention, first dimple 440 is disposed upstream of coolant fluid flow, while second dimple 450 is disposed downstream of coolant fluid flow. Thus, it will be understood from the above description that each fuel rod 130 is supported and held within its respective rod cell 410 at six points of engagement or contact because four dimples and two spring members project inwardly into each rod cell 410 to frictionally engage each fuel rod 130. Turning now to FIGS. 5, 6, 7, 8, and 9, integrally attached to the upstream edge of each first inner strap 340 and each second inner strap 370 and associated with each rod cell 410 is deflector means, such as a plurality of spaced-apart deflector vanes 460, for deflecting a component of the fluid stream about each fuel rod 130, which extends through its respective rod cell 410. Each deflector vane 460 helically curvilinearly extends above and protrudes partially over its associated rod cell 410 for causing a vortex as the fluid stream flows past and through rod cell 410, so that the deflected component of the fluid stream swirls about the longitudinal center axis of fuel rod 130. In the preferred embodiment of the invention, the plurality of deflector vanes 460 are a pair of deflector vanes 460 associated with each rod cell 410. Each deflector vane 460 has a helically curved undersurface 470 for generating the previously mentioned vortex. Deflector vane 460 may be curved inwardly from the upstream edge of inner straps 340/370 so as to form a generally acute angle with respect to the flow direction of the fluid stream. The two deflector vanes 460 are oppositely oriented with respect to each other so that the two spiral vortices created by the pair of deflector vanes 460 associated with each rod cell 410 do not flow counter-current to each other. Avoiding such counter-current flow prevents disruption of the spiral vortices and provides a desired combined spiral vortex flow pattern that ascends spirally upwardly along the exterior surface of fuel rod 130. Thus, when first inner straps 340 and second inner straps 340 are suitably interlocked, as previously described, each rod cell 410 will have two deflector vanes 460 associated with it. The provision of two deflector vanes 460 ensures that the component of the fluid stream deflected about the longitudinal axis of each fuel rod 130 will have greater swirling action as compared to having only one deflector vane 460. Each of the two deflector vanes 460 will extend or protrude partially over its associated rod cell 410 for deflecting a component of the fluid stream flowing upwardly through rod cell 410. In this regard, the undersurface 470 of each deflector vane 460 upwardly curvilinearly extends from the upstream edge of first inner strap 340 or second inner strap 370 a predetermined distance above rod cell 410 and inwardly protrudes over each rod cell 410 for redirecting the fluid flow stream in the direction of the arrows in FIG. 8. In addition, the two deflector vanes associated with each rod cell 410 are located such that one of the two deflector vanes 460 is disposed sufficiently near each extreme corner of rod cell 410. That is, the two deflector vanes 460 are grouped generally symmetrically on the longest diagonal of rod cell 410. Moreover, each first and second inner strap 370 may have a plurality of spaced-apart weld tabs 473 integrally attached to and outwardly extending from the downstream edge of each second inner strap 370 and parallel to the fluid stream to provide weld material for welding first and second inner straps 340/370 after first and second inner straps 340/370 are suitably interlocked. In addition, outer strap 330 may also include a plurality of spaced-apart inwardly bent tabs 475 integrally attached to and outwardly extending from the downstream edge thereof for easily sliding a first fuel assembly 120 past a second fuel assembly 120 during refueling operations, so that the first fuel assembly 120 will not snag or "hang-up" on the second fuel assembly 120. Furthermore, outer strap 330 may include a plurality of spaced-apart inwardly curved deflector fins 477 for deflecting a component of the fluid stream onto the fuel rods 130 that are located along the inner periphery of outer strap 330. In this regard, each deflector fin 477 has a generally pyramid-shaped outer contour and is integrally attached at its base to the upstream edge of outer strap 330 and extends above and partially over its associated rod cell 410. OPERATION During operation of reactor 10, the flow stream of liquid moderator coolant enters inlet nozzle 30 and flows in the general direction of one of the horizontal arrows shown in the FIG. 1. The fluid stream is then caused to turn upwardly through flow orifices 110 to flow past and through each fuel assembly 120 disposed in reactor core 60, the fluid flow through reactor core 60 being generally in the direction of the vertical arrows shown in FIG. 1. After flowing through reactor core 60, the fluid stream exits reactor 10 through outlet nozzle 40 in the general direction of the other horizontal arrow shown in FIG. 1. As the fluid stream flows through reactor core 60, it will pass through each rhombic-shaped rod cell 410 defined by lattice member 310. As the fluid stream flows through each lattice member 310 and its associated rod cells 410, the velocity of the fluid stream will decrease and a pressure drop will occur due to the obstruction caused by the lattice member being disposed in the fluid stream. This pressure drop may lead to nucleate boiling on the exterior surface of the fuel rod 130 in the region of rod cell 410. If deflector vanes 460 were not present and if the heat generated by fuel rod 130 is high enough, partial or stable film boiling (i.e., DNB) may occur on the surface of rod 130 resulting in "burnout", which is undesirable for safety reasons. Therefore, deflector vanes 460 are provided to deflect the fluid stream helically inwardly toward the exterior surface of fuel rod 130 to avoid partial or stable film boiling. Even in the case of hexagonal grids with triangular pitch but no deflector vanes, deflector vanes 460 will improve DNB and thermal performance. Such improved DNB performance will result in an approximately 25 % increase in fuel rod thermal efficiency compared to existing designs having hexagonal grids with triangular pitch but not having deflector vanes or deflector fins. The rhombic transverse contour of each rod cell 410 cooperates or coacts with the curved undersurface 470 of each deflector vane 460 to generate a vortex so that DNB is avoided. In this regard, the rhombic shape of each rod cell 410 has a reduced transverse flow area to fluid flow as compared to the square-shaped rod cell of more traditional core designs. Therefore, because of the reduced transverse flow area of rod cell 410, which is provided by the rhombic shape or rod cell 410, more of the fluid stream flowing upwardly through rod cell 410 will be forced to contact undersurface 470 of each deflector vane 460 as the fluid stream exits rod cell 410. Hence, because more of the fluid stream contacts undersurface 470 of deflector vane 460, more of the fluid stream will be deflected than in the traditional square pitch array. This will in turn cause a larger vortex for maintaining liquid substantially single-phase fluid flow on the exterior of fuel rod 130 in order to avoid DNB. In addition, rhombic-shaped rod cells 410 belonging to lattice member 310 in combination with deflector vanes 460 substantially obviate the need for larger and more costly reactor coolant pumps to increase fluid flow velocity in order to maintain liquid substantially single-phase fluid flow over the exterior of fuel rods 130. This is so because the vortices generated by each rod cell 410 and associated deflector vanes 460 inherently accelerate fluid flow about the exterior surface of each fuel rod 130. Moreover, rhombic-shaped rod cells 410 allow for a triangular pitch fuel rod array having a reduced pitch between centers of adjacent fuel rods 130, as compared to the more traditional square-pitch rod array. This allows fuel rods 130 to be more densely packed in order to obtain more power from a reactor core of given size. This is desirable because a more densely packed reactor core achieves more revenue-producing power per unit volume which in turn increases return on plant investment. Furthermore, it will be understood from the description hereinabove that deflector vanes 460 cause the cooler bulk coolant to suitably mix with the warmer fluid near the surface (i.e., outer diameter 170) of each fuel rod 130 such that the temperature difference between the bulk coolant and the fluid near the fuel rod surface is minimized. This obtains liquid substantially single-phase flow over the fuel rod surface for avoiding DNB. Although the invention is illustrated and described herein it its preferred embodiments, it is not intended that the invention as illustrated and described be limited to the details shown, because various modifications may be obtained with respect to the invention without departing from the spirit of the invention or the scope of equivalents thereof. For example, the vortex or helical flow distribution axially along the outside surface of the fuel rod may be varied, if desired, by varying the placement and number of deflector vanes associated with each rod cell. Therefore, what is provided is a fuel assembly including deflector vanes for suitably deflecting a component of a fluid stream flowing past such fuel assembly. |
abstract | Dicarboxylic acids are useful in acidizing subterranean formations to improve their permeability, particularly at elevated temperature, e.g. between about 200xc2x0 F. (92xc2x0 C.) and about 400xc2x0 F. (204xc2x0 C.). Particularly suitable dicarboxylic acids include, but are not necessarily limited to, succinic acid, glutaric acid, adipic acid, and mixtures thereof. |
|
claims | 1. An X-ray filter comprising an array of filter elements and a control circuit, the control circuit comprising an array of switching devices provided on a common substrate, a switching device being provided for each filter element for switching a control signal to the respective filter element, an output terminal of each switching device being provided with an external connection portion located at the respective switching device, an array of external connection portions thereby being provided over the array of switching devices, and wherein the connection portions are bonded to a connection block of the array of filter elements. 2. A filter as claimed in claim 1 , wherein the external connection portions comprise metallic bumps formed by stud bumping. claim 1 3. A filter as claimed in claim 2 , wherein the metal is gold. claim 2 4. A filter as claimed in claim 1 , wherein the connection block comprises a plurality of connected parallel membranes each carrying a plurality of conductors, each conductor leading along its respective membrane to an associated filter element. claim 1 5. A filter as claimed in claim 4 , wherein glass spacers are provided between the membranes. claim 4 6. A filter as claimed in claim 4 , wherein the array of filter elements and the array of switching devices are arranged in rows and columns and wherein each membrane is for carrying the control signals for an individual row or column of the array of filter elements. claim 4 7. A filter as claimed in claim 6 , wherein the array of switching devices has the same pitch as the array of filter elements. claim 6 8. A filter as claimed in claim 5 , wherein each filter element comprises a capillary containing an X-ray absorbing liquid, the X-ray absorptance of each filter element being adjustable by controlling the level of the liquid in the capillary using the control signal. claim 5 9. A filter as claimed in claim 8 , wherein the connection block membranes form the capillaries. claim 8 10. A filter as claimed in claim 8 , wherein the connection block membranes are interleaved with further membranes which form the capillaries, the further membranes being provided with conducting tracks which lead to the individual capillaries. claim 8 11. A filter as claimed in claim 10 , wherein the connection block membranes comprise flexible foils. claim 10 12. A filter as claimed in claim 10 , wherein the connection block membranes comprise glass sheets. claim 10 13. A filter as claimed in claim 1 , wherein the switching devices comprise thin film transistors. claim 1 14. An X-ray examination apparatus comprising an X-ray source, an X-ray detector and a filter as claimed in claim 1 , arranged between the source and the detector. claim 1 |
|
052934179 | description | DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT A radiation imager system 10, such as a medical computed tomography (CT) system incorporating the device of the present invention, is shown in schematic form in FIG. 1. CT system 10 comprises a radiation point source 20, typically an x-ray source, and a radiation detector 30 comprising a plurality of radiation detector modules or panels 40 and a plurality of collimators 50 disposed between radiation source 20 and detector panels 40. Each detector panel comprises a plurality of detector elements (not shown) which produce an electrical signal in response to the incident radiation. The detector elements are typically arranged in a one- or two-dimensional array on each detector plate 40. The radiation detector elements are coupled to a signal processing circuit 60 and thence to an image analysis and display circuit 70. Detector plates 40 are mounted on a curved supporting surface 80 which is positioned at a substantially constant radius from radiation point source 20. This arrangement allows a subject 90 to be placed at a position between the radiation source and and the radiation detector for examination. Collimators 50 are positioned over radiation detector panels 40 to allow passage of radiation beams that emanate directly from radiation source 20, through exam subject 90, to radiation detector panels 40, while absorbing substantially all other beams of radiation that strike the collimator. The details of steps in the fabrication, and the resulting structure, of collimators 50 are set out below. In accordance with this invention, material is selectively removed from each of a plurality of collimator plates to form a plurality of passages in each plate. Two representative plates, 210a and 210b, are illustrated in FIGS. 2(a) and 2(b) respectively. Passages 215 extend between openings in opposite surfaces of each plate. Preferably the shape of the sidewalls (e.g., vertical or slanted) in each individual plate is substantially the same, and each plate has sidewalls shaped similarly to those in adjoining plates. In one embodiment of this invention, the collimator plates comprise relatively thin (i.e., having a thickness less than about 0.25 mm) sheets of radiation absorbent material. The radiation absorbent material is selected to exhibit good absorption characteristics for radiation having the wavelength distribution emitted by radiation source 20, and typically comprises a material having a relatively high atomic number, i.e. about 72 or greater. Examples of such material include tungsten, gold, and lead. Conventional photolithographic techniques are advantageously used to selectively remove material from collimator plates 210 to shape passages 215. For example, a mask 220a is formed on collimator plate 210a and a mask 220b is formed on collimator plate 210b, each mask having a selected pattern chosen to result in the formation of passages in the respective plates so that when the plates are assembled or stacked together the adjoining passages in the plates will form channels through the assembled collimator with respective axes having a respective selected orientation. If the photoresist used in the photolithographic processes does not adhere well to the radiation absorptive material, a transfer mask may be used in order to form a mask of a material that does adhere well to the material to be etched. The pattern of the mask is selected for each collimator plate and typically results in the passages being positioned in slightly different places on each respective plate. The desired positions of the passages on the plate are dependent on the location of the plate with respect to the underlying radiation detector elements in the assembled collimator device, the arrangement of detector elements in the detector array, and the path along which radiation emanating from the radiation point source passes to the detector element. After the mask is formed, the collimator plates are etched to form a plurality of passages 215 (portions of the collimator plates that are removed in the etching process are shown in dotted cross hatching in FIGS. 2(a) and 2(b)). Known etching processes are used to form the passages, such as wet etching of tungsten. Alternatively, masks can be formed on both sides of the collimator plate and the plate then etched simultaneously from both sides. To assist with alignment of the collimator plates, an alignment hole 217 may advantageously be formed in each collimator plate at the time passages 215 are formed. One or more alignment holes are positioned in the same respective positions on each collimator plate to be used as a reference point so that the plates can be properly positioned with respect to one another when they are stacked together to form the collimator. In an alternative embodiment of the present invention, collimator plates comprise collimator substrates 310 coated with radiation absorbent material 330, as illustrated in FIGS. 3(a) and 3(b) respectively. Substrate 310 comprises photosensitive material, i.e., a material that will react to exposure to light in a manner similar to photoresist. Such a material may lose its photosensitive characteristics once it has been exposed and processed. One example of this type of substrate material is the Corning, Inc. product known as Fotoform.RTM. glass. Collimator substrate 310 is selectively exposed through a mask to a light source so that the light exposes areas of the photosensitive substrate corresponding to a selected pattern for each collimator plate. For example, an optically opaque mask 312 is formed by conventional methods on a first surface 310a of collimator substrate 310. The pattern of openings in mask 312 corresponds to the pattern of detector elements in radiation detector panel 40 (FIG. 1). For example, mask 312 has a pattern mimicking the arrangement, i.e., rows and columns, and the cross-sectional shape of detector elements at the interface between radiation detector panel 40 and collimator 50 (FIG. 1). Alternatively, mask 312 need not be on the surface of the collimator substrate but can be positioned with respect to the substrate in accordance with known photolithographic techniques to provide the desired exposure of the photosensitive material in substrate 310. In any event, the pattern of the mask is selected to expose areas of photosensitive collimator substrate 310 of sufficient size and orientation so that upon completion of fabrication of collimator 50, the surface of each radiation detector element for receiving the radiation is exposed to radiation passing along the desired paths from the radiation source. Collimator substrate 310 is then etched using conventional techniques appropriate for the substrate photosensitive material to remove the exposed photosensitive material and thus create a plurality of passages 320 through the substrate, as illustrated in FIG. 3(a). Portions of the photosensitive material that are removed in the etching are shown in dotted cross hatching in the figure. Each of these passages extends between openings in opposite surfaces of the collimator plate. Preferably the sidewalls of the passages on each individual plate have substantially the same shape and orientation, and are of substantially the same shape and orientation as the passage sidewalls in other plates used in the assembled imager system. A radiation absorbent material layer 330 (FIG. 3(b)) is then applied on collimator substrate 310 so as to cover at least the surfaces of the substrate which will be exposed to radiation when assembled in an imager device. The radiation absorbent material applied on the far interior wall of the channel is shown in dotted cross hatching. For example, many types of radiation absorbent material can be applied through known vapor deposition techniques. Radiation absorbent material 330 is selected to absorb radiation of the energy level and wavelength emitted by radiation source 20 (FIG. 1). The radiation absorbent material typically has a relatively high atomic number, e.g., greater than about 72, and advantageously comprises tungsten, gold or lead when the radiation used in the imager device is x-ray. The thickness of the radiation absorbent material layer is selected to provide, when the collimator is assembled, efficient absorption of radiation. This selected thickness depends on the nature of the radiation and the energy level of the radiation when it strikes the collimator. For example, in a CT system using an x-ray point radiation source of about 100 KeV positioned approximately one meter from the detector array, the collimator plates would need to present a collective tungsten thickness in a range of between about 30 to 40 mils along the path of the radiation to be absorbed. After application of the radiation absorbent material, the cross-sectional area of the opening or the void space in the passage is substantially the same as the area for receiving radiation on the detector element which it adjoins so as to allow substantially all radiation rays emanating along direct paths from the radiation source to strike the detector element. The collimator plates are then stacked, i.e., assembled one over the other as shown in FIG. 4(a), to form a collimator body 455 and aligned so that respective passages in the collimator plates form a plurality of respective channels 420 through the collimator body. The collimator plates are advantageously aligned in the stacking process by positioning an alignment hole 417 about an alignment rod 430. Alternatively, optical alignment devices aimed through alignment holes 417 or alignment of the edges of the plates can be used to provide correct alignment of the passages when stacking the collimator plates. In the assembled collimator 50 of FIG. 1, shown in a detailed view in FIG. 4(a), each collimator plate 410 comprises a patterned sheet of radiation absorbent material or alternatively comprises a photosensitive material substrate coated with a radiation absorbent material. Each channel is defined by sidewalls 418 of the respective passages in each collimator plate. The sidewalls of each respective passage in adjoining collimator plates form a step-shaped boundary 422 of channel 420 in collimator body 455. As illustrated in FIG. 4(b), a longitudinal axis 424 of each channel is substantially equidistant from a pair of longitudinal tangent lines 423 passing along the portions of sidewalls 418 which extend furthermost into the channel. The orientation of the tangent lines towards a convergence point above the collimator (i.e., the radiation point source) is exaggerated for illustration purposes. The longitudinal axis for each channel will have a unique selected orientation angle, varying in magnitude and orientation (i.e., displacement in an x or y direction, or a combination of those directions, in the plane of the radiation detector array). For example, in the plane of the cross-sectional view presented in FIG. 4(a), axis 424' has a selected orientation angle .beta. and axis 424" has a selected orientation angle .differential., each of which are in the plane of the drawing but which differ in magnitude and in direction of displacement with respect to the radiation source. With a two-dimensional array of radiation detectors 42, the various selected orientation angles would also be displaced in a plane normal to the plane of the cross-sectional illustration of FIG. 4(a). The magnitudes of the selected orientation angles typically range between about 0.degree. and 10.degree.. In accordance with the present invention, each longitudinal axis of each respective channel in the collimator body is aligned with a respective selected orientation angle, which angle corresponds to the direct path between radiation point source 20 and radiation detector element 42 adjoining the channel (FIG. 4(a)). The radiation beams spread out from the point source so as to strike each radiation detector element disposed on a planar array at a slightly different angles respectively, the magnitude and orientation of which depend on the position of the detector in the array. The pattern of the passages in each collimator plate is selected so that when the plates are stacked together each of the channels formed has an axis oriented along a selected orientation angle that corresponds with the path of a radiation beam from the point source to the radiation detector in the assembled imager. The number of collimator plates used in the assembly of the collimator body is dependent on the energy level and wavelength of the radiation to be collimated and hence the overall thickness of radiation absorptive material necessary to absorb radiation striking the collimator. As illustrated in FIG. 4(a), in the assembled device, collimator body 455 is disposed to adjoin radiation detector panel 40. Radiation detector elements 42 are positioned in an array on detector panel 40 and each typically comprises a scintillator coupled to a photodetector. Collimator body 455 is positioned to allow incident radiation on a direct path between the radiation source and each one of the radiation detector elements 42 to pass through the channels in the collimator. Beams of radiation that are not aligned with such a direct path strike the collimator body and are absorbed. The collimator of the present invention is readily used with either a one-dimensional or a two-dimensional array of radiation detector elements. A plan view of a collimator fabricated in accordance with the present invention and showing a representative number of channels 420 appears in FIG. 5. The figure has been marked to show left, right, upper, and lower edges solely to provide a reference for ease of discussion, and the selection and positioning of such references is not meant to consitute any limitation on the structure or positioning of the device of the invention. Channel openings 425 in the surface of the collimator closest to the radiation source are shown in dark outline and channel openings 425' on the opposite surface of collimator body 455 are shown in phantom. In the two-dimensional array the center channel is in substantial vertical alignment with the radiation source, and the opening 425' of the channel on the side of the collimator body opposite the radiation source is aligned with the opening in the surface closest to the radiation source. As the radiation beams spread out as they emanate from the point source, each of the openings 425' has a slightly larger cross-sectional area than its respective opening 425 in the surface of the collimator closest to the radiation source. Openings 425' for channels on the left, right, top, or bottom are also slightly offset from being in vertical alignment with their respective openings 425. The direct path from the radiation source to a radiation detector in the upper left hand corner, for example, is offset both to the left and to the upper side of the array. The selected orientation angle of the axis of the channel is substantially aligned with this direct path, and the channel thus extends through the collimator body at this angle. The selected orientation angle for each channel is different from any other channel in the collimator. Such a structure, which would be extremely difficult and time consuming to construct with conventional collimator fabrication techniques, is readily produced in accordance with this invention. While only certain features of the invention have been illustrated and described herein, many modifications and changes will occur to those skilled in the art. It is, therefore, to be understood that the appended claims are intended to cover all such modifications and changes as fall within the true spirit of the invention. |
054385970 | summary | FIELD OF THE INVENTION The present invention generally relates to containers fur storage and transportation of spent nuclear fuel, and in particular, to containers For transportation of spent nuclear fuel across areas accessible to the public. BACKGROUND OF THE INVENTION In a nuclear reactor, the fissionable material gradually becomes spent and must be removed. Since the spent fuel contains fission by products which are highly radioactive, and which generate large amounts of heat, the spent fuel is usually temporarily stored in the reactor's spent fuel pool. The spent fuel pool is a pool of water of sufficient volume to prevent the escape of harmful radiation, and to absorb and dissipate the heat generated by the decaying fissionable material. Alternatively, the spent fuel may be temporarily stored in a hot cell. That is, a heavily shielded structure having the capability to prevent the escape of harmful radiation, while absorbing and dissipating the heat generated by the spent fuel. Generally, there is limited storage space in a nuclear reactor's spent fuel pool, or in its hot cell. Thus, the spent fuel must be moved to a storage site to make room for additional spent fuel. In some cases, there is a desire to shut the nuclear reactor down, and remove all fissionable material, in which case, all of the fissionable material must be removed to a storage site. There are two primary problems in the transportation of spent fuel. The most difficult problem is the transportation of spent fuel that includes failed fuel rod assemblies. Typically, nuclear fuel is formed of numerous small pellets that are inserted into a hollow rod. In some cases the rods become damaged and allow some of the nuclear fuel pellets to escape. These damaged rods are known as failed fuel rods. Further, in some cases during nuclear reaction of the fuel, the pellets disintegrate into sand-sized particles, capable of easily escaping from a failed fuel rod. The fuel rods themselves are arranged into assemblies including several fuel rods. Thus, a fuel rod assembly including a failed fuel rod is termed a failed fuel rod assembly. An important pan of transporting and storing spent fuel is avoiding criticality. This is achieved by carefully arranging the spent fuel rod assemblies so that there is a minimum distance between each assembly, such that there is little chance of neutron multiplication occurring to the point of criticality. In the case of failed fuel rod assemblies, however, fissionable material can escape from failed rods, and potentially accumulate near enough to other fissionable material that criticality is achieved. One attempted solution to the foregoing problem has been simply to store failed fuel rod assemblies indefinitely in a nuclear reactor's spent fuel pool or hot-cell. The problem with storing failed fuel rod assemblies indefinitely, however, is that there is limited storage space in a nuclear reactor's spent fuel pool or in its hot-cell, and in some cases there is a desire to completely shut a nuclear reactor down, and remove all fissionable material, including that contained in failed fuel rod assemblies. Another attempted solution has been to transport failed fuel rod assemblies in fuel transportation containers designed for undamaged fuel rod assemblies. The foregoing attempted solution, however, has required that substantially fewer failed fuel rod assemblies be transported per container, compared to the number of undamaged fuel rod assemblies that can be transported in the same container. By transporting fewer failed fuel rod assemblies, even if some fissionable material escapes from the failed fuel rods, and accumulates near other fissionable material in the container, there is not enough fissionable material in the entire container to pose a significant risk of criticality. The problem with the foregoing solution, though, is it wasteful of resources, because significantly fewer failed fuel rod assemblies can be transported per container, relative to the number of undamaged fuel rod assemblies that can be transported in the same container. Another, attempted solution has been to transport failed fuel rod assemblies in fuel transportation containers designed for transporting fissionable material in the form of rubble. That is, the fissionable material is not in the form of rods, but is in the form of small particles. Thus, the failed fuel rods are broken up into rubble, and placed in the container. The problem with that solution, however, is that the method is inefficient for three principle reasons. First, the failed fuel rod assemblies be broken up. Second, such containers are capable only of transporting comparatively few failed fuel rod assemblies. Finally, the transportation container is only designed for transportation, not storage. Thus, once the fissionable material has been transported to another location, the container must be unloaded in a fuel pool or in a hot cell, and other arrangements made to store the fissionable material. The present invention solves the foregoing problems, and provides a device for transporting and storing failed fuel rod assemblies at a storage site, other than in a spent fuel pool or hot cell. The other major problem with transporting spent nuclear fuel is that United States law imposes stringent safety requirements even on containers used to transport undamaged fuel rod assemblies. The relevant law imposes significantly more restrictive requirements with respect to the transportation of spent nuclear fuel across areas accessible to the public, as opposed to areas inaccessible to the public. State of the an spent fuel transportation containers for areas accessible to the public are casks with individual compartments. The fuel rod assemblies are loaded into individual compartments in the casks in a spent fuel pool or a hot cell. The purpose of the individual compartments within each cask is to ensure sufficient spacing between adjacent fuel rod assemblies to avoid any danger of criticality. The fuel rod assemblies are loaded into the cask in a spent fuel pool or hot cell. Upon reaching the storage location, the fuel rod assemblies must be removed from the cask in a spent fuel pool or hot cell, and then stored. In contrast, state of the art spent fuel transportation containers for areas inaccessible to the public are typically a sealed canister placed within a cask. The fuel rod assemblies are loaded into individual compartments in a canister in a spent fuel pool or a hot cell. The canister is then sealed and placed in a cask. When the cask/canister assembly reaches the storage site, the canister is removed from the cask, stored, and the cask may be reused, which is a much more efficient process. Nonetheless, the cask/canister method cannot be used for transportation in areas accessible to the public because they fail to meet the requirements imposed by U.S. law. Accordingly, there is a need for an invention that provides for the transportation and storage of failed fuel rod assemblies, and for a cask/canister device for transportation and storage of spent fuel across areas accessible to the public. The present invention provides a solution, wherein a cask/canister device can be used, and additionally may be used with existing casks, resulting in much greater efficiency in the transportation over public thoroughfares and storage of spent nuclear fuel. SUMMARY OF THE INVENTION In one aspect, the present invention relates to a container for receiving a structurally damaged nuclear fuel assembly, the container being for the subsequent storage and transportation of the nuclear fuel assembly. The nuclear fuel assembly includes fissionable material, and is received by the container from within a fuel pool. The container includes an elongated receptacle that forms an enclosure. The receptacle includes an open end for receiving the structurally damaged nuclear fuel assembly. A cover is provided to mate with, and close the open end of the receptacle. Further, a drainage passage is defined in the container, so that liquid can be drained from the interior of the receptacle to the exterior of the receptacle. Additionally, the drainage passage includes a restrictor that prevents the passage of fissionable material through the drainage passage. The container may also include an exterior projection for receiving fuel handling tools used to handle the container. In another aspect, the present invention relates to a canister for receiving structurally damaged nuclear fuel assemblies, and for the subsequent storage and transportation of the nuclear fuel assemblies. The nuclear fuel assemblies include fissionable material, and are received by the canister from within a fuel pool. The canister includes a basket assembly having a plurality of apertured plates, and structural members interconnecting the apertured plates. The structural members maintain the plates in a spaced apart relationship, axially aligning the apertures in each plate into a plurality of rows. The basket assembly is received in an exterior shell that forms an enclosure open at one end. The basket assembly is surrounded by the shell, and is oriented such that the longitudinal axis of each row is substantially parallel to the longitudinal axis of the shell. A container is inserted into each row of axially aligned apertures. Each container is for containing a damaged nuclear fuel assembly, and includes an elongated receptacle that forms an enclosure, having an open end. The structurally damaged nuclear fuel assemblies are inserted through the open end of the enclosure into the receptacle. A cover is provided to mate with the open end of the receptacle, and substantially close the open end of the receptacle. Moreover, a drainage passage is defined in each container, for draining liquid out of the container. The drainage passage includes a restrictor that prevents the passage of fissionable material. A lid is also provided to mate with the open end of the shell, thereby closing the open end of the shell. Further, the exterior of each container may also include a projection for receiving fuel handling tools to remove and insert the containers into the canister. In a further aspect, the present invention includes a canister for storing and transporting nuclear fuel assemblies which includes a basket assembly. The basket assembly again includes a plurality of apertured plates, and structural members interconnecting the apertured plates. The structural members maintain the plates in a spaced apart relationship with the apertures in each plate axially aligned into a plurality of rows. An exterior shell, forming an enclosure open at one end, receives and surrounds the basket assembly. The basket assembly is oriented within the shell such that the longitudinal axis of each row is substantially parallel to the longitudinal axis of the shell. A plurality of guide sleeves are provided with the basket assembly, the number of guide sleeves corresponding to the number of rows of axially aligned plate apertures. Each guide sleeve has a longitudinal axis that is generally coincident with a corresponding row, and includes a first structural layer, a neutron absorbing layer, supported by the first structural layer; and a second structural layer, structurally supporting the side of the neutron poisoning layer opposite the first structural layer. A lid is included to mate with the open end of the shell, thereby closing the open end of the shell. Preferably, the first structural layer comprises a hollow steel jacket inserted into each row of axially aligned apertures. Other features of the present invention will become apparent from the following detailed description. |
claims | 1. A method for correction of relative object-detector motion between successive views comprising the steps of:illuminating an object of interest to produce an image using a current view and a preceding view, wherein the current view and the preceding view are successive views, each successive view being taken from a different perspective;determining a lateral offset correction value for the image for each of the current view and the preceding view;determining an axial offset correction value for the image by finding a maximum in a cross-correlation function along a line that corresponds to a difference in the lateral offset correction values for the two views; andapplying the lateral offset correction value and the axial offset correction value to the image to produce a corrected file image. 2. The method of claim 1, wherein the step of determining a lateral offset correction value for the image further comprises the steps of:thresholding the image; andcross-correlating the thresholded image with a template image. 3. The method of claim 2, wherein the template image is created by a method comprising the steps of:creating at least two white lines at predetermined positions to form a preliminary template image;expanding the preliminary template image to provide zero-padding in two dimensions resulting in an expanded template image; andperforming a two-dimensional FFT on the expanded template image to create the final template image. 4. The method of claim 2, wherein the steps of thresholding the image and cross-correlating the image further comprise the steps of:finding the grayscale histogram of the image including a plurality of bins;identifying a bin with the greatest number of pixels;setting all pixels in the image having the identified bin's grayscale value or higher equal to zero in a copy of the image;applying a two-dimensional FFT to the copy of the image to produce a Fourier transform;multiplying the Fourier transform by a complex conjugate of the Fourier transform of the template image to produce a new image array;summing the new image array, along each of the plurality of rows to compute a lateral sum array;computing a one dimensional Fourier transform of the lateral sum array to find the cross-correlation of the rows of the copy of the original image and the template image;setting an uncorrected position of an image feature, at the location of the maximum value of the lateral sum array; anddetermining the lateral offset as the difference between the uncorrected position of the image feature and a predetermined position of the image feature. 5. The method of claim 4, further comprising the step of repeating the steps of thresholding the image and cross-correlating the image with a different number of bins in the histogram, if the maximum value of the cross-correlation has a magnitude less than a predetermined value. 6. The method of claim 4 wherein the image feature comprises a feature of a specimen container. 7. The method of claim 1, wherein the step of determining an axial offset correction value for the image further comprises the steps of:thresholding a copy of the current view of the image to produce a thresholded version of the image; andcross-correlating the thresholded version of the image with a thresholded version of a previous image before determining an axial offset. 8. The method of claim 1, wherein the step of determining an axial offset further comprises the steps of:finding the grayscale histogram of the current view of the image including a plurality of bins;identifying a peak bin with the greatest number of pixels;creating a thresholded image by setting all pixels in the current view of the image having the peak bin's grayscale value or higher equal to zero in a copy of the current view of the image;applying a low-pass filter to the thresholded image;computing a cross-correlation of the thresholded, low-pass filtered image with a preceding image's thresholded, low-pass filtered version;finding the maximum correction value in the row of the resultant cross-correlation that corresponds to the difference in the two images' lateral offsets; andadding the correction value to a sum of all previous axial offsets. 9. The method of claim 1 further comprising the steps of:writing the value of the lateral offset into an electronic memory device;writing the value of the axial offset into an electronic memory device; andgenerating a corrected image by cropping a plurality of pixels from one or two edges, as determined from the lateral and axial offsets, and shifting the remaining pixels by the number of the cropped plurality of pixels. 10. The method of claim 1, wherein the object of interest is a cell or a cell nucleus. 11. The method of claim 1 further comprising the steps of producing a plurality of images to generate an input image file; and cropping a plurality of the input image file images to produce a cropped copy of the input image file, with uncropped portions shifted vertically and/or horizontally, and with additional blank pixels inserted at one or two of the borders to retain the input image file size. 12. The method of claim 1 wherein the corrected file image comprises calculated offset values saved to a digital file. 13. The method of claim 12 wherein the calculated offset values are processed using computer word-processing to produce an altered text file, and the altered text file is used to generate offsets in two axes, and wherein the step for determining a lateral offset correction value for the image is iterated to find a maximum value. 14. The method of claim 12 wherein the calculated offset values comprise:a position of a maximum value of the cross-correlation between a currentimage and a template image;a maximum value of the cross-correlation between a current image and the template image;a location of a maximum correlation value on the corresponding row of a cross-correlation between the current image and the preceding image; anda maximum value of the cross-correlation between a current image and a preceding image. 15. A method for correction of relative object-detector motion between successive views comprising the steps of:imaging an object of interest to produce an image;determining a lateral offset correction value for the image;determining an axial offset correction value for the image, wherein the step of determining an axial offset correction value for the image is performed by thresholding the image, cross-correlating the image with the thresholded version of a previous image, and determining the axial offset correction value as a maximum in the cross-correlation function along a line that corresponds to a difference in lateral corrections of the two images; andapplying the lateral offset correction value and the axial offset correction value to the image to produce a corrected file image. |
|
summary | ||
description | This application is a divisional application of U.S. patent application Ser. No. 11/544,930 filed on Oct. 10, 2006, (that issued as U.S. Pat. No. 7,629,594 on Dec. 8, 2009), the contents of which are incorporated herein by reference in their entirety 1. Field of the Invention The present invention relates to an apparatus, and a method for manufacturing a device. 2. Related Art A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (1Cs). In that instance, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC. This pattern can be transferred onto a target portion (e.g. comprising part of, one, or several dies) on a substrate (e.g. a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned. Known lithographic apparatus include so-called steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion at one time, and so-called scanners, in which each target portion is irradiated by scanning the pattern through a radiation beam in a given direction (the “scanning”-direction) while synchronously scanning the substrate parallel or anti-parallel to this direction. It is also possible to transfer the pattern from the patterning device to the substrate by imprinting the pattern onto the substrate. Molecular contamination by, for instance, carbon on optical components in a lithographic projection apparatus (e.g. grazing incidence and multi-layer mirrors in an EUV lithographic projection apparatus) may be a problem. For example, contamination of reflective elements in an EUV lithographic projection apparatus may be caused by the presence of hydrocarbons and electrons that are generated by EUV illumination. A further problem may be how to monitor the dose of radiation from a source and the amount of contamination that gathers on an optical component. A lithographic projection apparatus may be provided wherein an object (e.g., an optical element) situated in a pulsed beam of radiation has an electrode in its vicinity and a voltage source connected either to the electrode or to the object. The source may provide, for example, a negative voltage pulse to the object relative to the electrode. The beam of radiation and the voltage pulse from the voltage source are provided in phase or out of phase. In this way, the object is shielded against secondary electrons generated by the radiation beam. A measuring device configured to measure the current generated by secondary electrons in the electrode may be provided. The dose of radiation from a source and the amount of contamination that gathers on the body may be monitored by measuring the electron flux from the body. An amount of secondary electrons collected may be a measure for the dose of radiation and the amount of contamination. The measurement may be easily determined using a current measuring device connected to the electrode or the object. One or more embodiments of the present invention include an improved lithographic apparatus wherein contamination can be detected accurately, in a relatively simple manner. According to an embodiment, there is provided a lithographic apparatus configured to project a patterned beam of radiation onto a target portion of a substrate, the apparatus comprising: a first radiation dose detector and a second radiation dose detector, each detector comprising a secondary electron emission surface configured to receive a radiation flux and to emit secondary electrons due to the receipt of the radiation flux, the first radiation dose detector located upstream with respect to the second radiation dose detector viewed with respect to a direction of radiation transmission; and a meter, connected to each detector, to detect a current or voltage resulting from the secondary electron emission from the respective electron emission surface. According to an embodiment, there is provided a lithographic apparatus configured to project a patterned beam of radiation onto a target portion of a substrate, the apparatus comprising: a radiation dose detector substantially insensitive to a contaminant that is likely to contaminate the detector during operation of the apparatus, the detector comprising a secondary electron emission surface configured to receive a radiation flux, and which may also receive the contaminant, and to emit secondary electrons due to the receipt of the radiation flux, the surface substantially made of the contaminant or a material with a secondary electron emission similar to that of the contaminant; and a meter, connected to the surface, to detect a current or voltage resulting from the secondary electron emission. According to an embodiment, there is provided a lithographic apparatus configured to project a patterned beam of radiation onto a target portion of a substrate, the apparatus comprising: a radiation dose detector sensitive to a contaminant that is likely to contaminate the detector during operation of the apparatus, the detector comprising a secondary electron emission surface configured to receive a radiation flux, and which can also receive the contaminant, and to emit secondary electrons due to the receipt of the radiation flux, the detector positioned to receive a contaminant emanating from the substrate during operation; and a meter, connected to the detector surface, to detect a current or voltage resulting from the secondary electron emission. According to an embodiment, there is provided a lithographic apparatus configured to project a patterned beam of radiation onto a target portion of a substrate, the apparatus comprising: a radiation dose detector sensitive to a contaminant that is likely to contaminate the detector during operation of the apparatus, the detector comprising a secondary electron emission surface configured to receive a radiation flux, and which can also receive the contaminant, and to emit secondary electrons due to the receipt of the radiation flux, the detector positioned to receive a contaminant emanating from the substrate during operation; and a meter, connected to the detector surface, to detect a current or voltage resulting from the secondary electron emission. According to an embodiment, there is provided a lithographic apparatus configured to project a patterned beam of radiation onto a target portion of a substrate, the apparatus comprising: an etch detector comprising a detector body having a secondary electron emission surface, the surface configured to receive a radiation flux and to emit secondary electrons due to the receipt of the radiation flux, wherein the composition of the detector body varies in a direction perpendicular from the surface; a meter, connected to the detector body, to detect a current or voltage resulting from the secondary electron emission; and a data processor configured to detect etching of the detector from the measurement by the meter. According to an embodiment, there is provided a lithographic method, comprising: projecting a patterned beam of radiation onto a target portion of a substrate; and detecting a radiation dose utilizing a radiation dose detector substantially insensitive to a contaminant that is likely to contaminate the detector during operation of the apparatus, the detector comprising a secondary electron emission surface substantially made of the contaminant or a material with a secondary electron emission similar to that of the contaminant, wherein radiation received by the surface results in emission of secondary electrons from the surface and a current or voltage resulting from the secondary electron emission is detected, the current or voltage being independent of the presence of the contaminant on the surface. According to an embodiment, there is provided a method to clean (for example by removing a layer of contaminants) a surface of an optical component, the method comprising: subjecting the surface to a cleaning treatment; and monitoring the surface by irradiating the surface such that secondary electrons are emitted by the surface and detecting a resulting secondary emission voltage or current. FIG. 1 schematically. depicts a lithographic apparatus according to one embodiment of the invention. The apparatus comprises: an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. UV radiation or other types of radiation). a support structure (e.g. a mask table) MT constructed to support a patterning device (e.g. a mask) MA and connected to a first positioner PM configured to accurately position the patterning device in accordance with certain parameters; a substrate table (e.g. a wafer table) WT constructed to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate in accordance with certain parameters; and a projection system (e.g. a refractive projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W. The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation. The support structure holds the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The support structure can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device. The support structure may be a frame or a table, for example, which may be fixed or movable as required. The support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system. Any use of the terms “reticle” or “mask” herein may be considered synonymous with the more general term “patterning device.” The term “patterning device” used herein should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate, for example if the pattern includes phase-shifting features or so called assist features. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit. The patterning device may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix. The term “projection system” used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system”. As here depicted, the apparatus is of a reflective type (e.g. employing a reflective mask). Alternatively, the apparatus may be of a transmissive type (e.g. employing a transmissive mask). The lithographic apparatus may be of a type having two (dual stage) or more substrate tables (and/or two or more support structures). In such “multiple stage” machines the additional tables and/or support structures may be used in parallel, or preparatory steps may be carried out on one or more tables and/or support structures while one or more other tables and/or support structures are being used for exposure. Referring to FIG. 1, the illuminator IL receives a radiation beam from a radiation source SO. The source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser. In such cases, the source is not considered to form part of the lithographic apparatus and the radiation beam is passed from the source SO to the illuminator IL with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander. In other cases the source may be an integral part of the lithographic apparatus, for example when the source is a mercury lamp. The source SO and the illuminator IL, together with the beam delivery system if required, may be referred to as a radiation system. In an embodiment, the radiation source is a plasma EUV source, for example a tin (Sn) plasma EUV source. For example, in such a radiation source, atoms can be heated (e.g., electrically) using a low power laser, or in a different manner. The EUV radiation source may also be a different radiation source, for example a Li or Xe ‘fueled’ plasma radiation source. Also, during use, small amounts of plasma may escape from the source SO, towards a collector K and the illuminator IL. The collector K collects radiation from the radiation source SO and is arranged to transmit the collected radiation to the illumination system IL. Particularly, the collector K may be arranged to focus incoming radiation, received from the radiation source, onto a small focus area or point. The illuminator IL may comprise an adjuster to adjust the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as a-outer and a-inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may comprise various other components, such as an integrator and a condenser. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section. The radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., mask table) MT and is patterned by the patterning device. Being reflected on the patterning device MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor IF2 (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g., so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor IF1 can be used to accurately position the patterning device MA with respect to the path of the radiation beam B, e.g. after mechanical retrieval from a mask library, or during a scan. In general, movement of the support structure MT may be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the first positioner PM. Similarly, movement of the substrate table WT may be realized using a long-stroke module and a short-stroke module, which form part of the second positioner PW. In the case of a stepper (as opposed to a scanner) the support structure MT may be connected to a short-stroke actuator only, or may be fixed. Patterning device MA and substrate W may be aligned using patterning device alignment marks M1, M2 and substrate alignment marks P1, P2. Although the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks). Similarly, in situations in which more than one die is provided on the patterning device MA, the patterning device alignment marks may be located between the dies. The depicted apparatus could be used in at least one of the following modes: 1. In step mode, the support structure MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at once (i.e. a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed. In step mode, the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure. 2. In scan mode, the support structure MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure). The velocity and direction of the substrate table WT relative to the support structure MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PS. In scan mode, the maximum size of the exposure field limits the width (in the non-scanning direction) of the target portion in a single dynamic exposure, whereas the length of the scanning motion determines the height (in the scanning direction) of the target portion. 3. In another mode, the support structure MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above. Combinations and/or variations on the above described modes of use or entirely different modes of use may also be employed. FIG. 2 schematically depicts part of an embodiment of a lithographic apparatus, for example an apparatus as described above. In the FIG. 2 embodiment, the apparatus comprises a first radiation dose detector 10A and a second radiation dose detector 10B (although more detectors may be provided). Each of the detectors 10 comprises a secondary electron emission surface 11, the surface 11 being configured to receive a radiation flux and/or contamination, and to emit secondary electrons (depicted by arrows e) due to receipt of radiation flux. Particularly, the emission surface 11 is configured to receive a radiation flux and contamination at the same time, during use. The radiation flux (or radiation) is schematically indicated by broken line R, and may be the above-described radiation beam or a part thereof (emanating from the radiation source SO). As a non limiting example, the radiation beam may be a beam of EUV radiation. In an embodiment, the surface part of each detector surface 11 that intersects or receives the radiation flux R is relatively small, so that the detectors 10 do not substantially hinder overall transmission of the radiation. For example, each detector 10 may be a small object, pin, wire element or other suitable detector structure, which may be located in the path of the radiation flux R. In a simple embodiment, each detector 10 may be in a fixed position, for example, firmly mounted to a frame of the apparatus. Alternatively, the detectors 10 may be provided with a mechanism to move the detectors 10 into and out of the path of the radiation flux R, to detect radiation in desired measuring periods. Each detector surface 11 may have any of a various number of shapes, such as a plane or curved shape. The detector surface 11 may comprise any of various materials suitable to provide the secondary electron emission, as will be appreciated by the skilled person. In a an embodiment, the detectors 10 may be located in an environment where it is known that one or more types of contaminants might be present therein. In that case, it is advantageous if the detector surfaces 11 also comprise or consist of the same contaminant(s) before use, so that electron emission will not be significantly influenced by further detector contamination with the contaminant(s) during use. For example, in the case that carbon (C) contamination might be present during use near the detector surfaces 11, these surfaces 11, in an embodiment, may already comprise or consist of carbon material. In the case that one or more metal contaminants (for example, tin) might be present in the detector environment, the detector surfaces 11, in an embodiment, may already comprise or consist of the same metal contaminant(for example, tin). As a further example, in an embodiment, a detector structure 10 might comprise or consist of an expected contaminant material, or be coated with such material. In an embodiment, the surfaces 11 of the detectors 10 are made of the same material(s), although this is not essential. In the present embodiment, two current (or voltage) meters 13 are provided, and are directly or indirectly connected to the detector surfaces 11 in a suitable manner, to detect currents (or voltage, e.g., voltage difference) resulting from the secondary electron emission from each of these surfaces 11. The meters 13 (or ‘secondary electron meters’) may be coupled to the detectors 10 in a way, such that secondary electron emission from the respective detector surface 11 results in a current (or voltage) that can be detected by the meter 13. For example, each meter 13 may simply be grounded, so that ejection of electrons from the emission surface 11 may be compensated via the meter 13 from ground. Each meter 13 as such may be configured in various ways, and may be designed to detect an electrical signal relating to the secondary electron emission (for example, current) directly or indirectly. As an example, the meter 13 may comprise a resistor, wherein the secondary electron emission can simply be measured from the current flowing through the resistor. Also, the meter may comprise or be a voltage meter, for example to measure a voltage across the above-mentioned resistor, the voltage being indicative of the secondary electron emission. In an embodiment, the first radiation dose detector 10A is located upstream with respect to the second radiation dose detector 10B, viewed with respect to a direction of radiation transmission. Advantageously, an optical component 18 of the apparatus is located between the first and second radiation dose detectors 10A, 10B, viewed in a radiation transmission direction, such that the radiation can first be detected by the first radiation dose detector 10A, then reaches the optical component 18 and after that can be detected by the second radiation dose detector 10B. In other words, the first detector 10A is located upstream with respect to the optical component 18, and the second detector 10B is located downstream with respect to the optical component 18. In an embodiment, the detectors 10A, 10B are located near the respective optical component 18. Each detector 10A, 10B may be arranged in a respective line of sight of part of the optical component 18, for example a part of the optical component 18 that is to receive, reflect, absorb and/or transmit at least part of the radiation flux R. The optical component 18 may include any of various component types. In the present application, the term “optical component” may include one or more selected from the following components 18: a mirror, a lens, a collector, a filter, a mask, an illumination system, or energy sensitive device or sensor (e.g. to detect radiation to provide energy and/or intensity measurements). The optical component (and particularly one or more optical surfaces thereof) may be configured to direct, shape, or control the radiation, or to detect the radiation. For example, the optical surface of the respective optical element/component may be a radiation reflecting surface (in the case of a mirror element), a transmissive surface, or a surface having radiation absorbing parts. As an example, indicated in FIG. 1, there may be provided a pair of radiation dose detectors 10A, 10B to detect radiation upstream and downstream with respect to a collector K. Also, there may be provided a pair of radiation dose detectors 10B, 10C to detect radiation upstream and downstream with respect to an illumination system IL. Similarly, a pair of radiation dose detectors 10C, 10D may be implemented to detect radiation upstream and downstream with respect to a patterning device MA. Moreover, a pair of radiation dose detectors 10D, 10E may be provided to detect radiation upstream and downstream of a projection system PS. It will be clear that a single optical component 18, or a group of optical components, and at least one upstream and at least one downstream radiation dose detector 10 may be associated with each other. There may be provided a data processor 15 which is configured to compare measurement results of the meters 13 to detect a change in radiation received by the upstream and downstream radiation dose detectors 10A, 10B. The data processor 15 may be configured in any of various ways and may be connected to the meters 13 by suitable wiring, communication lines, and/or wirelessly (see FIG. 3), to receive measurement results from the meters 13. As an example, the data processor may include suitable hardware, software, a computer, microcontroller, microelectronics, and/or one or more data processing modules as will be appreciated by the skilled person. For example, the data processor 15 may comprise a memory to store the measurement results, for example continuously or at certain time intervals. During operation, new measurement results may be compared with previous, stored, results, particularly to track and/or detect changes in the secondary electron emission measurements of the two detectors 10A, 10B, which changes might indicate malfunctioning or contamination of the intermediate optical component 18 or intermediate group of optical components. In an embodiment, the data processor may compare measurement results of the meters 13 during a certain operational period of the apparatus. In this way, radiation losses due to degeneration of the optical component 18 may be detected in a simple but very accurate manner, using inexpensive means. In an embodiment, in case a certain threshold of degeneration of the optical component 18 is determined, a suitable message or alarm may be generated, for example to alert an operator that the optical component should be replaced or cleaned. The data processing of the measurement results may include various operations. For example, initial meter measurement results relating to secondary electron emission from the detector surfaces 11 when the optical component 18 is not degenerated (for example contaminated) may be stored and used subsequently to determine any subsequent changes in the meter measurement results that may indicate optical component degeneration. As an example, in the case that the measurement relating to electron emission from the second detector 10B shows a much larger drop, with respect to the respective initial value, than the measurement relating to electron emission from the first detector 10A, this may indicate radiation transmission loss due to degradation of the optical component 18. For example, during operation, the data processor 15 may simply determine the ratio of the current measurements of the two meters 13, and compare that ratio with an initial measurement ratio to determine radiation transmission loss between the detectors 10A, 10B. For example, in an embodiment, a change in the ratio of radiation received by the radiation dose detectors may be determined (for example by the data processor 15). For example, during operation, a radiation flux R is directed to the optical component 18 (for example intermittently, in case of radiation pulses) and is transmitted to the downstream detector 10B, resulting in secondary electron emission from the surface 11 of that detector 10B which is measured by the respective meter 13. Contamination of the optical component 18 may lead to a loss of radiation transmission to the downstream detector 10B, resulting in a decrease of the secondary electron emission. A resulting decrease of the meter measurement result, relating to the secondary electron emission from the second detector 10B, might be used as evidence of the contamination of the optical component 18, but is not accurate due to the possibility that the downstream detector 10B itself might be contaminated also and additionally because the intensity of the radiation flux R may vary in time. In a worst case, only the downstream detector 10B is contaminated, leading to loss of secondary electron emission from the respective surface 11, and not the optical component 18, so that a false alarm might be generated. Thus, a much more precise monitoring is provided, by taking into account secondary electron emission of the upstream detector 10A as well (the upstream detector 10A also receives the radiation flux R during use), as is explained above. Moreover; in the present embodiment, transmission loss concerning an optical component 18 may be detected without the respective optical component 18 being part of the radiation flux detector. FIG. 3 depicts another embodiment comprising an upstream and downstream detector. In this case, the optical component 18 is the upstream detector, the surface which can emit secondary electrons when the surface receives the radiation flux. The optical component 18 is coupled to a meter 13 to detect the respective current (or voltage) resulting from the secondary electron emission. Also, a downstream secondary electron emission radiation flux detector 10 is provided (as in the FIG. 2 embodiment). The operation of the FIG. 3 embodiment may be substantially the same as that of the FIG. 2 embodiment, with the difference being that the optical component 18 is now used as one of the two radiation dose detectors, instead of the first detector 10A shown in FIG. 2. Herein, for example, the measurement results of secondary electron emission from the separate detector 10 may be used to verify such measurement results of secondary electron emission from the optical component 18, for example to detect contamination of the optical component. Also, in this case, the separate detector 10 and optical component 18 may have secondary electron emission surfaces made of the same material(s), to provide a similar sensitivity to contaminants. During an experiment regarding the above-described secondary electron emission, in the situation of irradiation of a mirror or other conductive surface with EUV, it was found out that a) the secondary emission scales linearly with input EUV power, and b) only the top layer of the irradiated body has significant influence on the secondary electron emission and so the secondary electron emission virtually does not depend on material extending below the top layer. The above-described detector 10 may be used as, for example, an energy sensor. In that case, the detector surface material may be matched with expected contamination at a certain position in the apparatus. For example, near a radiation source which may produce Sn contamination, the detector surface may be made of Sn or SnOx. Where, for example, there is a carbonizing environment in the optical train of the apparatus, the detector 10 may have a surface layer of, or contain, a natural carbon. For example, when the surface of one or more mirrors may be used as a sensor, then the surface may be carbonized. Thus, in an embodiment, there may be provided a contaminant-insensitive radiation dose detector 10, 18 being substantially insensitive to a contaminant that is likely to contaminate the detector during operation of the apparatus, the contaminant-insensitive detector 10, 18 being provided by a secondary electron emission surface, configured to receive a radiation flux and which may also receive the contaminant, and configured to emit secondary electrons due to the receipt of the radiation flux, wherein the detector surface is substantially made of the contaminant, or a material with a secondary electron emission similar to that of the contaminant. As an example, the surface may be the surface of a radiation reflector 18. The contaminant may be, for example, carbon, tin, tin oxide, zinc, zinc oxide, manganese, manganese oxide, tungsten, and/or tungsten oxide. Thus, during use, a lithographic method may comprise projecting a patterned beam of radiation onto a target portion of a substrate and detecting a radiation dose utilizing a contaminant-insensitive radiation dose detector 10. In that case, radiation may be received by the detector surface 11, resulting in emission of secondary electrons from that surface, wherein a current or voltage resulting from the secondary electron emission is detected, the current or voltage being independent of the presence of the contaminant on the detector surface. As will be explained below, the detector 10 may be used to monitor a cleaning process. Thus, a very simple sensor principle is provided, which is not sensitive to deterioration and thus may be used in monitoring of energy, contamination and/or cleaning. The sensor is flexible and cheaper than, for example, a diode sensor system. FIG. 4 shows another embodiment, which may be combined with one or more of the above-described embodiments, if desired. In the FIG. 4 embodiment, the lithographic apparatus comprises a contaminant sensitive radiation dose detector 10, being sensitive to at least one contaminant that is likely to contaminate the detector during operation of the apparatus. The detector 10 comprises a secondary electron emission surface 11 configured to receive a radiation flux R and which may also receive the contaminant, and configured to emit secondary electrons due to the receipt of the radiation flux. Further, a meter 13 is connected to the detector surface 11 to detect a current or voltage resulting from the secondary electron emission. Further, the apparatus is provided with a cleaning system 30 to remove contamination from the detector surface and optionally from, for example, a nearby optical component (not depicted in FIG. 4). The cleaning system 30 provides an advantage that contamination may be removed from the detector surface 11. This cleaning system is particularly advantageous when the detector 10 is used to measure contamination, which means, that after it has become contaminated, it should be cleaned. For example, the cleaning system 30 may comprise an inlet 31 for hydrogen gas and a device 32 to generate hydrogen radicals (such as a hot filament, or a RF field). According to an embodiment, the cleaning system may be configured to carry out a method comprising providing H2 containing gas in at least part of the apparatus, producing hydrogen radicals from the H2 containing gas, and having the detector surface 11 come into contact with at least part of the hydrogen radicals to remove at least part of a deposition from that surface. As an example, the deposition may comprise one or more elements selected from B, C, Si, Ge or Sn. At least part of the hydrogen radicals may be generated from the H2 containing gas by a filament, a plasma, radiation, or a catalyst configured to convert H2 into hydrogen radicals. The H2 containing gas may further comprise a halogen gas. In an embodiment, the detector 10 may be used as a cleaning monitor, wherein cleaning of the detector surface 11 provides an indication of progress of the cleaning of the nearby optical component. As part thereof, the above-described cleaning method may be carried out on the optical component and/or on the detector 10. In an embodiment, the cleaning system 30 may be designed to clean part of a nearby optical component, e.g. via hydrogen (H) cleaning to remove carbon (C) from the optical component. In that case, the detector surface 11 may comprise a layer of contaminant on top of a layer of a different material than the expected contaminant. During the cleaning process, a step in the secondary emission signal of the detector 10 is expected after a deposited contaminant (e.g. natural carbon or Sn) has been removed from the detector surface and the pure surface material is exposed. The step may also provide an indication of the amount of cleaning of a nearby optical component. For example, the detector 10 may be arranged, with respect to the optical component, such that the detector surface 11 and the optical component may receive substantially the same level of contamination during operation. Also, the detector 10, optical component and cleaning system 30 may be arranged such that the cleaning process leads to substantially equal cleaning rates of the detector surface 10 and optical component. Then, a detected completed detector cleaning may provide an indication that the optical component 10 has reached a desired cleaned state as well. A system comprising a detector 10 and cleaning system 30 may be located in any of various parts of, or associated with, the lithographic apparatus, for example near the source SO, collector K, illumination optics, and/or projection optics. The apparatus may be provided with one or more cleaning devices to clean one or more optical components. For example, the cleaning device may be movable between various positions in the apparatus to clean various parts. In an embodiment, the detector surface 11 substantially comprises Ruthenium (Ru). Accurate measurements may be obtained in this manner. For example, FIG. 5 shows the results of an experiment or simulation wherein a multi-layer mirror was used as the detector 10. The mirror was a Ru-capped multi-layer mirror. A thin layer of carbon (C) was grown onto this mirror (as will occur in carbon contamination), while measuring the SE (secondary electron emission) signal of the mirror/detector and EUV reflectivity of the mirror surface. In FIG. 5, it can be seen that while making a transition from a desired clean Ru top surface to a ‘contaminated’ C top surface, the SE signal changes significantly and promptly, by 50% on the first 1% EUV reflectively loss (equal to or approximately 2 nm C). Thus, if linearity is assumed then the accuracy of the detector is in the order of 0.25% SE signal accuracy=0.005% reflectivity loss, which corresponds to a carbon thickness of about 0.01 nm (C mono-layer is about 0.1-0.2 nm). Thus, a Ru detector surface 11 may provide a very sensitive detector concerning, for example, carbon contamination. Where the detector surface 11 substantially comprises Ruthenium (Ru), small quantities of contamination growing on optics, for example an EUV mirror, may be detected, before the contamination becomes a problem for the optics. Generally, a mono-layer or smaller of contamination may be detected. For example, in an EUV lithography environment, mirrors become contaminated due to several reasons, such as Sn debris from an EUV source, carbon growth from EUV illumination and outgassing of EUV resist. Since EUV optics are highly sensitive to contamination (1 nm of contamination may already be unacceptable), it is important to be able to monitor the growth rate of contamination. As follows from the above, a solution is to measure secondary emission (SE) from, for example, a Ru detector surface 11. In an embodiment, there is provided a method to clean a surface of an optical component (for example to remove a layer of contaminants), comprising subjecting the surface to a cleaning treatment, and monitoring the surface by irradiating the surface such that secondary electrons are emitted by the surface and detecting a resulting secondary emission current or voltage. Via the monitoring, for example, it may be determined whether the cleaning works and when the cleaning is complete. Thus, a relatively simple and accurate method is provided to monitor the cleaning process of an optical component, wherein the optical component provides the above-mentioned secondary electron emission surface 11. According to another embodiment, part of which is schematically shown in FIG. 6, there is provided a lithographic apparatus configured to project a patterned beam of radiation onto a target portion of a substrate W, the apparatus comprising a contaminant sensitive radiation dose detector 10, 10′ sensitive to a contaminant that is likely to contaminate the detector during operation of the apparatus, the detector 10, 10′ comprising a secondary electron emission surface configured to receive a radiation flux and which may also receive the contaminant, and configured to emit secondary electrons due to the receipt of the radiation flux, wherein a meter (not depicted in FIG. 6) is connected to the detector surface to detect a current or voltage resulting from the secondary electron emission. In this case, the detector is positioned to receive a contaminant emanating from the substrate W during operation, as is depicted in FIG. 6. In an embodiment, the apparatus may comprise a projection system PS to project the radiation beam onto the substrate W, and a gas shower 40 to provide a gas curtain between the substrate W and the projection system PS. The gas shower 40 as such is known to the skilled person, and may be configured in various ways. Particularly, one or more gas showers may be configured to shield the downstream part of the projection system from the substrate zone, using one or more suitable gas flows. For example the gas shower(s) 40 may be configured to substantially prevent contamination originating from the substrate W to reach the projection system PS. In an embodiment, the above-described radiation dose detector 10, 10′ may be located in at least one of the following locations: between the substrate and projection system, in the gas curtain, between the gas curtain and the substrate, or between the gas curtain and the projection system. Two examples of the positions of a radiation dose detector 10, 10′ are indicated in the FIG. 6, a first detector 10 being located in a region between the substrate table WT and gas shower(s) 40, and a second detector 10′ being located in a region between the projection system PS and gas shower(s) 40. For example, the detector 10 may be used to be able to monitor the amount of contamination induced by resist on the substrate W. In this embodiment, the amount of contamination due to resist outgassing (for example hydrocarbons, Si-hydrocarbons, F-hydrocarbons) from the substrate W may be monitored. For this purpose, as follows from FIG. 6, the detector 10 may be placed in close proximity to the substrate W. Sometimes, one may accidentally use a bad type of resist that evaporates too much material, resulting in a rapid growth of contamination on the EUV optics. It is advantageous if a sensor would be able to detect this in an early stage, such that an alarm may be given to stop the use of a particular substrate or a particular type of resist. In the EUV tool, a gas curtain may be used directly above the substrate, in order to prevent particles originating from the resist from reaching the EUV optics. However, in some cases this gas curtain may not be efficient enough, especially if a bad resist is used. In the case the detector 10 is placed between the substrate W and the gas curtain 40, the detector is desirably closest to the contamination source (i.e. substrate W) and will thus be the most sensitive. Typically, the suppression of contaminants by the gas curtain is 4 orders of magnitude, thus the sensitivity of the detector with respect to contamination process on the projection optics PS behind the gas curtain may be enormous. In another example, a detector 10′ be placed directly behind the gas curtain. An advantage of this location is that the detector is partially protected from contamination by the gas curtain, and the detector is located in same area where the EUV optics are. Also, for example, the detector 10 may be spaced-apart from a substrate table WT or gas shower 40. Alternatively, for the detector 10 may be integrated with a substrate table WT or gas shower 40. When the detector 10 is placed somewhere within the gas curtain, it may be used in order to tune the accuracy of the detector to a desired value. As is shown in FIG. 6, illumination/irradiation of the detector 10, 10′ may be stray radiation r1 of the EUV beam used for operation of the tool, or radiation r2 emitted by an alternative low power source 50 (schematically depicted in FIG. 6), or another wavelength small enough to create photo-electrons. Alternatively or additionally, the detector 10, 10′ may be arranged to detect at least part of the EUV beam directly. In an embodiment, there may be provided an above-described radiation dose detector 10A, 10B, 10F, 80 (see FIGS. 1 and 7) to monitor debris emanating from a radiation source SO of or associated with the apparatus. As follows from the above, the detector may be provided by a secondary electron emission surface 11, configured to receive a radiation flux and optionally contamination, and to emit secondary electrons due to the receipt of the radiation flux, wherein a meter is connected to the detector 10A, 10B, 10F, 80 to detect a current or voltage resulting from secondary electron emission from the electron emission surface 11. For example, in addition to radiation (for example, EUV radiation), a radiation source SO typically generates debris. For example, an Sn-based EUV source will generate Sn particles, which travel further downstream into the EUV system. In order to block these particles, a debris mitigation system may be employed, using for example a foil trap FT and a buffer gas. At some stage, these components may also be cleaned using a Sn cleaning method such as halogen cleaning or hydrogen cleaning. Even though most source related contaminant particles are blocked by the debris mitigation system, some source debris may still travel further into the apparatus. Thus, it is important to monitor the amount of contamination near the radiation source SO. For example, in order to monitor Sn contamination, a detector 10A may be placed, for example, near a (first) intermediate focus 75 (either behind, or directly before) downstream with respect to the source SO. In an embodiment, an aperture 80 may be present at the intermediate focus 75 downstream of the source SO, for example to block radiation that cannot be projected due to etendue limits. This aperture 80 will be partially illuminated by the radiation (for example EUV), and may thus be used to measure secondary emission and thus contamination growth. For example, as is depicted by FIG. 7, at least part of a surface of an aperture component 80 of the apparatus may be provided with the above-described secondary electron emission surface 11, wherein a meter 13 may be arranged to measure a secondary electron emission current or voltage. Alternatively or additionally, for example, a separate secondary electron emission surface 11 may be located directly in front or alternatively behind the intermediate focus 75. As an example, in FIG. 7, a detector 10B is located in front of the aperture 80 as well as another or alternative detector 10B′ behind the aperture 80. Additionally or alternatively, a detector 10F (or at least a detector surface 11) may be placed somewhere within a radiation collector K, or be part of the collector K, as is depicted with broken lines. Similarly, a detector 10A′ (or at least a detector surface 11) may be placed somewhere within a foil trap FT, or be part thereof. Similarly, a detector 10A″ may be located between a foil trap FT and a source SO, directly looking at the source SO, and/or a detector 10A may be between a foil trap FT and a collector K. In an embodiment, contamination growth may be monitored near the projection optics PS and illumination optics IL of the lithography system. Typically, in that case, contamination may be hydrocarbons, but also Sn debris or oxidation may be important here (due to for example a temporary loss of the vacuum specifications, or a leak in the vacuum system). In order to monitor this contamination growth, one or more of the above-mentioned detectors 10C, 10C′, 10D, 10D′ is/are placed within or near the projection optics PS and/or the illumination optics IL (see Figure I), for example within part of the radiation beam. The embodiment of FIGS. 8 and 9 may provide a simple way to monitor an amount of ion-induced sputter etching that may occur in, for example, an EUV environment. Herein, as follows from the above, a current or voltage induced by secondary electron emission from a multi-layer structure, is monitored, for example by the data processor 115. By monitoring changes in the current or voltage, the data processor 115 may distinguish between different layers 110(M1), 110(M2) that are being etched away, and thus may tell how much etching is occurring. The embodiment of FIG. 8 may be combined with one or more of the above-described embodiments, if desired. An embodiment of FIG. 8 comprises a lithographic apparatus configured to project a patterned beam of radiation onto a target portion of a substrate, the apparatus comprising an etch detector 110 comprising a detector body having a secondary electron emission surface 111, the surface configured to receive a radiation flux and to emit secondary electrons due to the receipt of the radiation flux, wherein a meter 13 is connected to the detector body 110 to detect a current or voltage resulting from the secondary electron emission, wherein the composition of the detector body varies 110, measured in a direction perpendicular from the electron emission surface 111. In an embodiment, a data processor 115 is provided to detect etching of the detector 110 from the current or voltage measured by the meter 13. For example, the detector 110, meter 13 and data processor 115 may be configured like the above-described examples thereof. In the present embodiment, since the composition of the detector body changes 110, measured in a direction perpendicular from the surface 111, etching of the surface 111 may be detected in a simple manner, real-time, for example by the data processor 115. For example, the detector body 110 may comprise: different layers consisting of different materials; at least one (compositionally) graded layer having a gradually changing composition; different graded layers; at least one layer having a thickness of about 1 nm or less; or any combination of the above. More particularly, the embodiment shown in FIG. 8 may comprise a multi-layer detector structure 110 and a meter 13 configured to measuring current or voltage induced by secondary electron emission from the detector surface 111. For example, as is shown in FIG. 9, the detector multi-layer structure 110 may comprise a substrate 110(S) comprising alternating layers 110(M1), 110(M2) of different materials (M1, M2), which materials (M1, M2) give a different secondary electron emission current when illuminated with the radiation R (see FIG. 8). For example, the multi-layer stack 110(M1), 110(M2) may comprise only first layers 110(M1) consisting of a first material, and second layers 110(M2) consisting of a second material that differs from the first material. It is also possible to apply more than 2 different layers. Each of the mentioned layers may be provided by one or more materials (for example a mixture). Also, as an example, the detector 110 may be provided with one or more intermediate strengthening layers (located between first and second layers 110(M1), 110(M2)), configured to reduce thermal expansion stress between the layers of different materials. As an example, a detector layer 110(M1), 110(M2) may comprise or consist of: a metal, carbon, Ruthenium, Molybdenum (Mo), a semiconductor, silicon, an oxide and/or other suitable materials, or any combination of the foregoing, as will be appreciated by the skilled person. In an example, the first layers may comprise silicon and the second layers comprise Molybdenum. In an embodiment, there may be provided compositionally graded layers in the detector structure 110. Here, the concentration of a certain element may continuously change as a function of depth, particularly such that variation of secondary electron emission will occur in the case of the above-described etching of the detector structure 110 (while the same radiation flux is applied to the detector structure 110 to induce such electron emission). An advantage of such an embodiment is that it allows the etching rate to be measured more continuously. In an embodiment, one or more optical components of the apparatus may be negatively affected by undesired etching processes, for example due to EUV induced background plasma in the system and ions emanating from the radiation source SO. As an example, ultimately, the lifetime of a radiation collector K may be limited by the etching/sputtering of ions. Thus, it is important to be able to monitor the amount of etching that occurs near the optics in the lithography tool. For example, the etch detector 110 may be placed near one or more optical elements in the apparatus that may suffer from etching, for example in one or more of the similar positions of the detectors 10 as shown in FIGS. 1-7. For example, the sensor 110 may be placed at an above-described intermediate focus in order to monitor the expected amount of etching of a multi-layer mirror downstream of the intermediate focus. In another example, the sensor may be placed near the source SO, or within the collector K, in order to monitor the etch rate of the collector. In an embodiment, there may be provided a multi-layer structure or stack, for example a multi-layer mirror element of a radiation collector, comprising different layers of different materials (for example a stack of silicon and Molybdenum layers), such that the different layers will provide different amounts of secondary electron emission upon exposure. In that case, following the above-described method, the amount of etching of the multi-layer collector element may be monitored in a simple manner, by detecting variation in the electron emission current or voltage due to subsequent etching away of subsequent stack layers. Particularly, it may be monitored how many of the mirror layers have already been etched away. In the above-described embodiments, illumination/irradiation of the detector (or monitor) 10 may be direct irradiation from radiation generated by the radiation source SO of the apparatus. However, this means that the detector 10 will block some of the radiation beam, which may sometimes not be possible/acceptable. In an embodiment, the detector (or monitor) 10 is placed near the radiation beam (but not directly within the beam). Radiation that is scattered within the system may then illuminate the detector surface 11, generating secondary emission. As has been mentioned, an alternative power source may also be provided to irradiate the surface 11 of the detector 10. In an embodiment, a vacuum may be characterized and/or controlled. For example, an EUV optical system (see FIG. 1) may be operated under optimized vacuum conditions that are currently controlled mainly by residual gas analyzer measurements. The vacuum conditions together with the illumination itself may be responsible for contamination of an optical surface, for example of a mirror surface of the above-described optics. The process of contamination of the optical surface may consist of both adsorbing of volatile and non-volatile organic and inorganic compounds and the interaction of these adsorbents at the optical surface with the incoming radiation. In an embodiment, advantageously, a dedicated method is proposed, wherein contaminant status of an optical surface (of an above-described optical component) is checked to draw detailed conclusions on the vacuum condition under which illumination has been performed. For example, this method may be indirect, but sensitive for vacuum qualification (at least much more sensitive than a residual gas analyzing method as described above), as well as direct for checking the contamination/degradation status of the observed optic, and with this the optics mean time between cleaning and of lifetime maybe determined. In this embodiment, one or more optical surfaces may be used in the vacuum environment to be checked. The one or more optical surfaces may be analyzed in situ or ex situ, for example after a certain monitoring period and desirably while being irradiated, to characterize the vacuum. The analysis may be carried out in various different ways, for example by outgassing the optic and detecting contaminants emanating from the optic and resulting from that outgassing. Another method includes determining reflectivity, in the case the optic is a mirror, or secondary electron (e.g., current) measurements. Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus and/or collector described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms “wafer” or “die” herein may be considered as synonymous with the more general terms “substrate” or “target portion”, respectively. The substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology tool and/or an inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer Ie, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers. The terms “radiation” and “beam” used herein encompass all types of electromagnetic radiation, including ultraviolet (UV) radiation (e.g. having a wavelength of or about 365, 355, 248, 193, 157 or 126 nm) and extreme ultra-violet (EUV) radiation (e.g. having a wavelength in the range of 5-20 nm), as well as particle beams, such as ion beams or electron beams. The term “lens”, where the context allows, may refer to anyone or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components. While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. For example, the invention may take the form of a computer program containing one or more sequences of machine-readable instructions describing a method as disclosed above, or a data storage medium (e.g. semiconductor memory, magnetic or optical disk) having such a computer program stored therein. The descriptions above are intended to be illustrative, not limiting. Thus, it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below. |
|
claims | 1. A standard component for length measurement, comprising a semiconductor component on which a pattern consisting of an array of first diffraction gratings whose pitch dimension is specified as an absolute dimension by an optical measurement method, whereinthe pattern has a structure that contains an array of second diffraction gratings different from the first diffraction grating in a predetermined cycle in a portion within the array of the first diffraction gratings. 2. A standard component for length measurement, comprising a semiconductor component on which a pattern consisting of an array of first diffraction gratings whose pitch dimension is specified as an absolute dimension by an optical measurement method, whereinthe pattern has a structure that contains an array of second diffraction grating that is different from the first diffraction gratings in terms of at least one of the length of a straight line part of the first diffraction grating, a pitch dimension of the array, and a direction in which the second diffraction gratings is repeated cyclically in such a way that the array of the second diffraction gratings is parallel to and within the array of the first diffraction gratings. 3. The standard component for length measurement according to claim 2, whereinthe pattern contains an array pattern whose minimum pitch dimension is equal to or less than 100 nm. 4. The standard component for length measurement according to claim 2, whereinthe first diffraction grating and the second diffraction grating have predetermined lengths and widths, respectively, and are arranged cyclically at predetermined intervals, respectively, and marks for specifying positions of the patterns are arranged in peripheral portions of the pattern. 5. The standard component for length measurement according to claim 2, wherein the semiconductor component is made up of a Si substrate, and the pattern is a depression-and-projection-shaped pattern that has surfaces of plane directions of the (110) plane and the (111) plane of the Si substrate. 6. A standard component for length measurement, comprising a semiconductor component on which plurality of patterns each consisting of an array of first diffraction gratings whose pitch dimension is specified as an absolute dimension by an optical measurement method, whereineach of the patterns has a structure that an array of second diffraction gratings different from the first diffraction gratings is arranged in a part within the array of the first diffraction gratings in a predetermined cycle. 7. The standard component for length measurement according to claim 6, whereineach of the patterns contains an array pattern whose minimum pitch dimension is equal to or less than 100 nm. 8. The standard component for length measurement according to claim 6, whereinthe plurality of patterns are arranged two-dimensionally and cyclically at predetermined intervals within a predetermined area, and marks for position detection are arranged between the adjacent patterns of the plurality of patterns. 9. The standard component for length measurement according to claim 6, whereinthe semiconductor component is made up of a Si substrate, and the pattern is a depression-and-projection-shaped pattern that has surfaces whose plane directions are the (110) plane and the (111) plane of the Si substrate. 10. A method for producing a standard component for length measurement, comprisingthe step of forming a pattern consisting of an array of first diffraction gratings whose pitch dimension is specified as an absolute dimension by an optical measurement method on a surface of a semiconductor component, and further comprising the step of forming an array of second diffraction gratings different from the first diffraction gratings in a predetermined cycle in a part within the array of the first diffraction gratings in the pattern by the electron-beam batch exposure method using a stencil mask. 11. The method for producing a standard component for length measurement according to claim 10, whereinthe pattern formed contains an array pattern whose minimum pitch dimension is equal to or less than 100 nm. 12. The method for producing a standard component for length measurement according to claim 10, whereina Si substrate is used as the semiconductor component, and the pattern formed is a depression-and-projection-shaped pattern that has surfaces whose plane directions are the (110) plane and the (111) plane of the Si substrate. 13. An electron-beam metrology system comprising:electron beam length measuring means for measuring processing dimensions of a sample by irradiating and scanning an electron beam; andcalibrating means for calibrating a dimension based on a secondary electron signal waveform obtained by scanning the electron beam on a standard component for length measurement; whereinthe standard component for length measurement has an array of first diffraction gratings whose pitch dimension was specified by an optical measurement method as an absolute dimension, and has a pattern that contains an array of second diffraction gratings different from the first diffraction gratings in a fixed period and within the array of the first diffraction gratings. 14. The electron-beam metrology system according to claim 13, wherein the pattern contains an array pattern whose minimum pitch dimension is equal to or less than 100 nm. 15. The method for producing a standard component for length measurement according to claim 13, whereina Si substrate is used as the semiconductor substrate, and the pattern is a depression-and-projection-shaped pattern that has surfaces whose plane directions are the (110) plane and the (111) plane of the Si substrate. |
|
description | 1. Field of the Invention The invention relates generally to the fields of cargo screening, non-intrusive inspection (NII), non-destructive testing (NDT), and, more particularly, to systems and methods for calibrating such devices. 2. Description of the Related Art Most currently available normalization filters for use with high energy imaging systems are referred to as “step wedge” devices. A step wedge is a single block of material which is cut into steps. Each step is a different thickness that may be placed into the radiation beam. To have many thicknesses one must have many steps. Since each step is in series the wedge is driven with a positioning system which can place each step in the beam. This requires a motion control capability. The step wedge does not perform any of the collimation functions necessary for operation of an imaging system and thus represents yet another component to the source assembly which adds bulk, weight and expense. Summary of the Problem In the NII and NDT art, the ultimate goal is to produce high quality images of the interior/contents of a target. It is known in the art to transmit a collimated electromagnetic radiation beam, e.g., x-ray or gamma, from a radiation source towards the target and collect the transmitted radiation after it has passed through the target at a detector array. The detected radiation can be used to infer line of sight density information about the target and its contents. Relative motion between the system and target is used to provide a multi-dimensional image. In order to produce an image which accurately represents the target's internal density distribution, it is necessary to calibrate the response of the detector array to the range of densities which may occur in the target and its contents. Such calibration is achieved by the prior art using a stepped block (or “step wedge”) of solid material, e.g., steel, that is moved in and out of the beam of the radiation source while readings are taken at the detector array. The set of step thicknesses is generally chosen to cover the range of total densities that the system is capable of imaging. For example, a system intended for cargo container inspection may employ thicknesses ranging from ¼″ of steel (typical container wall thickness), up to the maximum thickness of steel the system can inspect (e.g. 12″). When a target is scanned, the readings from the detector array are compared to the readings made of the step wedge in order to form an image based on the equivalent line of sight density of material in the target. The prior art presents a problem since the solid, steel block represents an extra component that must be included with the radiation source configuration. This component adds weight to the configuration and increases the size thereof, thus requiring additional shielding around the source configuration and further encroaching into the imaging lane where even a single foot is significant at, e.g., ports and border crossings, which utilize multiple traffic imaging lanes. Use of a step wedge requires prediction of the best thicknesses for the steps and once chosen, the user is limited to those thicknesses for insertion in the beam. The prior art step wedge offers no flexibility. Summary of the Solution A first exemplary embodiment of the present invention describes a system for calibrating a radiation imaging device. The system includes: a variable thickness filter consisting of multiple movable plates for attenuating radiation and a radiation detector for measuring variations in the attenuated radiation. A second exemplary embodiment of the present invention describes a method for calibrating an imaging device. The method includes: directing a radiation beam at a detector; passing the radiation through a variable thickness filter including multiple movable plates, the radiation remaining unattenuated when each of the multiple movable plates is in a first position; attenuating the radiation in one or more increments dependent on the total thickness of the multiple movable plates that are in a second position; and detecting the radiation at the detector. A third exemplary embodiment of the present invention describes a system for calibrating a radiation imaging device. The system includes: a variable thickness filter including multiple steps and a slit through a thickest section of the variable thickness radiation filter and a radiation detector for measuring variations in radiation passing through the variable thickness filter. The present invention is best described in the context of a larger device invention 10 for imaging the contents of a target, e.g., vehicle, shown in FIG. 1. The exemplary device 10 includes, among other components, a source assembly 15 and at least one detector 20 for measuring the intensity of radiation transmitted through the target 25. The source assembly 15 emits penetrating electromagnetic radiation, e.g., x-ray or gamma radiation. Included in source assembly 15 is a collimator subassembly 30 as shown in FIG. 2. The collimator subassembly 30 acts on x-ray or gamma radiation emitted from source 28. For example, in a particular embodiment, x-rays are generated by a betatron. Generally, the x-rays generated by the betatron result in a broad, cylindrically symmetric beam. The device 10 requires a narrow, fan-shaped beam of x-rays. Accordingly, the system utilizes a collimator, usually in the form of a slit, that shapes the beam. The slit is located in front of the x-ray source, e.g., betatron, as described further herein and creates a window that blocks the broad beam of x-rays except for those x-rays emitted in the desired pattern. The radiation is collected at detector 20 which, in a preferred embodiment, is an array of detectors. The larger device exemplified through FIG. 1 is but one system that may benefit from the invention described herein. Those skilled in the art recognized that other portal, gantry, rail and mobile imaging systems may incorporate the invention. FIGS. 3 and 4 illustrate details of the collimator subassembly 30 according to a preferred embodiment of the present invention. Referring specifically to FIG. 4 (top view of subassembly), the collimator subassembly 30 includes the following principal components: beam flattening filter 40, primary collimator 45, beam monitoring device 47, secondary collimator 55 composed of parts 55a-55j, and normalization and calibration filter 50 composed of parts 50a-50e. Beam flattening filter 40 is used to flatten the radiation beam. In general, the intensity of the radiation beam from an x-ray source is strongly peaked in the forward direction, decreasing strongly away from that direction. Furthermore, the intensity of the beam decreases with the distance from the source. The beam flattening filter 40 thickness is contoured to attenuate the radiation beam so that an approximately uniform radiation intensity is present on the full detector array 20. This is generally desirable for optimum performance of the device 10. The beam flattening filter 40 is formed of a suitable material such as copper or other appropriate materials known to those skilled in the art. Additionally, though shown in the exemplified embodiment as being located prior to the primary collimator 45 in the radiation beam path, the beam flattening filter may be located after the primary collimator 45 or, alternatively, co-located with the primary collimator 45. The primary collimator 45 has a main function of providing first, coarse collimation of the broad source beam. It is in the form of a monolithic block or a block built of multiple plates having a slot through which the desired radiation may pass. The primary collimator is formed of a suitable material or combination of materials, such as copper and lead. Located within the slit of the primary collimator is a beam monitoring detector 47. The beam monitoring detector 47 measures variability of the emitted radiation beam strength and is used to compensate signals measured in the detector array 20 for these variations. The detector 47 may be of the same or similar construction to the individual detectors comprising the detector array 20 of the overall device, e.g., plastic scintillator, NaI, or other detectors well known to those skilled in the art for the detection of x-rays or gamma radiation. The final two major components of collimator subassembly 30 are co-located. A variable thickness normalization and calibration filter 50 is comprised of individual plates having a range of thicknesses of an appropriate material, e.g., copper. By way of example, five different filter plates 50a-50e are shown in FIG. 4 ranging in size from 4.0 inches to 0.25 inches in thickness. Each filter plate 50a-50e includes a slit 52 in the center thereof which can be co-located with the slits in all other major components of the collimator subassembly 30. When so aligned, the beam passes through the normalization and calibration filter 50 unimpeded. Alternatively, the thickness of each plate may be moved in and out of the radiation beam path by virtue of actuators. For example, the filter plates may be supported by bearing rods allowing the plates to slide when acted upon by e.g., pneumatic piston actuators. For example, actuator 54a moves filter plate 50a in and out of the radiation beam path in the direction Y supported by rods 53a(i-iv). Similarly, actuator 54b moves 50b and rods 53b(i-iv); actuator 54c moves 50c and rods 53c(i-iv); actuator 54d moves 50d and rods 53d(i-iv); and actuator 54e moves 50e and rods 53e(i-iv). A single actuator serves to move each filter plate in both directions. This is exemplified most readily in FIG. 3. Alternatively, there may be duplicate actuators on either side of the subassembly, one each to push the filter plate in opposite directions. Any combination of components known to those skilled in the art for positioning the filter plates between positions of calibration and collimation is contemplated. The combination of filter plates allows for variable thickness within the beam path ranging from 0.25 inches to 7.75 inches in 0.25 inch increments. This is but one exemplary configuration for the variable thickness normalization filter 50. In alternative embodiments, the number and thicknesses of filter plates may be increased or decreased. In this way, the total thickness range and actual set of available thicknesses are appropriate to the performance range of the device. For example, an 8 inch filter could be added to the exemplary system to provide thicknesses from 0.25 inches to 15.75 inches if that were the maximum performance of the larger device. Said a different way, as the performance range of transmission imaging devices increases, the present invention contemplates additional or thicker filter plates to cover the increased range. Further, while the increments are exemplified as 0.25 inches, one skilled in the art recognizes that this is variable. Further still, referring to the directional grid on FIG. 4, the filter plates extend in the Z direction as well as the X direction like the radiation beam 35 which expands in a fan shape from its source along the Z axis (See FIG. 5). Accordingly, the filter plates 50a-50e could be modified in shape and thickness, in order to best perform the normalization function. For example, the filter plates could be in parabolic, radius or stepped thickness profiles. Optimization can also be achieved by varying the material comprising the filter plates, i.e., steel, copper, lead, aluminum etc. A still further embodiment of the invention contemplates a filter plate or set of filter plates, each having three possible positions: an open position for collimation, a first calibration position wherein the filter plate portion in the beam path is comprised of a low or moderate atomic number material (e.g., copper), a second calibration position wherein the filter plate portion in the beam path is comprised of a high atomic number material (e.g., tungsten). This allows for calibration of imaging systems which offer the ability to image and identify materials by their atomic number. This embodiment further includes the possibility of filter plates having more than three positions comprising an open position and multiple different atomic number materials. This embodiment would be accompanied by a set of actuators, e.g. stepper motors, capable of positioning the filter plates in each of the multiple positions. Further still, the filter plates could provide for the same approximate attenuation when the filter plates are in different positions, depending on the thicknesses and material compositions of the plates in each position. Co-located with the variable thickness normalization filter 50 is a secondary collimator 55 which produces the final shape of the radiation beam. The secondary collimator 55 is formed of a suitable material such as copper, steel, lead, tungsten or the like. In the embodiment shown, the secondary collimator 55 is formed of multiple stacked plates of approximately equal thickness 55a-55j. But the secondary collimator could be formed of a single, continuous block of material The co-location feature of the present invention allows for a more compact overall source subassembly, which in turn reduces the amount of massive shielding that is required for high energy radiation sources and allows the source to be placed closer to the target and detector array. As some scattering of radiation results from the secondary collimator, a post-collimator or scatter trap (not shown) may also be incorporated as part of the source subassembly. The post-collimator incorporates an opening slit somewhat wider than the opening slit of the second collimator. The post-collimator slit is of sufficient width to trap scattered radiation from the exit of the secondary collimator, but not impinge on the collimating beam thereby becoming an additional source of scatter. The post-collimator is formed of a suitable material such as copper, lead, or tungsten. Referring to FIG. 5, a partially cut-away side view of the collimator subassembly 30 is shown, illustrating a side view of the beam flattening filter 40, the primary collimator 45, variable thickness normalization filter 50, secondary collimator 55 and illustrating the path of the radiation fan beam 35. As an alternative to the variable thickness normalization filter 50 described and shown in FIG. 4, the present invention also contemplates using a fixed step wedge filter having a slit through the thickest section, such that the wedge can be co-located with the remaining components during imaging. Additionally, one skilled in the art appreciates that a combination of fixed step wedge and variable thickness filters as described above could be employed in a single collimator subassembly configuration depending on the needs and design specifications of the imaging system. One skilled in the art recognizes the various implicit alternatives to the embodiments described herein that fall within the scope of the invention as described. The alternatives are intended to be included within the scope of the invention. |
|
summary | ||
summary | ||
abstract | System and methods are disclosed for controlling a nuclear reactor that uses fuel having plutonium-239. The nuclear reactor includes a neutron moderator, such as ZrH1.6, which behaves as an Einstein oscillator and increases the energy of thermal neutrons into the Pu-239 neutron absorption resonance as the temperature of the nuclear reactor increases. A neutron absorbing element with neutron absorption around 0.3 eV is added to the nuclear reactor to suppress any reactivity gain that can occur due to the increase in temperature. The amount of the neutron absorbing element to be added to the nuclear reactor is calculated such that the reactivity gain that may occur at any time during the life of the fuel is suppressed. |
|
claims | 1. A filter changing assembly comprising:a plurality of radiation filters comprising:a plurality of shape filters operable for shaping a radiation beam; anda plurality of beam hardening filters operable for affecting the energy spectrum of said radiation beam; anda control system coupled to said plurality of radiation filters and operable for selecting a first filter from said plurality of radiation filters and for automatically moving said first filter from one position to another position, wherein said control system is also operable for selecting a second filter from said plurality of radiation filters and for automatically moving said first and second filters such that said first and second filters are used together to filter said radiation beam, wherein said first filter is one of said shape filters and said second filter is one of said beam hardening filters. 2. The assembly of claim 1 further comprising:a motor operable for moving said radiation filters; anda position detector operable for monitoring positions of said radiation filters. 3. The assembly of claim 1 further comprising a guide upon which said radiation filters slide back-and-forth. 4. The assembly of claim 1 further comprising a carousel upon which said radiation filters are rotated in and out of position. 5. A radiology system comprising:a surface for supporting a subject;a radiation source coupled to a gantry, wherein said gantry is moveable about said surface and wherein said radiation source is operable for projecting a beam of radiation toward said subject;a detector operable for sensing radiation that passes through said subject; anda filter changing assembly coupled to said gantry and comprising a plurality of filters, wherein said filter changing assembly is operable for selecting a first filter from said plurality of filters and for automatically moving said first filter into and out of a position for filtering said beam between said radiation source and said subject, wherein further said filter changing assembly is operable for selecting a second filter from said plurality of filters and for automatically moving said second filter into and out of a position for filtering said beam between said radiation source and said subject, wherein said first filter is a shape filter for shaping said beam and said second filter is a beam hardening filter for affecting the energy spectrum of said beam, and wherein said first and second filters are used together to filter said beam. 6. The system of claim 5 further comprising a control system operable for selecting said first filter and said second filter in response to a control signal, wherein said control signal is produced in response to a user-generated command that is input from a location outside a room that houses said radiology system. 7. The system of claim 5 further comprising a control system operable for positioning said first filter and said second signal in response to a control signal, wherein said control signal is produced in response to a user-generated command that is input from a location outside a room that houses said radiology system. 8. The system of claim 5 wherein said filters move in the axial direction relative to the orientation of said radiation source. 9. The system of claim 5 wherein said filters move in the radial direction relative to the orientation of said radiation source. 10. The system of claim 5 further comprising:a motor operable for moving said filters; anda position detector operable for monitoring positions of said filters. 11. The system of claim 5 wherein said radiation source comprises an x-ray tube and wherein said system comprises a cone beam computed tomography system. 12. A method of operating a radiology system, said method comprising:receiving a control signal indicative of a type of radiation procedure;in response to said control signal, selecting a first filter from a plurality of filters, wherein said plurality of filters comprises a first plurality of shape filters operable for shaping a radiation beam and a second plurality of beam hardening filters operable for changing the energy spectrum of said radiation beam;automatically causing said first filter to move from its respective storage position to its respective filtering position or an intermediate position;selecting a second filter from said plurality of filters; andautomatically causing said second filter to move from its respective storage position to its respective filtering position, wherein said first filter is one of said shape filters and said second filter is one of said beam hardening filters, and wherein said first and second filters are used together to filter said radiation beam. 13. The method of claim 12 wherein said control signal is produced in response to a user-generated command that is input from a location outside a room that houses said radiology system. 14. The method of claim 12 further comprising:identifying said type of procedure; andautomatically selecting said first filter and said second filter and their respective filtering positions according to said type of procedure. 15. The method of claim 12 wherein said shape filters are moveable back-and-forth between i) a first filtering position that is between a radiation source and a target and ii) a first storage position that is outside of said radiation beam's path, and wherein said beam hardening filters are moveable back-and-forth between i) a second filtering position that is between said radiation source and said target and ii) a second storage position that is outside of said radiation beam's path. |
|
claims | 1. A method for operating a computed tomography apparatus having an x-ray radiator, which emits an x-ray beam from a focus, a radiation detector at which said x-ray beam is incident on an active detection field of said radiation detector and a diaphragm disposed proximate said radiation detector in said x-ray beam, said diaphragm having oppositely disposed absorber elements linearly movable in said diaphragm toward and away from each other, each of said absorber elements being curved in said x-ray beam relative to said focus, and a movable support adapted to receive an examination subject thereon, said method comprising the steps of:acquiring computed tomography data from the examination subject in a spiral scan of said subject by rotating said x-ray source and said radiation detector around said subject and around a system axis while irradiating said examination subject with said x-ray beam, and while moving said support with the examination subject thereon through said x-ray beam in a direction substantially parallel to said system axis; andduring at least one of a beginning of said spiral scan or an end of said spiral scan, reducing exposure of said examination subject to said x-ray beam by dynamically varying a spacing between said absorber elements by asymmetrically displacing said absorber elements independently of each other in said diaphragm with respect to a line connecting said focus and a center of said active detection field. 2. A method as claimed in claim 1 comprising, before said beginning of said spiral scan, placing one of said absorber elements in a completely closed position in said diaphragm relative to said line, and placing the other of said absorber elements in a completely open position in said diaphragm relative to said line. 3. A method as claimed in claim 2 comprising after said beginning of said scan, opening said absorber element in said closed position in synchronization with said movement of said patient support during said spiral scan. 4. A method as claimed in claim 2 comprising, before an end of said spiral scan, closing said absorber element in said open position in synchronization with said movement of said support. 5. A method as claimed in claim 1 comprising displacing a first of said absorber elements in said diaphragm with a first drive connected to said first of said absorber elements, and displacing a second of said absorber elements in said diaphragm with a second drive, operated independently of said first drive, connected to said second of said absorber elements. 6. A method as claimed in claim 5 comprising mounting the respective absorber elements in said diaphragm for linear movement thereof by said first and second drives. 7. A method as claimed in claim 6 comprising moving said first of said absorber elements in said diaphragm along a first linear guide in said diaphragm and moving said second of said absorber elements along a second linear guide in said diaphragm. 8. A method as claimed in claim 6 comprising employing a first linear motor as said first drive and employing a second linear motor as said second drive. 9. A method as claimed in claim 1 comprising curving each of said absorber elements in a plane perpendicular to said system axis. 10. A method as claimed in claim 1 comprising curving each of said absorber elements along an arc of a circle having a center coinciding with said focus of said x-ray radiator. 11. A method as claimed in claim 1 comprising offsetting said absorber elements with respect to each other along said line by curving said absorber elements with respectively different curvature radii. 12. A method as claimed in claim 11 comprising differing said curvature radii from each other in amount in a range between 0.5% and 10% of an offset spacing between said absorber elements along said line. |
|
041486854 | description | DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS According to the present invention the total or long term shutdown of the nuclear reactor will be effected by a first shutdown system, which comprises absorber rods, insertable from the top into the fuel element pile, and the partial or rapid shutdown of the reactor will be effected by a second shutdown system, independent on the first, which comprises absorber rods which are movable in the top reflector and in the space formed between the top reflector and the fuel element pile. The fine adjustment and rapid load adjustment of the nuclear reactor is effected by an adjustment system, which consists of absorber rods which are inserted and movable in the side reflector. Thus, in the process according to the invention, there are used two shutdown systems. One is represented by the shutdown device known from the prior art having absorber rods which are freely insertable into the fuel element pile. The second shutdown system comprises absorber rods, which are movable only above the fuel element pile. Both systems are independent from each other and are equipped with different operating mechanisms. Furthermore, there is arranged in the side reflector a ring of absorber rods, which accepts adjustment tasks. These absorber rods are--apart from an exception described later--always inserted in the side reflector and thus, up to a depth of 70%. They decrease the neutron flux in the side reflector and thereby protect the graphite from receiving too high of a neutron dose. The upper final position of the absorber rods of the first shutdown system lies approximately 50 cm above the lower edge of the top reflector. For the total or long term shutdown, these absorber rods extend deeply into the combustion element pile. They are released either automatically or manually. Due to the large amount of force to be applied during the insertion and withdrawal of the absorber rods into or out of the reactor core, it is most favorable to use a pneumatic drive for these rods. The partial or rapid shutdown system is arranged in the top reflector and is equipped with electric drives. As a result of the axial power distribution prevailing in nuclear reactors characterized by a single pass of the combustion elements, namely, those having a maximum in the upper third of the core, more than 4% .DELTA.K/K efficiency can be reached by movement of the absorber rods of that shutdown system (.DELTA.K/K is the deviation in percent from the multiplication factor K, measured as a percentage of this factor K). The efficiency of the second shutdown system is dependent on the surface area of each absorber rod, on the number of rods, on the rod pattern and on the height of the free space above the fuel element pile. In the partial or rapid shutdown, it must be possible to compensate for at least the reactivity existing due to a malfunction. In the case of rod accidents and water entry a malfunction excess reactivity of maximum approx. 1.5% .DELTA.K/K arises. Therefore, altogether a total efficiency of 2.5% .DELTA.K/K of the second shutdown system is sufficient for the partial or rapid shutdown. The devices for the after-heat removal are designed accordingly, so that the nuclear reactor will remain subcritical for more than an hour, also under unfavorable circumstances (e.g., no Xenon-135 formation to support the shutdown). The efficiency of the second shutdown system increases with larger nuclear reactors, since nuclear reactors with spherical fuel elements are constructed with constant core depth (approx. 5-6 m), due to the high load of the rods inserted directly in the core, and therefore an increase in power will be realized by using a larger radius. In these reactors, the proportion of the neutrons which escape laterally above the fuel element pile into the side reflector which is equipped with absorber rods becomes increasingly smaller as the radius becomes larger. As already mentioned, the absorber rods of the adjustment system are nearly always inserted in the side reflector. In case of a full load, they are always in their normal position, inserted approximately 1/2-3/4 of the depth of the openings in the side reflector. They thereby bind to the Xenon-135 compensation the excess reactivity necessary for the rapid load change from full load to partial load and decrease the neutron flux in the side reflector, and thus, protect it from too high a dose. During the rapid loading change from full load to partial load they are moved out only temporarily; however, since this is effected with a decreased load in such cases of operation the reflector is likewise not exposed to a higher neutron radiation, because the neutron flux decreases proportionally with the power. Also in large nuclear reactors up to 1500 MW, it is still possible to attain reflector efficiencies of approximately 2-2.5% .DELTA.K/K, so that the absorber rods of the adjustment system can still take over the fine adjustment. Since the reflector rods in their normal position are inserted at most up to 3/4 of their length in the side reflector, it is possible for fuel elements, which are exposed at first to small neutron flux near the side reflector, to afterburn in the lower part of the reactor core, whereby a constant radial outlet profile of the gas temperature will be maintained. If the efficiency of the absorber rods of the adjustment system is not sufficient, it is possible for additional selected absorber rods of the second shutdown system to take over adjustment tasks, e.g., in the rapid loading adjustment. Since the second shutdown system can supply enough efficiency in case of appropriate design, some absorber rods of that system can be reserved for the adjustment task. Advantageously, these reserved rods of the second shutdown system are applied for the rapid loading increase from partial load to full load, whereby a selected ring of absorber rods is used, which ring is advantageously situated at half of the radius of the reflector core. For the rapid loading decrease from full load to partial load, the absorber rods of the adjustment system are combined advantageously in groups and are always operated in such a way that all absorber rods have nearly the same insertion depth. This is effected in such a manner that a first group of absorber rods is at first moved upwardly approximately 10 cm, afterwards a second group so on until all groups have been moved upwardly by this distance. Then, it will start over again with the first group, until all groups have moved the same distance in the same direction. In this manner a quasi-rod-bank-movement of all absorber rods of the adjustment system can be achieved. The drives of the absorber rods are preferably designed as electric drives. For a load decrease from 100%-40%, an efficiency of 1.8% .DELTA.K/K is necessary. As already mentioned, an efficiency of 2-2.5% can be achieved with the absorber rods of the adjustment system; thus, there is still enough efficiency remaining for the fine adjustment. If more efficiency exists, the rods of the adjustment system can also be applied for the rapid loading increase. For the excess reactivity of approximately 3.5% .DELTA.K/K from Pa-U-233 conversion, which arises after a long term shutdown and which can be reduced again only over a long period of time (during months), a ring of absorber rods of the first shutdown system is inserted into the reactor core. As already described, the absorber rods of the second shutdown system are equipped with electrical drives. In this regard, several absorber rods (up to three rods are suitably coupled in each case by a chain or a rope to a drive. The absorber rods are made advantageously of boron steel and have a Y-shaped cross-section. Other cross-section configurations are also usable; but a rod with a Y-shaped cross-section has the advantage of a large surface with a small cross-sectional area, so that a sufficient efficiency of the top reflector will be kept, if the absorber rods are in their upper final position (approx. 50 cm above the upper reflector edge). It is advantageous to provide the absorber rods of the second shutdown system at their upper end with a projection which is coupled with a shock absorber. The projection is formed in such a way that the absorber rods will be caught in their lower end position, in case of a rope- or chain failure, and thus, they cannot sink into the fuel element pile. Turning now to the drawings, FIG. 1 shows a gas cooled nuclear reactor, comprising essentially a prestressed concrete vessel 1 which encloses a reactor core 2. The core 2 consists of a pile of spherical fuel elements 3, which are introduced into the core 2 by means of a feeding device (not shown in the drawing) and which leave the core through a discharge duct 5. The nuclear reactor is operated in the so-called single pass process, i.e., only fresh fuel elements are introduced into the core 2, and they are completely consumed after one pass through the core, whereupon they are removed from the core. The core 2 itself is surrounded by a reflector which is formed by a top reflector 6, a cylindrical side reflector 7 and a bottom reflector 8. The pile of fuel elements 3 is traversed from the top to the bottom by coolant gas, which is marked by arrows (the introduction and the removal of the coolant gas is not further shown). The shutdown of the nuclear reactor is effected by means of two shutdown systems. The first shutdown system, used for the total- or long-term shutdown, comprises a number of absorber rods 9 which are inserted directly into the fuel element pile 3. The second shutdown system, provided for the partial or rapid shutdown, consists of absorber rods 10 which are arranged in the top reflector 6 and can only be moved within that reflector and within the space 11 above the fuel element pile 3. The absorber rods 9 are pneumatically operated, and the absorber rods 10 electrically operated. The drives of the both shutdown systems are independent of each other. The adjustment and control of the nuclear reactor is effected by means of an adjustment system, comprising a number of absorber rods 12 which are arranged in the wall of the side reflector 7. These rods are moved in special openings 13 in the side reflector 7. In their normal position they are inserted approximately 70%. They are also equipped with electrical drive means. The absorber rods 12 take over the fine adjustment task and the load reduction from full load to partial load. During the load increase from partial load to full load, they are assisted by some absorber rods 10, located in the top reflector 6, as will be described hereinbelow. In FIG. 2, the positions of the absorber rods 9 of the first shutdown system as well as those of the absorber rods 12 of the adjustment system are shown. The absorber rods 9 are distributed across the total cross-section of the core 2 according to the illustrated pattern. The absorber rods 12 are arranged on a circle in the side reflector 7, spaced equidistantly. In FIG. 3 the positions of the absorber rods 10 of the second shutdown system are shown. They are located between the absorber rods 9 and are distributed over the cross-section of the top reflector 6 according to a similar pattern. These absorber rods have a Y-shaped cross-section and move in openings 14 of corresponding shape in graphite blocks 15 of which top reflector 6 is formed. Openings 16 with round cross sections are provided for the absorber rods 9 in the top reflector 6. For example, considering a 1000-MWe-nuclear reactor with a power density of 10 W/cm.sup.3, the core has a radius of 3.63 m and a depth of 6.0 m. Seventy-two absorber rods 9 of the first shutdown system, having a diameter of 10 cm. are distributed across the core 2. Between them, there are seventy-two absorber rods 10 of the second shutdown system in the top reflector 6; these rods have an edge length of 10 cm and a slab thickness of 1 cm. The space 11 which is below the top reflector 6 and is free of fuel elements is 1.25 m high. In the side reflector 7 are provided forty-eight absorber rods 12 of the adjustment system. They are combined in groups of six absorber rods each and have a diameter of 10 cm. The absorber rods 12 of the adjustment system produce 3.5% .DELTA.K/K. The absorber rods 9 of the first shutdown system produce 24% .DELTA.K/K and the absorber rods 10 of the second shutdown system produce 5% .DELTA.K/K shutdown reactivity. For the rapid loading adjustment from full load to partial load (100%-40%) 1.8% .DELTA.K/K are necessary. To this, there is added a need for reactivity of 0.5% .DELTA.K/K for the fine adjustment and a further 0.5% .DELTA.K/K for compensation of fluctuations in the feeding process. Thus, there is available a shutdown reactivity of only 0.7% in the absorber rods 12 of the adjustment system. That reactivity is applied for the rapid loading adjustment from partial load to full load (40%-100%), for which there is altogether necessary approximately 1.3% .DELTA.K/K. In order to be able to compensate the remaining 0.6% .DELTA.K/K, there is reserved a ring of six absorber rods 10 of the second shutdown system. Since a total efficiency of the second shutdown system of 2.5% .DELTA.K/K will be sufficient for the partial shutdown, a reservation of absorber rods 10 of this shutdown system for the rapid loading increase is possible. |
claims | 1. A projection lens for imaging a pattern, arranged in an object plane, onto an image plane employing electromagnetic radiation from the extreme ultraviolet spectral region, the projection lens comprising:a plurality of imaging mirrors, each having a reflective coating, the plurality of mirrors defining an optical axis of the projection lens;wherein the plurality of mirrors are disposed between the object plane and the image plane and are aligned for projection of an off-axis scanning field from the object plane onto the image plane, the scanning field defining a plane including a scanning direction and a cross-scan direction perpendicular to the scanning direction;wherein at least one of the plurality of mirrors has a graded, reflective coating; andwherein a field uniformity of the off-axis scanning field, measured in the cross-scan direction, is about 2.5% or less. 2. The projection lens according to claim 1, wherein the field uniformity of the off-axis scanning field, measured in the cross-scan direction, is about 1.6% or less. 3. The projection lens according to claim 1, wherein the field uniformity of the off-axis scanning field, measured in the cross-scan direction, is about 0.4% or less. 4. The projection lens according to claim 1, wherein the scanning field has an extension of about 26 mm in the cross-scan direction. 5. The projection lens according to claim 1, wherein the plurality of mirrors are disposed such that each of the plurality of mirrors is irradiated over a range of angles of incidence, wherein at least the mirror having the largest range of angles of incidence has a graded reflective coating. 6. The projection lens according to claim 5, wherein the mirror having the largest range of angles of incidence has a graded reflective coating, wherein a film thickness gradient of the graded reflective coating is rotationally symmetric with respect to a coating axis, and wherein the coating axis is substantially coincident with the optical axis of the projection lens. 7. The projection lens according to claim 1, wherein at least one of the plurality of mirrors has a graded reflective coating which is rotationally symmetric with respect to a coating axis, and wherein the coating axis is acentric with respect to the optical axis of the projection lens. 8. The projection lens according to claim 7, wherein the coating axis is acentric in the scanning direction with respect to the optical axis of the projection lens. 9. The projection lens according to claim 1, wherein at least a first mirror and a second mirror of the plurality of mirrors each have a graded reflective coating, wherein a gradient in the thickness of the graded reflective coating of the first mirror and a gradient in the thickness of the graded reflective coating of the second mirror are adapted such that the coating of the first mirror and the coating of the second mirror together yield a pupil-irradiance distribution that is substantially rotationally symmetric. 10. The projection lens according to claim 9, wherein the graded reflective coating of the first mirror is rotationally symmetric with respect to a coating axis of the first mirror and the graded reflective coating of the second mirror is rotationally symmetric with respect to a coating axis of the second mirror, and wherein the coating axis of the first mirror and the coating axis of the second mirror are substantially coincident with the optical axis of the projection lens. 11. The projection lens according to claim 9, wherein the graded reflective coating of the first mirror is rotationally symmetric with respect to a coating axis of the first mirror and the graded reflective coating of the second mirror is rotationally symmetric with respect to a coating axis of the second mirror, and wherein the coating axis of the first mirror and the coating axis of the second mirror are each acentric with respect to the optical axis of the projection lens. 12. The projection lens according to claim 1, wherein the plurality of mirrors are disposed such that an intermediate image is formed between the object plane and the image plane. 13. The projection lens according to claim 1, wherein the projection lens has an image-side numerical aperture NA>0.2. 14. The projection lens according to claim 1, wherein the plurality of mirrors comprises more than 5 mirrors. 15. The projection lens according to claim 1, wherein the plurality of mirrors are six mirrors. 16. A projection exposure system for use in microlithography employing electromagnetic radiation from the extreme ultraviolet spectral region, the projection exposure system comprising:an illumination system; anda projection lens according to claim 1. 17. A method of fabricating microdevices, the method comprising:providing a mask having a prescribed pattern;illuminating the mask with electromagnetic radiation from the extreme ultraviolet spectral region; andprojecting, via the projection lens according to claim 1, an image of the pattern onto a photosensitive substrate disposed in an image plane of the projection lens. |
|
summary | ||
056663960 | summary | BACKGROUND OF THE INVENTION 1. Field of the Invention The invention relates to an X-ray examination apparatus, including an X-ray source, an X-ray detector and an X-ray filter which is arranged between the X-ray source and the X-ray detector and includes a plurality of filter elements having an X-ray absorptivity which can be adjusted by controlling a quantity of X-ray absorbing liquid within the individual filter elements. The invention also relates to a method of setting an X-ray examination apparatus, involving the adjustment of the X-ray absorptivity of filter elements of an X-ray filter by controlling a quantity of X-ray absorbing liquid within the individual filter elements. 2. Description of the Related Art An X-ray examination apparatus and a method of this kind are known from French Patent Application FR 2 599 886. The known X-ray examination apparatus comprises a filter for limiting the dynamic range of an X-ray image, being the interval between the extremes of the brightness values. An X-ray image is formed on the X-ray detector by arranging an object, for example a patient to be examined, between the X-ray source and the X-ray detector and by irradiating said object by means of X-rays emitted by the X-ray source. If no steps are taken, the dynamic range of the X-ray image may be large. On the one hand, for some parts of the object, for example lung tissue, the X-ray transmittance is high whereas other parts of the object, for example bone tissue, can hardly be penetrated by X-rays. If no further steps are taken, therefore, an X-ray image is obtained with a large dynamic range whereas, for example, medically relevant information in the X-ray image is contained in brightness variations in a much smaller dynamic range; because it is not very possible to make small details of low contrast nimbly visible in a rendition of such an X-ray image, the image is not very well suitable for making a diagnosis. If, using an image-intensifier pick-up chain, the X-ray image is converted into an optical image which is picked up by means of video camera, the dynamic range of the optical image could be larger than the range of brightness values that can be handled by the video camera without causing disturbances in the electronic image signal. In order to limit the dynamic range of the X-ray image the known X-ray examination apparatus comprises a filter with filter elements provided with a bundle of parallel capillary tubes, each of which is connected, via a valve, to a reservoir containing an X-ray absorbing liquid which suitably wets the inner walls of the capillary tubes. In order to fill a capillary tube with the X-ray absorbing liquid, the valve of the relevant capillary tube is opened, after which the capillary tube is filled with the X-ray absorbing liquid by the capillary effect. Such a filled capillary tube has a high absorptivity for X-rays passing through such a filled capillary tube in a direction approximately parallel to its longitudinal direction. The valves are controlled so as to ensure that the amount of X-ray absorbing liquid in the capillary tubes is adjusted in such a manner that in parts of the X-ray beam which pass through object parts of low absorptivity filter elements are adjusted to a high X-ray absorptivity and that filter elements in parts of the X-ray beam which pass through object parts of high absorptivity or are intercepted by a lead shutter are adjusted to a low X-ray absorptivity. In order to change the setting of the filter of the known X-ray examination apparatus it is necessary to empty filled capillary tubes first. Therefore, use is made of a paramagnetic X-ray absorbing liquid which is removed from the capillary tubes by application of a magnetic field. After all capillary tubes have been emptied, the filter is adjusted anew by de-activation of the magnetic field and by subsequently opening valves of capillary tubes which are filled with the X-ray absorbing liquid so as to adjust these tubes to a high X-ray absorptivity in the new filter setting. Consequently, it is not very possible to change the setting of the known filter within a brief period of time, for example one second. Therefore, the known X-ray apparatus is not suitable for the formation of successive X-ray images at a high image rate where the setting of the filter is changed between the formation of successive X-ray images. Control of the quantity of X-ray absorbing liquid in the capillary tubes necessitates accurate control of the period of time during which the valves are open; however, because the mechanical driving of the valves involves, for example inertia and play, fast and accurate control of the quantity of X-ray absorbing liquid in the capillary tubes is not very well possible. An object of the invention is to provide an X-ray examination apparatus which comprises an X-ray filter which can be adjusted more quickly and more accurately than the known filter. To this end, an X-ray examination apparatus in accordance with the invention is characterized in that it comprises an adjusting unit for applying an electric voltage to the individual filter elements, which adjusting unit comprises a timer unit for controlling the period of time during which the electric voltage is applied to the filter elements. The relative quantity of liquid is to be understood to mean herein the quantity of liquid in such a filter element compared to the quantity of liquid in the relevant filter element when it is completely filled with the liquid. The electric voltage applied to a filter element influences the adhesion of the X-ray absorbing liquid to the inner side of the relevant filter element and this adhesion determines the degree of filling of the filter element with the X-ray absorbing liquid. The relative quantity of X-ray absorbing liquid in individual filter elements is controlled on the basis of the electric voltages applied to individual filter elements. As the electric voltage is applied to such a filter element for a longer period of time, the relative quantity of X-ray absorbing liquid in the relevant filter element increases and hence the X-ray absorptivity of said filter element also increases. Depending on the period of time during which the electric voltage is applied, electric current is applied to a filter element which is thus electrically charged. The relative quantity of liquid in the relevant filter element, and hence the X-ray absorptivity, is dependent on the electric charge on the relevant filter element. Because the period of time during which the electric voltage is applied to the individual filter elements can be accurately controlled, the relative quantity of X-ray absorbing liquid can be accurately controlled and hence also the X-ray absorptivity of the individual filter elements. In order to change the setting of the X-ray absorptivity of the filter elements it is not necessary to empty the filter elements first, so that changing the setting of the filter requires a short time only, such as one or a few seconds. A preferred embodiment of an X-ray examination apparatus in accordance with the invention is characterized in that the timer unit is arranged to apply the electric voltage to individual groups of filter elements during a continuous period of said controllable duration. As soon as the electric voltage is applied to a filter element, the X-ray absorbing liquid adheres to the inner side of said filter element so that the latter is fired with the X-ray absorbing liquid; filling continues, for as long as the electric voltage is applied, until, if desired, the filter element has been completely filled. As soon as the electric voltage is switched off, the adhesion no longer increases so that the filter element is not filled further. The filter setting is realized by a simple switching procedure by applying the electric voltage to individual groups of filter elements for a continuous period of time of desired duration. If differences are required between the X-ray absorptivities of individual, single filter elements, such a group of filter elements may also comprise a single filter element. Another simple switching procedure concerns the application of the electric voltage to groups of filter elements within a continuous period of time in which the electric voltages are applied to individual filter elements wig such a group during periods of time of different lengths. In an X-ray filter comprising a matrix of filter elements such a group is formed, for example by a row or column of filter elements. In this example filter elements are driven per row or per column within individual, continuous periods. A further preferred embodiment of an X-ray examination apparatus in accordance with the invention is characterized in that the timer unit is arranged to apply the electric voltage alternately to individual groups of filter elements, repeatedly during separate sub-periods. The flowing of X-ray absorbing liquid into the filter elements requires electric work which is supplied by the electric charging of a capacitor formed by the filter element whose capacitance varies as a function of the relative quantity of X-ray absorbing liquid in the relevant filter element. Because of the inertia of the flowing in of the X-ray absorbing liquid, the electric work cannot be performed within an arbitrarily short period of time. By delivering the charge to groups of individual filter elements in a number of time discrete fractions, individual groups, for example rows or columns, are at least partly simultaneously filled with the X-ray absorbing liquid. Because individual groups are filled with X-ray absorbing liquid in parallel instead of serially, individual filter elements are effectively given more time so as to be filled with the X-ray absorbing liquid, but the total adjusting time of the filter is not prolonged. According to this method of setting the filter, the filter elements are more or less simultaneously adjusted so that the rendition of the X-ray image can be suitably used for diagnostic purposes also during the setting of the filter. These and other aspects of the invention will be apparent from and elucidated with reference to the embodiments described hereinafter. |
abstract | An X-ray diagnostic device has an X-ray tube and a diaphragm housing in which diaphragm plates for limiting an X-ray field are contained, as are a lamp and a mirror. The lamp and mirror are configured so that, when the lamp is on, the light therefrom is reflected by the mirror so that the reflected ray field coincides with the hypothetical X-ray field. At least one filter for filtering the X-rays is disposed in the diaphragm housing. The mirror and the filter or filters are attached to a single disk that rotates around an axis such that either the mirror or a filter is within the hypothetical X-ray field. |
|
summary | ||
abstract | A grid strap includes a spacer grid spring that increases the conformal contact area with a fuel rod of a nuclear fuel rod assembly. The shape of the spacer grid spring is optimized, thereby increasing the elasticity of the spacer grid spring contacting the fuel rod, expanding the conformal contact area with a contact portion between the spacer grid spring and fuel rod to obtain uniform stress distribution, and reducing excessive plastic deformation of the spacer grid spring. Further, magnitude and distribution of contact pressure between the fuel rod and the spacer grid spring are improved, thereby reducing the possibility of fretting wear caused by contact between the fuel rod and the spacer grid spring. Lastly, the elastic behavior region of the spacer grid spring is expanded, so that the fuel rod can be reliably supported until the fuel rod expires despite changes in the supporting conditions of the fuel rod. |
|
abstract | A neutron absorber apparatus for use in restoring reactivity control to a nuclear fuel rack. The apparatus comprises an elongated tubular insert assembly configured for insertion in a storage cell of the rack. First and second absorber plates, each formed of a boron-containing material, are coupled together by upper and lower stiffening bands at the insert extremities and form a longitudinally-extending cavity configured for receiving a fuel assembly. The absorber plates and stiffening bands may have a rectilinear cross sectional configuration in one embodiment. At least one elastically deformable locking protrusion mounted proximate to the lower end of the absorber plates lockingly engages an available lower edge disposed in the cell sidewall above its bottom end. This fixes the tubular insert axially in the cell, thereby preventing its withdrawal after installing the insert. In one embodiment, the edge may be the bottom of existing absorber sheathing in the cell. |
|
claims | 1. A system for implanting a substrate, comprising:a substrate holder disposed within a process chamber of the system and being electrically grounded;a driven electrode disposed within the process chamber and coupled to a power source, the power source configured to supply voltage to the driven electrode as an unbalanced voltage pulse train, wherein a negative peak voltage during a negative voltage pulse period of the unbalanced voltage pulse train is the same as or higher than a positive peak voltage during a positive voltage pulse period of the unbalanced pulse train; anda movable mask configured to move between a first position proximate the substrate holder, and a second position proximate the driven electrode. 2. The system of claim 1, wherein the unbalanced voltage pulse train comprises a square wave pulse train. 3. The system of claim 1, wherein the positive peak voltage is less than about 2 kV, and an absolute value of the negative peak voltage is greater than about 2 kV. 4. The system of claim 1, wherein the system is configured to couple the movable mask to ground under a first operating condition, and to couple the movable mask to the power source under a second operating condition. 5. The system of claim 4, wherein the movable mask is coupled to ground when in the first position, and coupled to the power source when in the second position. 6. The system of claim 1, wherein the negative voltage pulse period is about 10-100 μs, and wherein the positive voltage pulse period is about 10-50 μs. 7. The system of claim 1, wherein the movable mask comprises a frame having a central aperture configured to accommodate a mask plate. 8. The system of claim 1, wherein the movable mask comprises a shaft slidably disposed with respect to a linear feedthrough of the process chamber. 9. A method of implanting a substrate in a plasma chamber of an ion implantation system, comprising;coupling a substrate holder disposed in the plasma chamber to ground;providing from a power supply an unbalanced voltage pulse train to an electrode disposed in the plasma chamber; andpositioning a mask during a first exposure proximate the substrate, wherein the mask is coupled to ground. 10. The method of claim 9, further comprising positioning the mask during a second exposure proximate the driven electrode. 11. The method of claim 10, further comprising electrically coupling the mask to the unbalanced voltage pulse train during the second exposure. 12. The method of claim 10, wherein during the first exposure the mask is disposed within a plasma sheath region created by a positive voltage pulse period of the unbalanced voltage pulse train, the method further comprising:positioning during the first exposure portions of the substrate on the substrate holder proximate the mask to receive a patterned implant; andpositioning portions of the substrate on the substrate holder during the second exposure to receive a blanket implant. 13. The method of claim 9, comprising providing the unbalanced voltage pulse train as a series of square wave pulses in which a positive peak voltage is the same as or less than an absolute value of a negative peak voltage of each of the square wave pulses. 14. The method of claim 9, further comprising providing a negative voltage pulse period of the unbalanced voltage pulse train of about 10-100 μs. 15. The method of claim 9, further comprising providing a positive voltage pulse period of the unbalanced voltage pulse train of about 10-50 μs. 16. The method of claim 13, wherein the positive peak voltage is less than about 2 kV and an absolute value of the negative peak voltage is greater than about 2 kV. 17. A system for implanting a substrate, comprising:a process chamber having an electrode electrically coupled to a power source and a substrate holder electrically coupled to ground; anda movable mask, wherein the movable mask is configured to move between a first position proximate the substrate holder and a second position proximate the electrode;wherein the power source is configured to supply voltage to the electrode as an unbalanced voltage pulse train, wherein an absolute value of a negative peak voltage of the unbalanced voltage pulse train is equivalent to or higher than a positive peak voltage of the unbalanced voltage pulse train, andwherein the system is configured to couple the movable mask to ground when in the first position, and to couple the movable mask to the power source when in the second position. 18. The system of claim 17, wherein a duration of a negative voltage pulse period is about 10-100 μs, and wherein a duration of a positive voltage pulse period is about 10-50 μs. 19. The system of claim 17, wherein the positive peak voltage is less than about 2 kV, and an absolute value of the negative peak voltage is greater than about 2 kV. 20. The system of claim 17, the process chamber further comprising first and second portals coupled to respective first and second load lock chambers, wherein gas conductance through the first and second portals is configured to provide continuous plasma operation when the first and second portals are open. 21. The system of claim 20, wherein the system is configured to continuously transport substrates from the first load lock chamber into the process chamber and therefrom to the second load lock chamber while a plasma is maintained in the process chamber. |
|
description | The present application relates generally to a multiposition collimation device for an x-ray source and x-ray imaging systems that use the multiposition collimation device. X-ray radiography can be performed by positioning an x-ray source on one side of an object (e.g., a patient or a portion thereof) and emitting x-rays from that source, through the object, and toward an x-ray detector (e.g., radiographic film, an electronic digital detector, or a photostimulable phosphor plate) located on the other side of the object. As the x-rays pass through the object they are attenuated to varying degrees depending on the composition of the object. X-rays arriving at the x-ray detector form a two-dimensional (2D) x-ray image (also known as a radiograph) based on the cumulative absorption through the object. Tomosynthesis provides three-dimensional information about a patient in the form of tomographic image slices reconstructed from x-ray images of the patient taken from multiple perspectives within a scan angle smaller than that of computed tomography (CT) or cone-beam computed tomography (CBCT) (e.g., ±20°, compared with at least 180° in CBCT). In order to limit x-ray exposure to only those areas of diagnostic inquiry, it is beneficial to collimate the x-ray beam so that only the patient's volume of interest (the region of space relevant to the diagnostic inquiry) is radiated. It is also beneficial to ensure that the x-ray source is correctly positioned and aligned with respect to the x-ray detector. Therefore, it would be desirable to have a collimation device which could provide beneficial collimation and aid in the positioning and alignment during a tomosynthesis imaging operation. One or more the above limitations may be diminished by collimation devices and x-ray imaging systems described herein. In one embodiment, a collimation device for a dental imaging apparatus is provided. The collimation device includes a collimator housing and a collimator plate constructed to at least partially block the passage of x-rays. The collimator plate is movable relative to the collimator housing to a first position, corresponding to a first x-ray detector size, and a second position, corresponding to a second x-ray detector size. In another embodiment, an x-ray imaging system is provided. The x-ray imaging system includes an x-ray source, configured to generate an x-ray beam, and a collimation device. The collimation device is connected to the x-ray source and includes: a collimator housing and a collimator plate. The collimator plate is constructed to at least partially block the passage of x-rays. The collimator plate is movable relative to the collimator housing to a first position, corresponding to a first x-ray detector size, and a second position, corresponding to a second x-ray detector size. The collimation device is arranged to receive the x-ray beam generated by the x-ray source. Different ones of the figures may have at least some reference numerals that are the same in order to identify the same components, although a detailed description of each such component may not be provided below with respect to each figure. In accordance with example aspects described herein, systems and apparatuses are provided for intraoral x-ray image generation and x-ray collimation. The collimation device described herein can be used in any radiographic imaging system, including an x-ray imaging system 100 (shown in FIG. 1) and a tomosynthesis imaging system 200 (shown in FIG. 2). Those systems will now be described in further detail. FIG. 1 is a block diagram of an x-ray imaging system 100. An x-ray source 104 emits x-rays 110 towards an object 50 that includes one or more sub-objects 52. The x-rays 110 penetrate object 50, but are attenuated as they travel through object 50. A sub-object 52 whose x-ray attenuation properties are different from the surrounding material will attenuate x-rays 110 differently from the surrounding material. Thus, if sub-object 52 has greater x-ray attenuation properties than the surrounding material, an x-ray beam that travels through sub-object 52 will be attenuated to a greater degree than a parallel x-ray that does not pass through sub-object 52. As a result, a portion of x-ray detector 102 that receives x-rays 110 that have passed through sub-object 52 will register a different energy value as compared to the parallel x-ray that did not pass through sub-object 52. These recorded energies (data) are transferred to a computer system 106 which forms a two-dimensional x-ray image that may be displayed on a display unit 108. The computer system 106 is communicatively connected to x-ray subsystem 116 and an input unit 114. The computer system 106 processes commands received through input unit 114 and configures the x-ray subsystem 116 accordingly. FIG. 2 is a block diagram of an intraoral tomosynthesis system 200. Tomosynthesis provides three-dimensional information about a patient in the form of tomographic image slices reconstructed from images taken of the patient with an x-ray source from multiple perspectives within a scan angle smaller than that of computed tomography (CT) or cone-beam computed tomography (CBCT) (e.g., ±20°, compared with at least 180° in CBCT). In general, tomosynthesis can account for the depth of a sub-object in a way that a single two-dimensional x-ray cannot. The x-ray source translates about a center position while simultaneously rotating such that a centroid of the x-ray beam remains incident on the same focal spot. By this translation and rotation, the x-ray beam passing through the sub-object is incident on a different portion of the x-ray detector at each imaging position. This allows the computer system to obtain information about the depth of the sub-object that cannot be obtained by a single two-dimensional x-ray image. As shown in FIG. 2, the system 200 includes an x-ray detector 202 and an x-ray subsystem 216, both of which, including subcomponents thereof, are electrically coupled to a computer system 206. In one example, the x-ray subsystem 216 hangs from a ceiling or wall-mounted mechanical arm, so as to be freely positioned relative to an object 50. The x-ray subsystem 216 further includes an x-ray source 204 mounted on a motorized stage 218 and an on-board motor controller 220. The on-board motor controller 220 controls the motion of the motorized stage 218. The computer system 206 is electrically coupled to a display unit 208 and an input unit 214. The display unit 208 can be an output and/or input user interface. The x-ray detector 202 is positioned on one side of the object 50 and the receiving surface of the x-ray detector 202 extends in an x-y plane in a Cartesian coordinate system. The x-ray detector 202 can be a small intraoral x-ray sensor that includes, for example, a complementary metal-oxide semiconductor (CMOS) digital detector array of pixels, a charge-coupled device (CCD) digital detector array of pixels, or the like. In an example embodiment herein, the size of the x-ray detector 202 varies according to the type of patient to whom object 50 belongs, and more particularly, the x-ray detector 202 may be one of a standard size employed in the dental industry. Examples of the standard dental sizes include a “Size-2” detector, which is approximately 27×37 mm in size and is typically used on adult patients, a “Size-1” detector, which is approximately 21×31 mm in size and is typically used on patients that are smaller than Size-2 adult patients, and a “Size-0” detector, which is approximately 20×26 mm in size and is typically used on pediatric patients. In a further example embodiment herein, each pixel of the x-ray detector 202 has a pixel width of 15 μm, and correspondingly, the Size-2 detector has approximately 4 million pixels in a 1700×2400 pixel array, the Size-1 detector has approximately 2.7 million pixels in a 1300×2000 pixel array, and the Size-0 detector has approximately 1.9 million pixels in a 1200×1600 pixel array. The color resolution of the x-ray detector 202 may be, in one example embodiment herein, a 12-bit grayscale resolution, although this example is not limiting, and other example color resolutions may include an 8-bit grayscale resolution, a 14-bit grayscale resolution, and a 16-bit grayscale resolution. The x-ray source 204 is positioned on an opposite side of the object 50 from the x-ray detector 202. The x-ray source 204 emits x-rays 210 which pass through object 50 and are detected by the x-ray detector 202. The x-ray source 204 is oriented so as to emit x-rays 210 towards the receiving surface of the x-ray detector 202 in at least a z-axis direction of the Cartesian coordinate system, where the z-axis is orthogonal to the x-y plane associated with the receiving surface of the x-ray detector 202. The x-ray source 204 can also emit x-rays 210 while positioned at each of multiple different locations within a scan angle 212, where a 0° position in the scan angle 212 corresponds to the position for emitting x-rays 210 along the z-axis. In one example embodiment herein, the user initially positions the x-ray subsystem 216, and hence, also the x-ray source 204, to a predetermined starting position relative to the object 50. The x-ray source 204 may include alignment aids for ensuring a correct position and alignment (discussed in further detail below). The computer system 206 then controls the on-board motor controller 220 to move the x-ray source 204 via the motorized stage 218, based on the known starting position, to step through each of the different locations within the scan angle 212. The computer system 206 controls the x-ray source 204 to cause the source 204 to emit x-rays 210 at each of those locations. The centroid of the x-rays 210 passes through a focal spot 222 at each of the different locations within the scan angle 212. The focal spot 222 may be, for example, located close to the detector 202 such that x-rays 210 emitted from the x-ray source 204 positioned at the outer limits of the scan angle 212 are aimed at and do not miss the x-ray detector 202. In FIG. 2, the 0° position is represented by the x-ray source 204, while reference numerals 204a and 204b represent the same x-ray source 204 but in two other example positions within the scan angle 212. The scan angle 212 can be, for example, ±20° from the 0° position, although this example is not limiting. Additionally, the motion of x-ray source 204 along the scan angle 212 may form different scan paths, such as, for example, a linear scan, a curved scan, or a circular scan. In the linear scan, the x-ray source 204 moves linearly in an x-y plane while emitting x-rays 210 toward the focal spot 222, forming a triangular sweep. In the curved scan, the x-ray source 204 moves in an arc while emitting x-rays 210 toward the focal spot 222, forming a fan beam sweep. In the circular scan, the x-ray source 204 rotates around the z-axis while emitting x-rays 210 toward the focal spot 222, forming a conical beam sweep. The scan positions may also be arranged in any particular one or more planes of the Cartesian coordinate system. As emitted x-rays 210 pass through the object 50, photons of x-rays 210 will be more highly attenuated by high density structures of the object 50, such as calcium-rich teeth and bone, and less attenuated by soft tissues, such as gum and cheek. One or more of the attenuating structures can be sub-object(s) 52. X-rays 210 passing through and attenuated by object 50, are projected onto x-ray detector 202, which converts the x-rays 210 into electrical signals and provides the electrical signals to computer system 206. In one example embodiment, the x-ray detector 202 may be an indirect type of detector (e.g., a scintillator x-ray detector) that first converts x-rays 210 into an optical image and then converts the optical image into the electrical signals, and in another example embodiment, the x-ray detector 202 may be a direct type of detector (e.g., a semiconductor x-ray detector) that converts x-rays 210 directly into the electrical signals. The computer system 206 processes the electrical signals to form a two-dimensional projection image of the object 50. In one example embodiment herein, the image size of the two-dimensional projection image corresponds to the dimensions and the number of pixels of the x-ray detector 202. The system 200 can collect a plurality of projection images, as described above, by first positioning the x-ray source 204 at different angles, including at least the 0° position, and emitting x-rays 210 at each of those different angles through object 50 towards x-ray detector 202. For example, the plurality of projection images may include a total of fifty-one projections: one orthogonal projection image, obtained when the x-ray source is at the 0° position, and fifty projection images, each obtained when the x-ray source 204 is positioned at different angles within a range of ±20° from the z-axis (corresponding to the scan angle 112). In other example embodiments, the number of projection images may range from twenty-five to seventy. Because the orthogonal projection image is obtained when the x-ray source is at the 0° position, the orthogonal projection image has the same appearance as an x-ray image. That is, the two-dimensional orthogonal projection image has no depth perception, and one or more sub-object(s) 52 within object 50 may appear overlaid one on top of another in the orthogonal projection image. On the other hand, sub-object(s) 52 at different depths of the z-axis within object 50 undergo varying degrees of parallax when imaged from different angles along the scan angle 112. The computer system 206 processes the plurality of projection images to reconstruct a series of two-dimensional tomosynthesis image slices, also known as a tomosynthesis stack of images. Each image slice is parallel to the plane in which the receiving surface of the x-ray detector 202 extends and at different depths of the z-axis. The computer system 206 further processes the tomosynthesis image slices in a manner to be described below, to generate clinically relevant information related to object 50 (e.g., a patient's dental anatomy), and in a further example embodiment herein, related to sub-object(s) 52. In one example embodiment herein, the computer system 206 obtains input from a user via input unit 214 and/or display unit 208 to guide the further processing of the tomosynthesis slices. The orthogonal projection image, one or more image slices of the tomosynthesis stack, and the extracted information are provided by the computer system 206 for display to the user on the display unit 208. As shown in FIG. 3, the x-ray source 204 may be connected to an adjustable arm 302, which may be segmented and include one or more joints such as: a hinge, a swivel, a universal joint, or the like. The adjustable arm 302 allows the x-ray source 204 to freely translate in three-dimensional space. The x-ray source 204 may be connected to a collimation device 500. Attached to one end of the adjustable arm 302 is a vertical member 304. The other end of the adjustable arm 302 may be mounted to a stationary structure, such as a wall or a ceiling. The vertical member 304 is suspended vertically from the adjustable arm by a joint that allows the vertical member 304 to freely rotate about an axis (A1) substantially defined by the vertical member 304, regardless of the position and orientation of the adjustable arm 302. The vertical member 304 includes a bearing assembly which acts as a channel through the vertical member 304. A yoke 306 is movably constrained within the channel, and can be angularly displaced through the bearing assembly and thus through the vertical member 304, allowing rotation relative to axis A3. A brake may hold the yoke in place and substantially prevent any motion of the yoke 306 through the bearing assembly, thus locking the position of the yoke 306 relative to the vertical member 304. One or more brake release buttons may also be provided such that an operator can release the brake and allow the yoke 306 to rotate through the vertical member 304. The motorized stage 218 may include arms 312 and 314 which are movably attached to the yoke ends 308 and 310, respectively, each point of attachment forming a pivot such that the motorized stage 118 can be pitched about an axis (A2) which is substantially defined by the yoke ends 308 and 310 and substantially orthogonal to the axis (A3) of the x-ray source 204. In the exemplary arrangement illustrated in FIG. 3, the x-ray source 204 may be appropriately positioned at any desired location in three-dimensional space such that the axis A3 of the x-ray source 204 is substantially perpendicular to the surface of the x-ray detector 202. FIGS. 4A-C shows a tomosynthesis imaging operation where the x-ray source is positioned below the patient's jaw. For brevity, the collimation device 500 (which is connected to the x-ray source 204) is shown, rather than the entire x-ray source 204 and collimation device 500. The positioning of the x-ray source 204 below the patient's jaw is exemplary; the x-ray source 204 could be placed in any other position corresponding to a desired diagnostic view. In FIGS. 4A-C, the patient's teeth correspond to object 50 and sub-object(s) 52 illustrated in FIG. 1. For a tomosynthesis operation, the x-ray source 204 is initially positioned at the 0° position in the scan angle, which typically corresponds to a middle position in the scanning range. However, the x-ray source 204 may be initially positioned at any location within the scanning range. A single two-dimensional x-ray image generated at any one of the imaging positions within the scan range is equivalent to the result of an x-ray imaging operation, where the x-ray source 204 does not translate and rotate. As shown in FIG. 4B, it is preferable that a plane corresponding to the surface of the x-ray detector 202 is orthogonal to the imaging direction of the x-ray source 204 in the y-z plane. As shown in FIG. 4C, an alignment device 400 may be provided to aid with the alignment of the x-ray source 204 relative to the x-ray detector 202. The alignment device 400 includes an alignment ring 402, also known as an aiming ring, disposed at one end of a connecting arm 404. The collimation device 500 may be placed in close proximity to the alignment ring, but with sufficient clearance that a user can see light being projected onto the ring. In one embodiment, where the alignment ring 402 and the collimation device 500 are the same diameter, the amount of clearance is approximately 2-3 cm of clearance. The other end of the connecting arm 404 is provided with a holder for holding the x-ray detector 202. In one embodiment, the connecting arm 404 may be integrated with the holder. The holder may be, for example, a plate with projections that retain the x-ray detector 202 against the plate. The holder may also use adhesive or a strap to secure the x-ray detector 202 to the plate. To ensure that emitted x-rays are collimated to the imaging axis, each x-ray source 104/204 is connected to a collimation device 500 that is constructed to collimate the beam of x-rays. FIG. 5A is a perspective view of a collimation device 500 according to one embodiment of the present invention in a horizontal position (a first orientation), in which an aperture of the collimation device 500 is in a landscape orientation. FIG. 5B is a perspective view of the collimation device 500 according to one embodiment of the present invention in a vertical position (a second orientation), rotated 90° clockwise from the position shown in FIG. 5A, such that the aperture of the collimation device 500 is in a portrait orientation. FIG. 5C is an exploded view of collimation device 500. As illustrated in FIGS. 5A-C, the collimation device 500 may be divided into three main sections: collimator housing assembly 510, collimator mount assembly 520, and collimator chassis 530. FIG. 6A is an exploded view of the collimator housing assembly 510. Collimator housing assembly 510 includes a collimator housing 602 that may be formed of plastic, metal, or other rigid or semi-rigid materials. The collimator housing 602 may include a light emitting alignment device 604 disposed proximate to or at one end thereof, in one embodiment. The light emitting alignment device may be aimed at a point on a longitudinal axis defined by the collimation device 500. The light emitting alignment device 604 may project optical light (i.e., wavelengths within the visible spectrum), laser light, or light that falls outside of the visible spectrum but which may be detected by an observation device such as a camera capable of detecting those wavelengths. As discussed below in greater detail, the light emitting alignment device 604 may be used to project a pattern onto the alignment ring 402 to aid in determining whether the collimation device 500 is correctly aligned and oriented relative to the intraoral imaging sensor (x-ray detector 202). While the light emitting alignment device 604 shown in FIG. 6A projects a circular pattern, the disclosure is not limited thereto. The light emitting alignment device 604 may project patterns of other shapes including, for example, a square pattern, a triangular pattern, or a regular polygon with n number of sides, where n is greater than or equal to 5. In another embodiment, the light emitting alignment device 604 may emit a pair of parallel lines, cross-line laser marks, or four dots that define a rectangle. The emitted pattern preferably corresponds to a pattern on the alignment ring 402 (as discussed below). For example, if the alignment ring 402 includes four depressions defining corners of a rectangle, the emitted pattern should be four dots. The light emitting alignment device 604 may also be located at a different location. For example, the light emitting alignment device 604 could be mounted on the collimator chassis 530 or one of the x-ray system components shown in FIG. 3, such as: the x-ray source 204, the motorized stage 218, yoke ends 308 and 310, or arms 312 and 314. The collimator housing 602 includes a plurality of collimator mount positioning slots 606A and 606B disposed, in an exemplary embodiment, 180° degrees apart, i.e. on opposite sides of the collimator housing 602. In one embodiment, slots 606A and 606B are rectangular in shape. Of course, slots 606A and 606B may be of a different shape. Moreover, more than two slots may be provided, or only a single slot may be provided. Slots 606A and 606B allow for respective portions of the collimator mount assembly 520 to project beyond the collimator housing 602. An operator may manipulate the portions of the collimator mount assembly 520 and apply a force that causes the collimator mount assembly 520 to move relative to the collimator housing 602. Collimator mount assembly 520 is therefore moveable within the slots 606A and 606B to different positions in the longitudinal direction of the collimation device 500. On each side of slot 606A are position indicators 608A, 608B, and 608C corresponding to different sized intraoral sensors. Position indicator 608A indicates a desired position of the collimator mount assembly 520 for a Size-0 intraoral sensor. Position indicator 608B indicates a desired position of the collimator mount assembly 520 for a Size-1 intraoral sensor. Position indicator 608C indicates a desired position of the collimator mount assembly 520 for a Size-2 intraoral sensor. While the position indicators 608A, 608B, and 608C are shown on both sides of slot 606A, this is just exemplary. The position indicators could also be located on one side of slot 606A. The positioning indicators 608A, 608B, and 608C may be roman numerals, Arabic numerals, or any other letter or symbols. The collimator housing 602 also includes a plurality of positioning holes 610. Each of the positioning holes 610 is sized to receive a collimator housing positioning magnet 612. The collimator positioning magnets 612 act in conjunction with collimator mount positioning magnets 632A and 632B to index the collimator mount 510 at the desired position (for a chosen sensor size). The indexing may be achieved also by use of detents, spring pins and holes and other methods. Thus, for example, if a Size-0 intraoral sensor is used, collimator positioning magnets 612 are inserted into position holes 610 located beneath position indicator 608A. The attraction between the collimator positioning magnets 612 and the collimator mount positioning magnets 632A and 632B resist any force acting on the collimator mount assembly 520 that may cause the collimator mount assembly 520 to move out of position. As discussed below, the collimator housing 602 may rotate with respect to the collimator chassis 530. To facilitate this relative rotation while simultaneously ensuring that the collimator housing assembly 510 remains connected to the collimator chassis 530, lock screws 614 are provided through threaded holes 616 in the collimator housing 602 and engage, respectively, guide tracks 640A-B in the collimator chassis body 638. With this configuration, the collimator housing 602 may rotate with respect to the collimator chassis 530. In an exemplary embodiment, the collimator housing 602 can rotate clockwise 90° from a first orientation (shown in FIGS. 5A and 6A) to a second orientation (shown in FIG. 5B). Of course, this configuration is only exemplary. Collimation device 500 may be configured so that collimator housing 602 rotates counterclockwise relative to the collimator chassis 530 and through a different angle range, e.g., up to 180°. To assist in aligning, the collimator housing 602 with the collimator chassis 530 at the different orientations, a plurality of indexing elements may be provided. For example, the plurality of indexing elements may include a plurality of magnets located in the collimator housing 602 and the collimator chassis body 638. More specifically, a plurality of magnets 620A-D may be placed in a plurality of magnet holes 618A-D, respectively. The plurality of magnets 620A-D may be grouped into two pairs, a first pair of magnets 620A and 620C and a second pair of magnets 620B and 620D. Each of these pairs may be considered a pair of indexing elements. Magnets 642A and 642B in the collimator chassis may also be considered a pair of indexing elements. In one embodiment, each of the magnets 620A-D are placed 90° apart from each. Thus, when the collimator housing 602 is in the first orientation (shown in FIG. 6A) magnets 620A and 620C (the first pair of magnets) are proximate to and attracted to corresponding magnets 642A and 642B (a third pair of magnets) in the collimator chassis 530. The attractive force between magnets 620A and 620C and the corresponding magnets 642A and 642B in the collimator chassis 530 create a resistance to rotational motion, such that undesired rotational forces that may act on the collimator housing assembly 510 do not cause an undesired rotation. Similarly, when the collimator housing 602 is in a second orientation (rotated by 90° from the first orientation), magnets 620B and 620D (the second pair of magnets) are proximate to and attracted to corresponding magnets 642A and 642B in the collimator chassis 530. The attractive force between magnets 620B and 620D and the corresponding magnets 642A and 642B in the collimator chassis 530 create a similar resistance to rotational motion such that undesired rotational forces that may act on the collimator housing assembly 510 do not cause an undesired rotation. In one embodiment, other indexing element besides magnets may be provided to maintain a relative orientation between the collimator housing 602 and the collimator chassis 530. The indexing elements may include mechanical connections. For example, collimator chassis body 638 may be provided with spring loaded pins in place of the magnets 642A and 642B, and the collimator housing may be provided with detents in place of magnets 620A-D. The pins may be driven by springs into the detents and thus create a resistance to an undesired rotational force that may act on the collimator housing assembly 510. Of course, this resistance may be overcome by a sufficient force (e.g., by an operator) thus allowing the rotation of the collimator housing assembly 510 relative to the collimator chassis 530. In this case, detents located where magnets 620A and 620C are shown would be a first pair of indexing elements, detents located where magnets 620B and 620C are shown would be a second pair of indexing elements, and spring loaded pins located where magnets 642A and 642B are shown would be a third pair of indexing elements. FIG. 6B is an exploded perspective view of the collimator mount assembly 520. The collimator mount assembly 520 includes a collimator cover 624 and a collimator plate 626. Thus, the collimator plate is movable with the collimator mount assembly 520. The collimator plate 626 is made of lead or another x-ray absorbing material and thus strongly attenuates x-rays incident thereon, blocking their passage (≥99% of the x-rays are blocked in one embodiment). The collimator plate 626 has a rectangular aperture that allows x-rays from the x-ray source 104/204 to pass. The size of the rectangular aperture in the collimator plate 626 is related to the different positions of the collimator mount assembly 520 and the anticipated distance between the x-ray source 204 and the x-ray detector 202, and may be calculated based on such information. In one embodiment, the distance between the x-ray source 204 and the x-ray detector 202 is 12.0 inches±0.5 inches. The rectangular aperture is sized so that at each position the corresponding sensor is fully illuminated but there is minimal overexposure, i.e. the cross-sectional area of the beam is equal to or slightly larger than the area of the sensor. For example, when the collimator mount assembly 520 is at the Size-0 position, a Size-0 sensor (with an approximate size of 20×26 mm) is fully illuminated with little overage. Moving the collimator mount assembly 520 to the Size-1 position, i.e. closer to the x-ray source 204, increases the size of the x-ray beam such that the Size-1 sensor (with an approximate size of 21×31 mm) is fully illuminated with little overage. Moving the collimator mount assembly to the Size-2 position, i.e. even closer to the x-ray source 204, further increases the size of the x-ray beam such that the Size-2 sensor (with an approximate size of 27×37 mm) is fully illuminated with little overage. The collimator mount assembly 520 further includes a collimator mount body 628. The collimator mount body 628 includes four curved flanges 630A-D that are sized and shaped to engage an inner surface of the collimator housing 602. Flange 630B and 630C are spaced apart (in the vertical direction in FIG. 6B) by a distance equal to the size of first interior guide rail 652 located within the collimator housing 602. Similarly, flanges 630A and 630D are also spaced apart by a distance equal to the size of a second interior guide rail located within the collimator housing 602, 180° from the first interior guide rail 652. When collimation device 500 is assembled, the collimator mount assembly 520 is movable on the first interior guide rail and the second interior guide rail to the different positions. In an exemplary embodiment, the position holes 610 project through the interior guide rails and are coaxial with the collimator mount positioning magnets 632A and 632B in the collimator mount body 628. This allows for the positioning magnets 612 inserted into the position holes 610 to be in close proximity to the collimator mount positioning magnets 632A and 632B in the collimator mount body 628. As discussed above, the collimator mount assembly 520 is able to move to a plurality of positions within the collimation device 500. To facilitate that movement, first and second handle pieces 634A and 634B are attached to the collimator mount body 628 using screws 636A which are inserted into threaded handle piece holes 636B. A portion of each of the first and second handle pieces 634A and 634B protrudes from slots 606A and 606B thus providing a grip for an operator to grab. FIG. 6C is an exploded view of the collimator chassis 530. The collimator chassis 530 includes a collimator chassis body 638. The collimator chassis body 638 includes, near one end, a plurality of guide tracks 640A and 640B which, as discussed above, allow lock screws 614 to slide from one end to the other. This allows for the collimator housing 602 to be rotatable relative to the collimator chassis 530. The collimator chassis body 638 receives the x-ray beam from an x-ray source 104/204 at an opposite end from where the guide tracks 640A and 640B are located. The collimator chassis body 638 also includes recessed magnets 642A and 642B which are located on the top and bottom of the collimator chassis body 638, 180° degrees apart. Magnets 642A and 642B are attracted to magnets 620A-D (depending on the orientation) so that, as described above, when the collimator housing 602 is in the first and second orientations relative to the collimator chassis 530 there is a resistance to rotational forces that may cause undesired rotational motion of the collimator housing 602 relative to the collimator chassis 530. In the embodiment shown in FIG. 6C, two laser mounting brackets 644 and 646 are attached to collimator chassis flange portions 648A and 648B. Laser mounting bracket 644 includes a first mounting piece 644A which includes a circular opening for receiving a laser 650A. Laser mounting bracket 644 also includes a second mounting piece 644B that includes a c-shaped aperture for receiving laser 650A. When the first mounting piece 644A and the second mounting piece 644B are attached to the collimator chassis flange portion 648A they provide a structural support for the laser 650A. In a similar fashion, laser mounting bracket 646 includes a first mounting piece 646A which includes a circular opening for receiving a laser 650B. Laser mounting bracket 646 also includes a second mounting piece 646B that includes a c-shaped aperture for receiving laser 650B. When the first mounting piece 646A and the second mounting piece 646B are attached to the collimator chassis flange portion 648B they provide a structural support for the laser 650B. FIGS. 7A-C are sectional views of the collimation device 500 showing the collimator mount assembly 520 at three different positions, respectively corresponding to the Size-0, Size-1, and Size-2 intraoral sensors. In FIG. 7A, the collimator mount assembly 520 is at a position corresponding to Size-0 sensor. FIG. 7B shows the collimator mount assembly 520 at a position corresponding to a Size-1 sensor. FIG. 7C shows the collimator mount assembly 520 at a position corresponding to a Size-2 sensor. To secure the collimator mount assembly 520 at any one of these positions, positioning magnets 612 are inserted into the corresponding positioning holes 610 which are then attracted the collimator mount positioning magnets 632A and 632B. FIG. 8 is a sectional view rotated ninety degrees to the views in FIGS. 7A-C, showing the collimator mount assembly 520 at the Size-2 sensor position. FIG. 9 is a sectional view from the same perspective as FIG. 8, but shows the collimator housing assembly 510, collimator mount assembly 520, and collimator chassis 530 assembled together. As shown in FIG. 9, magnets 620A and 642A are proximate (i.e., positioned next to) to each other and are attracted to each other thereby inhibiting rotation of the collimator housing assembly 510 relative to the collimator chassis 530. In a similar manner, magnets 620B and 642B are positioned next to each other and further inhibit rotation of the collimator housing assembly 510 relative to the collimator chassis 530. FIG. 10 is a sectional view that shows the collimator housing assembly 510, collimator mount assembly 520, and collimator chassis 530 assembled together. In FIG. 10, the collimator mount assembly 520 is at a position corresponding to the Size-0 intraoral sensor. As shown in FIG. 10, lock screw 614 protrudes into guide track 640A and is in contact with an end portion of guide track 640A. By such contact, the collimator housing assembly 510 is prevented from being rotated further in a counterclockwise direction. As discussed above, the x-ray sources 104/204 may be used in conjunction with an alignment device 400 that includes an alignment ring 402. FIG. 11A is an illustration of alignment ring 402. The alignment ring 402 is shown as generally circular, but may be of any shape. Alignment ring 402 is generally divided into the following items: a plurality of openings 1102A-D, a window opening 1104, a primary alignment groove 1106, vertical alignment grooves 1108A-B, and horizontal alignment grooves 1110A-B. The plurality of openings 1102A-D are constructed to receive a corresponding arm 404 of the alignment device 400. The arms 404 may, in one embodiment, have different cross-sectional profiles. Thus, each of the openings 1102A-D may have a different cross-sectional profile so as to match the arms 404. Each arm 404 may correspond to a particular type of radiographic image. For example, one arm 404, when used in a corresponding opening 1102A-D, may provide for a buccal image, whereas another arm 404, when used in its corresponding opening 1102A-D, may provide for a lingual image. Regardless of the type of radiographic image, each of the arms 404 are designed to position the intraoral sensor (x-ray detector 202), held by a holder at one end thereof, in the center of the window opening 1104. In FIG. 11A, the primary alignment groove 1106 is shown as a C-shaped groove, but this is only exemplary. For example, the primary alignment groove 1106 could be a circle; specifically, a circle formed by connecting the distal ends of the C-shaped groove 1106 in FIG. 11A which are separated by horizontal alignment groove 1110B. The primary alignment groove 1106 may also be of another shape, for example: square, triangular, or a polygon. Preferably, the light emitting alignment device 604 projects a pattern that matches (or substantially matches) the shape of the primary alignment groove 1106. Thus, in the embodiment shown in FIG. 11A, the primary alignment groove 1106 is C-shaped substantially matching the pattern emitted by the light emitting alignment device 604. The vertical alignment grooves 1108A-B and horizontal alignment grooves 1110A-B on the alignment ring 402 can also be used to determine proper alignment and position. Collimation device 500 may include additional light emitting alignment devices that project light onto the vertical and horizontal alignment grooves. In one embodiment, lasers 650A and 650B project laser beams that, if the x-ray source 104/204 and the x-ray detector 202 are properly aligned and positioned, are incident on horizontal grooves 1110A-B. As discussed above, to ensure that the x-ray source 104/204 is properly aligned and at the proper distance from the x-ray detector 202, collimation device 500 is provided with a light emitting alignment device 604 that projects a light beam 1112 onto the alignment ring 402. The collimator is properly aligned when the light pattern falls within the targets on the aiming ring. As discussed above, in one embodiment, the light beam 1112 is circular. If the x-ray source 104/204 is properly positioned and aligned with respect to the alignment ring 402, the light beam 1112 emitted by the light emitting alignment device 604 will fall on the primary alignment groove 1106. FIG. 11B illustrates a situation where the x-ray source 104/204 is properly positioned and aligned with respect to the x-ray detector 202. As shown in FIG. 11B, the circular light beam 1112 emitted from the light emitting alignment device 604 falls within the primary alignment groove 1106. In addition, laser light 1114 emitted from laser beams 650A and 650B fall within the horizontal alignment grooves 1110A-B. FIG. 11B also shows x-ray detector 202 (in the form of an intraoral sensor) held by a holder and centered in the window opening 1104. FIG. 11C, however, illustrates a situation where the x-ray source 104/204 is not properly positioned and aligned with respect to the x-ray detector 202. As shown in FIG. 11C, the circular light beam 1112 emitted from the light emitting alignment device 604 falls, at least partially, outside of the primary alignment groove 1106, indicating that the x-ray source 104/204 is not properly positioned and/or aligned with respect to the x-ray detector 202. While the laser light 1114 emitted by laser beams 650A and 650B fall within the horizontal alignment grooves 1110A-B, that alone does not indicate a proper positioning and alignment, but rather only indicates that a corresponding axis is properly aligned. As discussed above, the light emitting alignment device 604 may also project a pair of parallel lines or four dots. The alignment ring 402 may include corresponding grooves (e.g., a pair of parallel grooves) or depressions (e.g., four depressions) on a side thereof. In the former case, if the pair of parallel lines is incident on the pair of parallel grooves, it indicates that the x-ray source 104/204 and the x-ray detector 202 are aligned in an XYZ coordinate system and that no yaw, pitch, or roll misalignments are present. Similarly, in the latter case, if the four dots fall within the four depressions, it indicates that the x-ray source 104/204 and the x-ray detector are aligned in an XYZ coordinate system and that no yaw, pitch, or roll misalignments are present. With the features described above, an x-ray source may be provided with a collimation device 500 that it is adjustable depending on the size of the x-ray detector (e.g., an intraoral sensor) that is being used. The collimation device 500 aids in ensuring that x-rays emitted by the x-ray source are confined to a region of diagnostic interest. The collimation device 500 may be used in x-ray imaging (e.g. x-ray imaging system 100) or in a tomosynthesis imaging system 200. In addition, the collimation device 500 may be provided with a light emitting alignment device 604 that, when used in conjunction with alignment ring 402, may assist in ensuring proper positioning and alignment of the x-ray source and the x-ray detector. The various embodiments described above have been presented by way of example and not limitation. It will be apparent to persons skilled in the relevant art(s) that various changes in form and detail can be made therein without departing from the spirit and scope of the present invention. Thus, the present invention should not be limited by any of the above-described exemplary embodiments, but should be defined only in accordance with the following claims and their equivalents. In addition, it should be understood that the attached drawings, which highlight functionality described herein, are presented as illustrative examples. The architecture of the present invention is sufficiently flexible and configurable, such that it can be utilized and navigated in ways other than that shown in the drawings. Further, the purpose of the Abstract is to enable the U.S. Patent and Trademark Office and the public generally, and especially scientists, engineers, and practitioners in the relevant art(s), who are not familiar with patent or legal terms and/or phraseology, to determine quickly from a cursory inspection the nature and essence of the technical subject matter disclosed herein. The Abstract is not intended to be limiting as to the scope of the present invention in any way. It is also to be understood that the procedures recited in the claims need not be performed in the order presented. |
|
046997512 | claims | 1. A neutron dosimeter to determine the magnitude of dose or fluence of neutrons having energies from a few ev of 10.sup.7 ev with sufficient sensitivity to cover a range from less than 1 mrad to several megarads, which comprises: a solid target, said target containing at least a matrix material and a sputter material, said matrix material characterized by stably retaining said sputter material, said sputter material characterized by being sputtered from said target as a result of said neutrons as an indicator of said magnitude of said dose or fluence of said neutrons; a collector for said sputter material sputtered from said target, the quantity of said sputter material at said collector being a measure of said magnitude of said dose or fluence; wherein said matrix material is selected from a group consisting of polycrystalline metals, amorphous metals and silicon; and wherein said sputter material is selected from a group consisting of noble gases, noble metals and alkaline halides. a target, said target containing at least a matrix material and a sputter material, said matrix material characterized by stably retaining said sputter material, said sputter material characterized by being sputtered from said target as a result of said neutrons as an indication of said magnitude of said dose or fluence of said neutrons; a collector for said sputter material sputtered from said target, the quantity of said sputter material at said collector being a measure of said magnitude of said dose or fluence; at least one hydrogenous radiator material proximate said target, said radiator material producing recoil particles upon exposure to said neutrons, said recoil particles enhancing sputtering of said sputter material from said target; an enclosure for said target and said radiator material; wherein said matrix material is selected from a group consisting of polycrystalline metals, amorphous metals and silicon; and wherein said sputter material is selected from a group consisting of noble gases, noble metals and alkaline halides. a target, said target containing amorphous metal particles as a matrix and a noble gas entrapped in said amorphous metal particles; a hydrocarbon radiator intimately mixed with said amorphous metal particles; and a collector for noble gas sputtered from said amorphous metal particles as a result of said neutrons, the quantity of said collected noble gas being a measure of said magnitude of said dose or fluence; and and enclosure surrounding said target; said radiator and said collector. 2. The neutron dosimeter of claim 1 further comprising at least one radiator material proximate said target, said radiator material producing recoil particles upon exposure to said neutrons, said recoil particles enhancing sputtering of said sputter material from said target. 3. The neutron dosimeter of claim 1 wherein said target comprises a substrate and a surface deposition on said substrate, said surface deposition containing said sputter material. 4. The neutron dosimeter of claim 3 wherein said sputter material in said surface deposition is a noble gas. 5. The neutron dosimeter of claim 1 wherein said sputter material is a noble gas. 6. The neutron dosimeter of claim 5 wherein said noble gas in an isotopic specie. 7. The neutron dosimeter of claim 5 wherein said noble gas is xenon. 8. The neutron dosimeter of claim 5 wherein said collector for said sputtered material is an enclosure for said target, said enclosure being initially substantially free of said noble gas. 9. The neutron dosimeter of claim 1 wherein said sputter material is a noble metal. 10. The neutron dosimeter of claim 9 wherein said noble metal is gold. 11. The neutron dosimeter of claim 2 wherein said radiator material is selected to achieve a selected energy response to said neutrons. 12. The neutron dosimeter of claim 2 wherein said radiator material comprises ordered layers of recoil particle radiator material, and wherein said target and said radiator material are encompassed in an enclosure. 13. The neutron dosimeter of claim 2 wherein said radiator material comprises small particles of recoil particle radiator material, and said target comprises small particles, said small particles of radiator material being randomly mixed with said small particles of said target. 14. The neutron dosimeter of claim 2 wherein said radiator material is a liquid, and said target comprises small particles suspended in said liquid radiator, and further comprises an enclosure for said liquid radiator containing said small particles of said target. 15. The neutron dosimeter of claim 1 wherein said matrix material is a polycrystalline metal, and said sputter material is a noble gas entrapped in said matrix. 16. The neutron dosimeter of claim 1 wherein said matrix material in an amorphous metal, and said sputter material is a noble gas entrapped in said matrix. 17. The neutron dosimeter of claim 1 wherein said matrix materials is silicon, and said sputter material is a noble gas entrapped in said matrix. 18. The neutron dosimeter of claim 2 wherein said radiator material is a hydrocarbon. 19. A neutron dosimeter to determine the magnitude of dose or fluence of neutrons having energies from a few ev to 10.sup.7 ev with sufficient sensitivity to cover a range from less than 1 mrad to several megarads, which comprises: 20. A neutron dosimeter to determine the magnitude of dose or fluence of neutrons having energies from a few ev to 10.sup.7 ev with sufficient sensitivity to cover a range from less than 1 mrad to several megarads, which comprises; |
043615341 | claims | 1. A method of simultaneously analysing the aluminium and silicon content of a sample of material using only a single irradiation from a single neutron source, comprises the steps of: (a) irradiating the sample only with fast neutrons from said single neutron source, (b) monitoring the thermal neutron flux within the sample, which flux results from moderation of the fast neutrons within the sample material, (c) monitoring the gamma radiation from the irradiated sample at 1.78 MeV and at an energy selected from the group consisting of (1) 1.015 MeV, (2) 0.844 MeV and (3) 1.015 and 0.844 MeV; (d) using the monitored gamma radiation of energy selected from said group to estimate the aluminium content of the sample; and (e) using the monitored gamma radiation at 1.78 MeV, compensated by the gamma radiation at 1.78 MeV due to the thermal neutron reaction with the estimated aluminium in the sample, to estimate the silicon content of the sample. (a) a fast neutron source means for irradiating said sample of material; (b) a thermal neutron detector means located to monitor the thermal neutron flux in the irradiated sample which flux results from moderation of the fast neutrons within the sample material; and (c) a gamma ray detector means, separated from the neutron source and shielded therefrom, for monitoring the gamma spectrum from the irradiated sample, at least at 1.78 MeV and at an energy selected from the group consisting of (1) 1.015 MeV, (2) 0.844 MeV and (3) 1.015 MeV and 0.844 MeV. 2. A method as defined in claim 1, in which step (c) includes compensating the measured gamma radiation at an energy selected from said group for Compton scattered 1.78 MeV gamma radiation and background gamma radiation. 3. A method as defined in claim 1 or claim 2, in which the determination of the aluminum content of the sample in step (d) is effected using the equation EQU Al=a.sub.0 +a.sub.1 G.sub.T +a.sub.2 W+a.sub.3 J 4. A method as defined in claim 3, in which the determination of the silicon content in step (e) is effected using the equation EQU Si=b.sub.0 +b.sub.3 J+b.sub.4 G.sub.T N.sub.t +b.sub.2 W, 5. A method as defined in claim 1, in which the sample is a bulk sample and in which steps (a) and (b) are carried out at a first location, steps (c) and (d) are carried out at a second location which is remote from said first location, and said bulk sample is rapidly moved from said first location to said second location when steps (a) and (b) have been completed. 6. A method as defined in claim 4, in which said sample is being carried on a moving conveyor belt. 7. A method as defined in claim 6, in which steps (a) and (b) are carried out at a first location above or below said conveyor and steps (c) and (d) are carried out at a second location above or below said conveyor, said second location being downstream of said first location. 8. A method as defined in claim 4, in which said sample is the material in the wall of a borehole. 9. Apparatus for the simultaneous analysis of aluminium and silicon content of a sample of material using only a single irradiation from a single neutron source comprises: 10. Apparatus as defined in claim 9, including a sample container adapted to be movable quickly from a first location, in which it is positioned in close proximity to said fast neutron source means and said thermal neutron detector means, to a second location, remote from said first location, in which it is positioned in close proximity to said gamma ray detector means. 11. Apparatus as defined in claim 10, in which said container is mounted on a railway track. 12. Apparatus as defined in claim 10 or claim 11, wherein said sample container is a brass box. 13. Apparatus as defined in claim 9, in which said fast neutron source means and said thermal neutron detector means are mounted at a first location in close proximity to a conveyor belt adapted to transport said material, and said gamma ray detector means is mounted in close proximity to said conveyor belt in a second location which is downstream of said first location. 14. Apparatus as defined in claim 9, in which the fast neutron source, the thermal neutron detector and the gamma ray detector are mounted on a borehole probe. 15. Apparatus as defined in claim 14, in which said fast neutron source is encased in a cadmium shell. 16. Apparatus as defined in claim 15 including spectrum stabiliser means for stabilizing the spectrum from the irradiated sample. 17. Apparatus as defined in claim 16, including plural channel analyser means for monitoring the output of said gamma ray detector means and having channels responsive to the output of said gamma ray detector means when gamma radiation within a plurality of pre-selected energy windows is incident thereon, said energy windows including energy windows encompassing 0.844 MeV, 1.015 MeV and 1.78 MeV. 18. Apparatus as defined in claim 17, including (a) a plurality of counters, each counter being associated with a respective output of one of the channels of said plural channel analyser means, (b) a display device, and (c) a microprocessor programmed to derive, from the output of each said counter, values of the aluminium and silicon content of a material and to cause said display device to display and/or record said values. 19. Apparatus as defined in claims 17 or 18 wherein said multiple channel analyser means comprises a plurality of single channel analysers. 20. Apparatus as defined in claims 17 or 18 wherein said multiple channel analyser means comprises a multi-channel analyser. |
description | 1. Technical Field This invention relates generally to apparatus for generating neutrons and in particular to neutron generators for subsurface applications. 2. Description of Related Art The characteristics of geological formations are of significant interest in the exploration for, production and monitoring of subsurface water, oil and gas. To that end, a variety of techniques have been developed to measure subsurface characteristics and evaluate the obtained data to determine the petrophysical properties of interest. These techniques typically involve the subsurface deployment of tools or instruments equipped with sources adapted to emit energy into the formations (usually through a borehole traversing the formations). The emitted energy interacts with the surrounding formations to produce signals that are detected and measured by one or more sensors or detectors on the instrument. By processing the detected signal data, a profile or log of the subsurface properties is obtained. A variety of logging techniques have been developed to evaluate subsurface formations. A number of such techniques involve emitting neutrons into the formation and evaluating the results of neutron interactions with formation nuclei. Neutrons have no electric charge and their mass is similar to that of a proton. The lack of charge allows neutrons to penetrate into formations. This property of neutrons makes it ideal for subsurface logging applications. In the formation, neutrons interact with matter in a wide variety of ways. The characteristics of some of these interactions can be used to measure the formation properties. Various types of radiation sources have been used in subsurface logging systems. For example, neutrons or gamma rays may be generated simply through the use of radioactive isotopes (which naturally decay over time), an x-ray source may be used or neutrons may be generated in an electronic device utilizing a nuclear reaction generating neutrons on demand. U.S. Pat. Nos. 3,255,353, 4,810,459, 4,879,463 and 4,904,865 describe logging instruments equipped with active radiation sources and appropriate sensors. For neutron logging, the chemical source has the advantage of being virtually indestructible. It has no electronic parts, so it can be relied upon to always produce neutrons (zero downtime). However, this is also a disadvantage of the chemical source. Because the emission of neutrons cannot be shut off, strict radioactive safety procedures must be followed when handling the source and the instrument containing the source. This disadvantage prompted the development of electronic neutron sources. High-energy neutrons may be generated through the controlled collision of energized particles by using a nuclear fusion reaction. Such a system is commonly referred to as a neutron generator. The generation of neutrons on demand by the use of energetic particle beams allows the construction of a neutron source which emits neutrons in bursts of well-determined duration and time sequences. One such pulsed neutron generator is described in U.S. Pat. No. 5,293,410. The neutron generator described in the '410 patent uses an accelerator tube in which charged particles, such as Deuterium ions, are accelerated through an electric-static potential and collide with a target element such as Tritium. The reaction of the Deuterium ions with the Tritium target produces almost monoenergetic neutrons at an energy level of about 14 MeV. In most applications the neutrons are not emitted continuously but in short bursts of well-defined durations and in repetitive sequences. When using such a pulsed neutron generator, the formation surrounding the instrument is subjected to repeated, discrete “bursts” of neutrons. U.S. Pat. Nos. 4,501,964, 4,883,956, 4,926,044, 4,937,446, 4,972,082, 5,434,408, 5,105,080, 5,235,185, 5,539,225, 5,219,518 and 5,608,215 describe logging instruments equipped with neutron generators. FIG. 1 shows a “hot cathode” electronic neutron generator 10. These generators 10 usually have three major features: (i) a gas source to supply the reacting substances, such as Deuterium (H2) and Tritium (H3); (ii) an ion source comprising usually at least one anode and a cathode to emit electrons; and (iii) an accelerating gap to impel produced ions to a target to generate nuclear reactions with energy expressed in millions of electron volts (MeV). The neutron generator 10 of FIG. 1 uses a gas source 12 formed from a helically wound filament 14 coated with Zirconium, which when heated releases the gas. Under typical operating conditions, the filament 14 is heated by electric current to trigger the gas release, a cathode 16 is heated by electric current, and the emitted electrons are accelerated through an electric field to create an ion beam to strike a target 18 and generate neutrons. This conventional generator design requires a fair amount of electrical energy to power its components. Many potential neutron generator applications require operation with a battery pack as a power source. Additionally, space applications of neutron generators for elemental surveys of planets and asteroids need systems that operate on as little power as possible. Conventional neutron generators have rather high power requirements. A need remains for improved neutron generators that require less power to operate. One aspect of the invention provides a neutron generator for subsurface use. The generator includes a grid configured to produce an ionizable gas when heated by electrons impinging thereon; a cathode configured to emit electrons to heat the grid and collide with ionizable gas atoms to generate ions; and a target to generate neutrons from a collision of ions impinging on the target. Another aspect of the invention provides a neutron generator for subsurface use. The generator includes a tube forming a sealed envelope to contain a gas; a grid disposed within the tube to produce an ionizable gas when heated by electrons impinging thereon; a cathode disposed within the tube to emit electrons to heat the grid and collide with ionizable gas atoms to generate ions; and a target disposed within the tube to generate neutrons from a collision of ions impinging on the target. Another aspect of the invention provides a tool for subsurface use incorporating a neutron generator. The neutron generator includes a tube forming a sealed envelope to contain a gas; a grid disposed within the tube to produce an ionizable gas when heated by electrons impinging thereon; a cathode disposed within the tube to emit electrons to heat the grid and collide with ionizable gas atoms to generate ions; and a target disposed within the tube to generate neutrons from a collision of ions impinging on the target. Another aspect of the invention provides a method for constructing a neutron generator for subsurface use. The method includes disposing a cathode within a tube; disposing a grid within the tube to produce an ionizable gas when heated by electrons impinging thereon; configuring the cathode to emit electrons to strike the grid and to collide with ionizable gas atoms to generate ions; and disposing a target within the tube to generate neutrons from a collision of ions impinging on the target. Another aspect of the invention provides a method for constructing a neutron generator for subsurface use. The method includes disposing a cathode within a tube configured for mounting on a tool for subsurface disposal, the tube forming a sealed envelope to contain a gas; disposing a grid within the tube to receive electrons emitted from the cathode, the grid configured to produce an ionizable gas when heated by electrons impinging thereon; configuring the cathode to emit electrons to collide with ionizable gas atoms to generate ions; and disposing a target within the tube to generate neutrons from a collision of ions impinging on the target. FIG. 2 shows an aspect of the invention. A neutron generator 30 generally comprising a structure similar to the generator described in U.S. Pat. No. 5,293,410 (assigned to the present assignee and entirely incorporated herein by reference) is shown. The generator 30 includes a hollow cylindrical tube 32 made of a suitable material and providing a gas-tight housing, an ion source tube 34, a gas supply grid 36, an extractor electrode 38, a target electrode 40, and a cathode 48. The tube 32 comprises parallel transversely disposed flanges 43, 44, 46 providing electrically conductive paths and sturdy support for the generator components as described herein. The ion source tube 34 comprises a cylindrical hollow electrode 50 aligned with the longitudinal axis of the generator 30 and made out of a mesh or coil. The electrode 50 is secured rigidly to flange 44 (e.g., by conductive pads). The electrode 50 is configured to provide several functions. A portion of the electrode 50 comprises a grid 36 disposed transversely to the longitudinal axis of the generator, near the cathode 48. The grid 36 provides a gas supply for the generator 30. The grid 36 comprises a planar mesh or screen coated with a material that releases ionizable Deuterium and Tritium gases when heated. The grid 36 may be formed from any suitable materials with a high melting temperature such as Tungsten or Rhenium or alloys thereof. The grid 36 may be coated with a film formed using Titanium, Scandium, or Zirconium, for example. The coating(s) may be applied on the grid 36 using any techniques known in the art. In the aspect shown in FIG. 2, the grid 36 is shown positioned in front of the cathode 48 at one end of the ion source tube 34. Other aspects may be configured with the grid 36 positioned within the hollow portion of the electrode 50 (not shown). The grid 36 also facilitates electron emission, accelerating electrons from the cathode 48 toward the grid 36. The elongated hollow portion of the electrode 50 shapes an electric field in the ion source region to expel ions out of the ion source tube 34. The grid 36 segment and the hollow portion of the electrode 50 are electrically connected and operated at the same voltage. A voltage (either direct or pulsed current) in the range of a few hundred volts may be applied to the electrode 50. In the aspect shown in FIG. 2, the cathode 48 is disposed close to one end of the electrode 50. The cathode 48 comprises an electron emitter consisting of a block of material susceptible, when heated, to emit electrons. The cathode 48 emitter is affixed to flange 43 and coupled to a cathode heater current means 100 to provide the power for heating the emitter as known in the art. In other aspects of the invention, the cathode 48 can be configured with more than one emitter element or with an emitter disposed inside the hollow electrode 50 (not shown). In some aspects, the cathode 48 comprises a thermionic cathode. Thermionic cathodes are heated cathodes, as opposed to cold cathodes which emit electrons without being heated. When implemented with a thermionic cathode 48, the cathode is preferably of the “dispenser” or “volume” type. A dispenser cathode used in a hydrogen environment maximizes electron emissions per unit of heater power compared to other thermionic type cathodes, while operating at a moderate temperature. Aspects of the cathode emitter block comprise a substrate made of porous Tungsten, impregnated with a material susceptible to emit electrons, such as compounds made with combinations of, e.g., Barium Oxide and Scandium Oxide. Each cathode has different susceptibility to their operating environment (gas pressure and gas species). Dispenser cathodes are known to be demanding in terms of the vacuum requirements and care needed to avoid contamination. Aspects of the invention are implemented with a dispenser cathode disposed in a Deuterium or Tritium gas environment within the generator housing. This configuration provides several hundred hours of electron emission current yet requires only a few watts of heater power. The cathode 48 is provided with cathode heater current 100 which is distinct from the ion source tube 34 voltage supply 150. This implementation permits better control of both heater current means 100 and voltage supply 150. The generator 30 is also incorporated with an extractor electrode 38 disposed at the end of the ion source tube 34, facing the target electrode 40 at one end of the tube 32. The extractor electrode 38 is supported by a flange 46. The extractor electrode 38 comprises an annular body (e.g., made of Nickel or an alloyed metal) and is in alignment with the longitudinal axis of the tube 32. A central aperture 52 in the electrode 38 body diverges outwardly in a direction away from the ion source tube 34 to produce a torus-shaped contour 39 at the end of the body facing the target electrode 40. The extractor electrode 38 provides one of the electrodes for an accelerating gap 54 that impels ionized Deuterium and Tritium particles from the ion source tube 34 toward the Deuterium- and Tritium-filled target 40. The target 40 includes a thin film of Titanium or Scandium deposited on the surface of the target electrode 40, facing the ion source tube 34. The potential that accelerates the ions to the target 40 is established, to a large extent, between the extractor electrode 38 and a suppressor electrode 56. The suppressor electrode 56 is a concave member that is oriented toward the target electrode 40 and has a centrally disposed aperture 58 which enables the accelerated ions in the gap 54 to impinge on the target 40. The suppressor electrode 56 is connected to a high voltage supply means 160. In order to prevent electrons from being extracted from the target 40 upon ion bombardment (“secondary electrons”), the suppressor electrode 56 is preferably at a negative voltage with respect to the voltage of the target electrode 40. Aspects of the invention may also be implemented with a cut-off electrode 60 added to the extractor 38. As described in U.S. Pat. No. 5,293,410, slow moving ions tend to generate a tail in the neutron pulse at the moment the voltage pulse is turned off, which is detrimental to the pulse shape. A cut-off electrode 60 provides a remedy for this situation. The electrode 60 may be in the form of a mesh screen, which is fixed at the aperture 52 of the extractor electrode 38, facing the ion source tube 34. The cut-off electrode 60 may be made of high transparency Molybdenum mesh. The cut-off electrode 60 is subjected to voltage pulses synchronized with and complementary to the voltage pulses applied to the anode 50. The pulses applied to cut-off electrode 60 are positive. In another aspect, the cut-off electrode 60, instead of being subjected to voltage pulses, may be maintained at a positive voltage (e.g., a few volts). This low positive voltage prevents the slow ions produced at the end of the pulse in the ion beam from leaving the ion source, which provides a sharp cut-off at the end of the neutron pulse (i.e., a short fall time). The cut-off electrode 60 is preferably made of a metallic grid in the form of a truncated sphere, and its concavity turned towards the target 40. Part of the electrode 60 mesh screen might protrude inside the cylindrical hollow anode 50. In order to generate a controlled output of neutrons, continuously or in recurrent bursts, ion source tube 34 voltage supply means 150 provides power for the bombarding ion beam. For pulsed operation, an ion source pulser may be provided at the output of the ion source voltage supply 150 to regulate its operation. In this aspect, the ion source pulser has a direct output connected to the electrode 50 and a complementary output connected to extractor electrode 38. The high voltage supply 160, the ion source voltage supply 150, and the ion source pulser may be of any suitable type as known in the art (e.g., described in U.S. Pat. Nos. 3,756,682, 3,546,512 or 5,293,410). As previously described, the ionizable gas supply for the generator 30 is provided by the grid 36. During operation, some electrons in the electron beam current emitted from the cathode 48 strike the grid 36 in its path causing it to heat up. Other electrons pass through the open spaces in the grid 36 to collide with the ionizable gas atoms. The heated grid 36 releases Deuterium and Tritium gases, achieving a pressure within the generator housing envelope that is adequate to obtain a desired ion beam current for the generator 30 to operate. Since gas release from the grid 36 is activated by heating of the grid, the cathode heater current can be used to control the ion beam current, and thus neutron generation. For example, an aspect of the invention can be configured with fixed high voltage and electrode 50 voltage such that the ion beam current on target 40 will be a function of the cathode 48 heater current, the temperature profile of the grid 36, and the amount of Deuterium and Tritium added to the tube 32. If the neutron output should increase as a result of an increase in the cathode electron emission, the cathode heater current can be regulated to decrease the electron beam on the grid and thereby reduce the gas pressure within the generator. The lower gas pressure in effect decreases the number of ions available for acceleration, and thus restores the neutron output to a stable, regulated value. In another aspect of the invention, the amount of Deuterium and Tritium gas added to the tube can be used to determine the range of ion beam current over which the generator will operate. For example, in an aspect where the Deuterium and Tritium gas content within the housing volume is such that the cathode 48 is heated to emit 20 mA of electrons that are accelerated through 240 volts, the cathode heater current can be regulated to control the ion beam current (e.g., over a range of 0-100 μA). If desired, the neutron output can be monitored directly, and either the anode electrode 50 voltage supply or the high voltage power supply can be controlled automatically or manually to achieve stable neutron output. In the event the generator 30 is supplied only with Deuterium gas, neutrons are produced as a result of Deuterium-Deuterium interactions, rather than the Deuterium-Tritium reactions considered in the foregoing description. The high voltage established between the extractor electrode 38 and the suppressor electrode 56 produces a steep voltage gradient that accelerates Deuterium and Tritium ions from the electrode aperture 52 in extractor electrode 38 toward the target 40. The energy imparted to the ions is sufficient to initiate neutron generating reactions between the bombarding ions and the target nuclei and to replenish the target 40 with fresh target material. FIG. 3 shows another aspect of the invention. A downhole tool 70 is disposed in a borehole 72 that penetrates a subsurface formation. The tool 70 might be, for example, of the type described in U.S. Pat. Nos. 7,073,378, 5,884,234, 5,067,090 and 5,608,215 (all assigned to the present assignee and entirely incorporated herein by reference). The tool 70 includes a neutron generator 30 of the invention, which emits successive pulses of neutrons into the formations surrounding the borehole 72. Radiation resulting from interactions between the neutrons and formation nuclei is detected by a nuclear detector 76. Appropriate shielding 78 is interposed between the detector 76 and the neutron generator 30 to reduce the undesired direct flux of radiation. Output signals from the detector 76 are typically passed by electronics/circuitry 80 for analysis and transmitted to a computer 82 as known in the art. Though not illustrated in FIG. 3 aspects of the neutron generator 30 may be implemented with a multi-housing structure comprising an inner tube (such as sealed tube 32) contained within another housing (which may hold power supplies, additional gases, etc.), which can be further contained in a third housing (which may be configured to protect its contents from external pressure and house additional components). The tool 70 is shown supported in the borehole 72 by a carrier 84, which can be a wireline system (e.g., slickline, logging cable, coiled tubing, etc.) or a drill string in the case of a while-drilling system. With a wireline tool, the tool 70 is raised and lowered in the borehole 72 by a winch 86, which is controlled by the surface equipment 88. The carrier 84 includes conductors 90 that connect the downhole electronics and neutron generator 30 with the surface equipment 88 for signal/data/power and control communication. Alternatively with drill string or slickline logging cable, the power may be supplied downhole, the signals/data may be processed and/or recorded in the tool 70 and the processed data transmitted by various means to the surface equipment 88. FIG. 4 shows a flow chart of a method 200 according to the invention for constructing a neutron generator. In one aspect, at step 205 a method entails disposing a cathode 48 within a tube. At step 210, a grid 36 is disposed within the tube to produce an ionizable gas when heated by electrons impinging thereon. At step 215, the cathode is configured to emit electrons to strike the grid and to collide with ionizable gas atoms to generate ions. At step 220, a target 40 is disposed within the tube to generate neutrons from a collision of ions impinging on the target. FIG. 5 shows a flow chart of a method 300 according to the invention for constructing a neutron generator. In one aspect, at step 305 a method entails disposing a cathode 48 within a tube configured for mounting on a tool 70 for subsurface disposal, the tube forming a sealed envelope to contain a gas. At step 310, a grid 36 is disposed within the tube to receive electrons emitted from the cathode, the grid configured to produce an ionizable gas when heated by electrons impinging thereon. At step 315, the cathode is configured to emit electrons to collide with ionizable gas atoms to generate ions. At step 320, a target 40 is disposed within the tube to generate neutrons from a collision of ions impinging on the target. Aspects of the methods 200, 300 may be implemented using any of the configurations and techniques disclosed herein. It will be apparent to those skilled in the art that aspects of the invention may be implemented using general-purpose computers having appropriate hardware and programmed with software to perform the techniques disclosed herein. The programming may be accomplished through the use of one or more program storage devices readable by the computer processor(s) and encoding one or more software programs of instructions executable by the computer for performing the operations described herein. The program storage device may take the form of, e.g., one or more floppy disks; a CD ROM or other optical disk; a magnetic tape; a read-only memory chip (ROM), and other forms of the kind well known in the art or subsequently developed. The program of instructions may be “object code,” i.e., in binary form that is executable more-or-less directly by the computer, in “source code” that requires compilation or interpretation before execution; or in some intermediate form such as partially compiled code. The precise forms of the program storage device and of the encoding of instructions are immaterial here. Thus these processing means may be implemented in the surface equipment, in the system tools, in a location remote from the well site (not shown), or shared by these means as known in the art. Aspects of the invention may also be implemented using conventional display means situated as desired to display processed or raw data/images as known in the art. While the present disclosure describes specific aspects of the invention, numerous modifications and variations will become apparent to those skilled in the art after studying the disclosure, including use of equivalent functional and/or structural substitutes for elements described herein. For example, it will be appreciated that the disclosed instrument configurations can be implemented with various additional types of sources and sensors to perform a variety of subsurface measurements (besides nuclear-type measurements). It will also be appreciated that the disclosed aspects can be implemented with conventional electronics, sensors, hardware, circuitry, housings and materials as known in the art. Systems implemented with the disclosed configurations for use in wireline, slickline, production logging, LWD/MWD, LWT, marine environments, and reservoir monitoring are all possible venues for applications of this invention. All such similar variations apparent to those skilled in the art are deemed to be within the scope of the invention as defined by the appended claims. |
|
060552956 | abstract | A method for automatically setting a collimator of an x-ray imaging system during image acquisition includes receiving rapid scout images at an imaging station. The location of the body regions in one of said images is then automatically detected. The detected location of the body regions is used to generate settings for the collimator. The settings are used for automatically adjusting the collimator to substantially cover the non-body regions and substantially expose the body regions. |
claims | 1. A method of controlling a nuclear power plant comprising the steps of: determining a first maximum linear heat generation rate and a first minimum critical power ratio in a certain time interval by a first calculation by a core monitoring system; determining a second maximum linear heat generation rate and a second minimum critical power ratio in a present moment by a second calculation by an automatic thermal limit monitor which corrects the first maximum linear heat generation rate and the first minimum critical power ratio by utilizing values of plant data at a time when the first calculation is made by the core monitoring system and the plant data of the present moment in a time interval which is shorter than the time interval of the first calculation; comparing the second maximum linear heat generation rate and the second minimum critical power ratio with predetermined thermal limit values, respectively; and holding a control signal outputted from an automatic power regulator system to a re-circulation flow rate control system and to a control rod positioning control system when at least one of the second maximum linear heat generation rate and the second minimum critical power ratio exceeds the predetermined thermal limit values. 2. A method of controlling a nuclear power plant according to claim 1 , further comprising the steps of: claim 1 determining the first maximum linear heat generation rate and the first minimum critical power ratio by the first calculation by the core monitoring system under the state where the control signal outputted from the automatic power regulator system is held; comparing the first maximum linear heat generation rate and the first minimum critical power ratio so determined with the predetermined thermal limit values; and stopping the holding of the control signal in the automatic power regulator system when the first maximum linear heat generation rate and the first minimum critical power ratio are below the predetermined thermal limit values. 3. A method of controlling a nuclear power plant according to claim 1 , further comprising the steps of: claim 1 determining the first maximum linear heat generation rate and the first minimum critical power ratio by the first calculation by the core monitoring system under the state where the control signal outputted from the automatic power regulator system is held; comparing the first maximum linear heat generation rate and the first minimum critical power ratio so determined with the predetermined thermal limit values; and stopping the output of the control signal in the automatic output regulator system when at least one of the first maximum linear heat generation rate and the first minimum critical power ratio exceeds the predetermined thermal limit values. |
|
summary | ||
summary | ||
summary | ||
062051954 | description | DETAILED DESCRIPTION In FIG. 1, a system 10 for imaging an object according to the invention is shown including excitation source 12 which generates an energy beam 14, coded aperture 16 having a predetermined configuration, detector 18 and data processor 20. In use, a conveyance means 22 delivers a target object 24 to a location where it is interrogated by energy beam 14. The energy beam 14 causes nuclear excitation of the. target object 24. In response, the nuclei of the target object 24 emit characteristic radiation. Detector 18 detects the characteristic radiation after the radiation passes through coded aperture 16 and produces detection signals representative of the energy of the detected radiation. Processor 20 characterizes target object 24 based upon the detection signals from detector 18 and based upon the predetermined configuration of coded aperture 16. In one preferred embodiment, the nuclear excitation energy source 12 is a beam of fast neutrons. Various neutron sources can be used in the present invention including "sealed tube D-T generators" available from commercial source such as MF Physics, Inc. of Colorado Springs, Colo., or Sodern SA of Paris, France. Alternatively, linear electron accelerators with tungsten-beryllium targets, or radio frequency quadrupole linear accelerators or electrostatic accelerators can also serve as neutron sources. The sources are preferably used in conjunction with reflectors and/or collimators that direct the neutrons into a compact beam for interrogation of the object. Fast neutrons, those with energies above about 1 MeV, are capable of penetrating materials to a depth sufficient for the examination of large objects such as luggage or cargo containers. One embodiment of this invention detects those gamma rays which are produced by neutron activation of the nuclei of elements that make up the target object. The energy of an individual emitted gamma ray is determined by which nucleus emits the gamma-ray. Thus, measuring the energy and origin point of gamma rays emitted by a target object provides the information necessary to determine the elemental composition of the target object. When the invention is used in the context of contraband detection by means of fast neutron bombardment, the probing neutrons interact with the contents of the object 24 and induce the material to emit gamma-rays 26. With reference to FIG. 2, the detector 18 is further illustrated in selected proximity to the target object 24 and the coded aperture 16 is disposed substantially between the target object 24 and the detector 18. Thus, gamma rays 26 emitted by a target nuclei within a particular defined volume (or "voxel") 32 are selectively passed through the coded aperture 16 and impinge on the detector 18. The coded aperture comprises aperture regions 34 and opaque regions 36. The emitted gamma-rays 26 pass through the aperture regions 34 of the coded aperture 16 and are blocked by the opaque regions 36. As further illustrated in FIG. 2, each individual voxel 32 acts as a point source for emissions and casts a shadow of the coded aperture pattern on the detector plane. If an extensive source is used, which can be treated as multiple point sources, the multiple point sources will each cast a particular shadow of the coded aperture pattern on the detector plane, superimposing many individual patterns on the detector plane. Thus, the detector-coded aperture system operates in multiplex fashion. The detector 18 provides detection signals representative of the energy and pattern of the emitted gamma-rays. A processor 20 can subsequently characterize the target object 24 based on the detection signals. The total number of photons (signal) recorded will be larger than that in a single pinhole system or a multihole collimator system because the total photon transmission area is increased, with every emission source contributing to many detector units. Collimators are not necessary, thus resulting in an improved signal to noise ratio (SNR). A three dimensional image can be reconstructed after decoding (de-multiplexing) the recorded signal. With reference again to FIG. 1, a position-sensitive detector array is preferably used to record the transmitted emission signals. If detection time is not an issue, which means a long detection time is acceptable, and the photon source is stable (not time-variable), a single detector can be used to record the spatial distribution of the transmitted signal by moving through the whole shadow-casting area within a plane. Alternatively, a line detector or a two dimensional detector array can also be used. The discussion that follows focuses on one-dimensional and preferably two dimensional detector arrays in this invention because a short detection time is typically needed in practical detection applications. Various assemblies can be used as detectors. One typical arrangement is to employ a scintillating material in conjunction with photomultipliers. Useful scintillators include sodium iodide, cesium iodide, gadolinium oxyorthosilicate (GSO), bismuth germanate (BSO), and lutethium oxyorthosilicate (LSO). The scintillators can be constructed as discrete elements or as a single large crystalline sheet. An array of photomultipliers is typically used in conjunction with the scintillator material to accurately record each incident gamma ray, its energy, and its position. Other detectors could include noble gas ionization chambers or solid state materials such as high purity germanium. FIG. 3 illustrates an alternative embodiment 100 of the invention in which an excitation energy source (e.g., a source of fast neutrons) 130 directs an interrogation beam 132 towards an object 138, the contents of which are to be investigated. The object 138 is carried past the beam 132 within a shielded chamber 135 on a conveyor belt 140, or equivalent parcel-carrying mechanism. The conveyor belt can be driven by a motor 142 in a continuous or step-wise fashion. A detector array 144 of gamma-ray detectors is selectively positioned next to the object 134 as it is irradiated by the interrogation beam 132 within the shielded chamber 135. Appropriate control circuits 148 interface with the above-described components. The control circuits, in turn, are monitored or driven by a suitable computer 154. The computer 154 includes conventional input/output devices such as a keyboard 156, a terminal display screen 158, and/or a printer (not shown). Additional devices 160, such as non-volatile memory (e.g. disk or tape memory) may also be coupled to the computer 154, as required, in order to facilitate operation of the system to collect and/or retrieve or track the historical data that may be needed to assure a fast and reliable system performance relative to detection of various materials. The detector array and coded aperture of FIG. 3 can be a one-dimensional system operated in a scanning mode to yield three-dimensional data. Certain elements of interest such as hydrogen (H), carbon (C), Oxygen (O), and Nitrogen (N) show up in the energy spectrum as peaks (lines of interest) at particular positions in the measured spectrum. By using appropriate processing circuits, the presence or absence of such energy peaks is monitored using a computer. If prescribed signatures of certain elements are found to be present within at least one particular small subsection of object volume, or "voxel", (see FIG. 2), of the object 24, such finding can suggest that explosives are present in that voxel of the object. Thus, the imaging capability provided by the coded aperture (described further below) combined with the signature gamma ray detection ability of the detector array provide the computer with ability to detect and localize explosive material. When such material is detected an alarm can alert operating personnel that explosive material or other contraband may be present within the object. FIG. 4 shows fast neutron activation cross-sections for various elements of interest. FIG. 4 shows the cross section (in barns) of certain atomic nuclei for the production of the indicated gamma-ray lines as a function of incident neutron energy (in MeV). The concept of nuclear cross sections is well understood by those skilled in the art and is fully disclosed in the literature. To illustrate the manner in which the information presented in FIG. 4 is to be read, a basic understanding of the manner in which nuclear interactions occur and the corresponding measurements are made is helpful. The relevant literature fully documents such interactions and measurement techniques, see e.g. Knoll, G. F., Radiation Detection and Measurement, John Wiley & Sons (1979). When a neutron enters a given material, e.g. nitrogen, it has some probability to collide with an atomic nucleus so as to produce a gamma-ray. This probability is proportional to the fast neutron activation cross-section, examples of which are shown in FIG. 4. A gamma-ray is emitted only when a given amount of energy is transferred to the target nucleus. The probability that an interaction will occur, and hence the probability that a gamma-ray will be emitted significantly increases with the fluency of neutrons. Appropriate detectors can be positioned to absorb the gamma-rays and to measure their energy. FIGS. 5A-5C show actual gamma-ray spectra measured, using realistic (non-ideal) measuring equipment and conditions, when various samples, many of which contained combinations of hydrogen, nitrogen, carbon, and/or oxygen, were irradiated with fast neutrons. Note that the vertical axis of the spectra shown in FIGS. 5A-5C is measured in "counts" where one count indicates the detection of a pulse (due to a gamma ray interaction in a scintillator detector) of the particular energy indicated by channel number on the horizontal axis. These figures are useful to show the versatility of the present invention in being able to identify the "signatures" of different types of contraband. Here, a signature of a material comprises the energy versus counts spectrum expected to be emitted by the material when irradiated by fast neutrons. These figures also illustrate the difficulty in recognizing a specified signature due to background or instrumental noise that appears in the spectrum. The most prominent gamma peaks corresponding to neutron reactions with hydrogen, carbon, nitrogen, and oxygen are 2.225, 4.43, 2.31/5.10, 3.68/6.13 MeV, respectively. In one embodiment according to the invention, a pulsed neutron source, such as a pulsed sealed tube source, is used. Thus, according to this embodiment, the coded aperture imaging system of the present invention can detect radiation 1) when the source is on and the nuclei are being excited by the source and 2) when the source is off. The data processor can then subtract the radiation detected when the source was off, i.e. the background radiation, from the radiation detected when the source was on. This method improves the signal-to-noise ratio. Thus, the present invention is in part based on the phenomenon that bombardment of target nuclei by fast neutrons induces signature gamma-ray emission. The energy of the emitted signature gamma-rays reflects the elemental character of the emitting nuclei. Advantageously, the neutron activation cross sections are reasonably large. The above description, presented in connection with FIGS. 1-5, is greatly simplified. Nonetheless, it illustrates to those less familiar with gamma-ray spectroscopy, the manner in which the present invention non-invasively detects a specified type of material, such as explosives, within a closed object. However, the manner in which coded apertures provide spatial resolution of the sources of the gamma rays requires further description. The concept of coded aperture imaging is partly based on that of a single pinhole camera. In one example of a pinhole camera system, a sheet with a single pinhole is placed between a detector array and a source. Thus, when an individual detector in the detector array detects a photon, a processor can determine from which direction the photon came based on the location of the pinhole with respect to the individual detector. Although the detector system can be very efficient for photons, the pinhole system severely limits the number of photons that can arrive at the detector system. The pinhole size is proportional to the sensitivity, and inversely proportional to the spatial resolution of the system. Thus, a pinhole system is of limited use for contraband detection. A multihole collimator system consists of multiple parallel tube-like collimators and a detector system that is position-sensitive. Compared to a single pinhole system, the multihole collimator system loses tomographic (essentially depth perception) capability. Good radiography can be done; and the spatial resolution is usually higher than that of a single pinhole system. As with pinhole collimators, multihole collimators typically pass only 0.01% of the radiation emitted by the object, thus causing a substantial signal loss and possibly poor statistics for processing of the detected signals. In the pinhole and multihole collimator systems, there is an inevitable trade-off between efficient use of (or sensitivity to) radiation emitted by the target object and spatial resolution of the emitting sources. Furthermore, Manufacturers consider other features, such as tomographic capability for a planar imaging system, in choosing a system design. In a multihole collimator system, if the detection time and the detector system are fixed, the only way to increase the sensitivity of the system to radiation emitted by a target object is to enlarge the aperture size of the collimators, which will degrade the system spatial resolution. One solution to the trade-off between sensitivity and resolution is provided by coded aperture imaging systems. The concept behind these systems is that if one carefully chooses how to degrade the resolution of the detector system, it is possible to postprocess the detected signals to recover spatial resolution and still enjoy improved statistical quality in the processed signals. The coded aperture method uses multiple specially arranged apertures to enlarge the total (photon) transmission area without losing spatial resolution. Coded aperture techniques are different from conventional planar imaging methods in that the detected signal is not a directly recognizable image. The signal is encoded and must be decoded before a visible image can be obtained. This is like tomography, which needs postprocessing to present the image. Coded aperture methods include two processes: coding and decoding. First, information about the object being imaged is coded in the detected signal; second, the detected signal is decoded to form the three-dimensional (3-D) image of the object. The coding process allows the reconstruction of an object slice at a particular depth in the object while blurring other slices in the object, thus resulting in tomographic capability. The decoding process is necessary and is not an image enhancement technique, although image enhancement techniques can also be used. Coding methods include one-dimensional (1-D) and two-dimensional (2-D) coding. A one-dimensional coding pattern is a line of specially arranged apertures, while a two-dimensional pattern is the result of a two-dimensional aperture array. The former is a special case of the latter. Various coding patterns can be employed, including the patterns of a random array, a Fresnel zone plate, and a uniformly redundant array (URA). Theoretically, the apertures can be in any shape for the same system performance, such as polygons, circles, rings, or a mixture of them. Typically, the aperture shape is the same as the cross-sectional shape of the detector unit to improve the detection efficiency. URA patterns have been shown to have the smallest artifacts in the reconstructed images and allow detector usage ratios of 100%. In order to obtain a satisfactory image, high sensitivity and high spatial resolution are desirable. The flat side-lobes of a URA system response function make URA coding a good candidate for practical applications. The advantages of URA coded aperture methods are an improved SNR, the same resolution as that of a single pinhole imaging system whose pinhole size is the same as the aperture size in the URA pattern, minimal side-lobes of the system response function, and tomographic capability. A uniformly redundant array coded aperture pattern for use in the present invention is preferably composed of several mosaics of a basic pattern that is pseudo-random. If the repetition time of the basic pattern in each dimension is n, it is usually required that n is at least 2. If n is larger, the system field-of-view (FOV) is larger. However, the system resolution and sensitivity are the same for n.gtoreq.2 if the detectors are the same. It is necessary for n to be at least 2 to avoid the consideration of the edge effects of the coded aperture plane, and achieve a 100% detector usage ratio. It is always the case that the shadow-casting of the URA coded aperture pattern covers the area of the whole detector array. That is to say, the detectors are always within the "shadow" of the URA pattern if the object is within the system FOV. Without considering the edge effects, it can be shown that the system response function or system point-spread function (SPSF) always has flat side-lobes for large basic coded aperture patterns. If the basic pattern has enough pseudo-random units, for example, more than 41.times.43 apertures, it can be shown that the SPSF in the space domain is very flat except for its central peak. URA coding methods employ a pseudo-random array as a basic pattern then repeat the basic pattern in all dimensions. The coding process is a shadow-casting operation. Radiation from a target object is encoded as it passes through a coded aperture. A detector array detects the encoded radiation and provides detection signals representative of the encoded radiation. A processor must decode the detection signals before a visible image can be obtained. Decoding of the recorded signal can be performed as a correlation or deconvolution operation in the space domain, or a Fourier transform and filtering (multiplication) operation in the reciprocal (frequency) domain followed by an inverse Fourier transform, as described later. Other transforms, such as a Hadamard transform, can be used instead of the Fourier transform. The system point-spread function has a sharp central peak, which has the same resolution as that of a pinhole camera with the same pinhole size, but has a larger magnitude or SNR, and possibly has small side-lobe peaks which become smaller with a larger basic coding patterns. If URA coding with a large basic pattern is used, it can be shown that the SPSF is a good impulse function .delta.(x, y) in the space domain. Coded aperture imaging methods have tomographic capability. Only one slice is decoded each time. For a different slice, the decoding function (matrix) is different by a scaling factor. Multiple such decoded slices together form the whole 3-D reconstructed image. The following discussion is based on the decoding of a single slice; and the variable z is used to indicate the depth coordinate of the slice. A reconstructed image is obtained by R(x,y,z)=S(x,y,z)xH(x,y,z), where z is the coordinate of the axis that is perpendicular to the coded aperture plane, x andy are the coordinates of the axes such that the x, y, and z axes form a Cartesian coordinate system, S(x, y, z) is the source distribution function, H(x, y, z) is the system point-spread function or system (pulse) response function, R(x, y, z) is the reconstructed image function, and x denotes a convolution operation. In actual applications, the imaging steps are as follows: Record all signals from the sources that are imaged; PA1 Fix z and reconstruct the corresponding slice of the object; PA1 Change z and repeat the previous step to reconstruct other slices of the object; PA1 Combine all reconstructed slices to obtain the final 3-D image of the object under examination. PA1 as large as possible, PA1 pseudo-random, PA1 (2s -1) by (2s+1) aperture units, where s is a positive integer, PA1 the number of aperture units in the coded aperture should be one greater than the number of opaques units. PA1 The transparent (open) and opaque apertures should distribute roughly evenly in space; PA1 The basic URA pattern should be pseudo-random; PA1 The basic pattern contains 18 holes (open apertures) and 17 opaques; PA1 The URA plane is self-supportive. PA1 G(x,y)=1 (when (x,y) corresponds to a hole), PA1 m/(m-n) (when (x, y) corresponds to an opaque), There are several methods for decoding which include but are not limited to deconvolution, correlation, Fourier transform, and Hadamard transform methods. In the following description, 2-D coding and decoding are assumed, of which 1-D coding and decoding are just a special case. A deconvolution is the reverse operation of a convolution. Assume A and B are two m.times.r matrices; a.sub.i,j and b.sub.i,j are their elements respectively. Their convolution matrix C is defined as EQU C(x,y)=A(x,y)xB(x,y), with each element of C as ##EQU1## where matrices A and B are filled with zero outside the m.times.n dimension. The reverse operation is called deconvolution. However, a precise deconvolution is not always possible. An approximation of the deconvolution operation (x.sup.-1) is EQU B(x,y)=C(x,y)x.sup.-1 A(x,y)+E(x,y), where E is a noise or error matrix. If C=AxB, then E=O, and this is a precise deconvolution operation. Generally, E.noteq.O, however, the noise matrix E can be omitted for simplicity. In such an instance, C=AxB is just an approximation of the deconvolution operation, and may cause side-peaks in the SPSF and distortion (artifacts) in the reconstructed images. A correlation (.THETA.) of two matrices is defined as EQU C(x,y)=A(x,y).THETA.B(x,y), with each element of matrix C as ##EQU2## where A and B are two m.times.n matrices with their elements outside the m.times.n dimension filled with zero, a.sub.i,j and b.sub.i,j are their elements respectively, C is their correlation matrix, c.sub.x,y is its element, and .THETA. denotes a correlation operation. If A and B are identical, then C is called the autocorrelation of matrix A (or B). A correlation shows the degree of relation of two matrices; thus, an autocorrelation matrix usually has a strong central peak. Typically, the larger the dimension of a matrix, the sharper the central peak of the autocorrelation matrix. However, the distribution and values of the matrix elements also affect the shape and magnitude of the central peak. A normal (positive) decoding algorithm uses the coding matrix itself as the decoding matrix; thus the SPSF is the autocorrelation operation of the coding matrix, and this is called a matched normal (positive) decoding algorithm. A good coding matrix design produces an autocorrelation matrix with a sharp central peak and flat side-lobes; such a coding matrix design results in a large SNR and a narrow full-width-half-magnitude (FWHM) value of the central peak of the SPSF. In other words, such a coding matrix design produces an coded aperture imaging system with high sensitivity and high spatial resolution. A subtraction decoding algorithm uses negative values for some elements in the decoding matrix and decreases the magnitude of the side-lobes of the SPSF. For example, if the coding matrix consists of 0 and 1, the decoding matrix (called the G function) can use -1 and 1, respectively, and this is called the matched subtraction decoding algorithm. Another example is that the decoding matrix G uses ##EQU3## and 1, respectively, and is called the balanced decoding algorithm because the side-lobes of the SPSF are "balanced" or smaller. Thus, the SPSF is more like a .delta. function in the space domain. Decoding can be done by using Fourier transform methods with filtering, in either the reciprocal (frequency) domain, or the space domain. A convolution operation in the space domain is equivalent to a multiplication operation in the reciprocal (frequency) domain, EQU AxB=.Fourier..sup.-1 {.Fourier.{A}*.Fourier.{B}}; while the relation of a cross-correlation operation in the space domain with its counterpart in the reciprocal (frequency) domain is EQU A.THETA.B=.Fourier..sup.-1 {.Fourier.{A}.sup.* *.Fourier.{B}}, where .sup.* means a conjugate, * means a multiplication operation of two matrices and is "single-element-to-element," rather than a normal matrix multiplication operation, .Fourier. denotes a Fourier transform operation, .Fourier..sup.-1 denotes an inverse Fourier transform operation, and A and B are matrices in the space domain. The operation, e.g. convolution, performs the decoding or filtering, and the decoding function or the filter is a matrix. The correlation decoding methods described previously can also be implemented by Fourier transform methods. One expects the SPSF is a .delta. function in the space domain, which means it is uniform in the reciprocal (frequency) domain, thus causing no distortion for any frequency. This is the case when a large basic URA coding pattern is used. However, if the basic URA pattern is not very large, a filter matrix can be used to improve the system performance and make the SPSF as EQU .Fourier.{H}=.Fourier.{C}*.Fourier.{G}=I, where I is a matrix in which all diagonal elements are 1, C is the coding matrix, G is the filter or decoding matrix, and H is the SPSF matrix. H, C, and G are in the space domain. If c.sub.i,j, g.sub.i,j, and h.sub.i,j are the ith row and jth column elements of the matrices .Fourier.{C}, .Fourier.{G}, and .Fourier.{H} respectively, then EQU h.sub.i,j =c.sub.i,j.times.g.sub.i,j where .times. denotes a normal multiplication operation of real or complex numbers. Because EQU h.sub.i,j.tbd.1, one obtains ##EQU4## If c.sub.i,j =0, one may set g.sub.i,j as a very large constant number instead of infinity. It makes the SPSF a .delta. function or nearly a .delta. function in the space domain. Hence, the system has high spatial resolution with minimal distortion. Decoding can be implemented in the space domain. After obtaining a Fourier transform of the coding matrix, based on the filter matrix design discussed above, one can obtain the Fourier transform of the SPSF (a FTSPSF). Taking an inverse Fourier transform of the FTSPSF gives the system (pulse) response function SPSF in the space domain. Practically, one can take an inverse Fourier transform of .Fourier.{G} to get the decoding function (matrix) in the space domain; then the convolution of the matrix of the signal detected with the decoding matrix in the space domain yields the reconstructed image slice, which is EQU R(x,y,z)=D(x,y)xG(x,y,z), where D is the matrix of the detected signal, z is the coordinate of the image slice that is decoded, G is the decoding function (matrix), and R is the matrix of the decoded image slice. R, D, and G are matrices in the space domain. Decoding can be implemented in the reciprocal domain, i.e. the frequency domain. Based on the filter design discussed above, one can take a Fourier transform of the matrix of the detected signal and multiply it with .Fourier.{G}, then one can take an inverse Fourier transform to obtain the reconstructed image as follows: R(x,y,z)=.Fourier..sup.-1 {.Fourier.{D(x,y)}*{G(x,y,z)}}, where R is the matrix of the decoded (reconstructed) image slice (corresponding to coordinate z), .Fourier.{D(x,y)} is the matrix of the detected signal, and .Fourier.{G(x,y,z)} is the matrix of the decoding function (for the image slice corresponding to coordinate z). R is in the space domain while the other matrices are in the reciprocal (frequency) domain. The variable z corresponds to the slice being decoded. Similar expressions for the correlation decoding methods in the space domain can also be obtained. Hadamard Transform Methods can also be used. These methods are similar to the Fourier transform methods, except that they are convenient for correlation operations in the space domain if the matrix elements have only two values such as 1 and -1. In general, convolution with the coding function itself should not be used as the SPSF because the SPSF is typically poor in that case, no matter whether a (matched) positive or subtraction decoding algorithm is used. A deconvolution is complex and can produce residues which cause noise; thus, it is not recommended. The correlation and Fourier transform methods are two good ways for implementing decoding in coded aperture imaging methods. For small basic URA patterns, the correlation method is simpler and preferable; while for large basic URA patterns, the Fourier transform method is faster and preferable. For a URA coded aperture plane with a basic pattern composed of (2s-1) by (2s+1) aperture units, where s is a positive integer, it can be shown that the SPSF using a correlation decoding method (the basic pattern correlates with the whole URA pattern) has very flat side-lobes. Hadamard transforms can also produce very flat side-lobes. In one embodiment according to the invention, an extended Hadamard cyclic difference set coded aperture is used. A cyclic difference set can be defined such that the rotated versions of the set have the same property as the original set. A Hadamard array can be composed of elements equal to 0 or 1, and its rows and columns are mutually orthogonal. An extended Hadamard array can be an array composed of elements equal to 0 or 1. Thus an extended Hadamard cyclic difference set can be an array composed of elements equal to 0 and 1, and all of the rotated versions of the array including the original array each have the same "inner product" value. In other words, all of the rotated versions of the array including the original array have the same peak value for the elements of their respective cross-correlation matrices. A Hadamard set represents pinhole sets. Thus, one embodiment of the invention uses extended Hadamard cyclic difference sets to include all circulants or cyclic difference sets which are composed of elements equal to 0 or 1. The spatial resolution of the imaging system of this invention is determined by the object-imaging system geometry. In neutron activation analysis techniques, there exists a need to lower the dose to the object under examination, and to collect high energy gamma rays. For these reasons, in one embodiment, the invention uses high density large geometry scintillation detectors to detect, in a short time, a sufficient number of photons for imaging. In one embodiment, 10.times.10.times.10 cm.sup.3 sodium iodide (NaI) detectors are used. In one embodiment of this invention, photon energies from 1 MeV to 6.13 MeV are of interest. As a result of the high energy of the photons, the coded aperture is more "transparent" in the opaque regions. High energy photons and short-distance sources make this implementation of a coded aperture imaging system different from other implementations. In addition, one goal of the invention is "detecting" selected nuclear elements and localizing the 3-D positions of the special nuclear elements to provide elemental composition and density information. This information is used for decision making rather than for obtaining a very. good (3-D nuclear elemental distribution) image; this makes the artifacts in the reconstructed image for a small URA pattern tolerable. In order to use digital equipment such as a computer for processing, one needs to represent the data digitally. For transparent (open) apertures, the corresponding detector units are assigned 1; while for opaques, the corresponding detector units are assigned 0. This assignment assumes that no photons are attenuated in the transparent aperture area and no photons transmit the opaque area. This is not the actual case; and adjustments in simulations and actual decoding processes can be made based on the imperfect absorption and transmission of photons. A coded aperture plane can be self-supportive or not. If it is not self-supportive, which means not all opaques are connected to each other, then a low photon attenuation supporting plane is necessary, although it decreases the SNR. A coded aperture plane should be made of high Z (atomic number) and high density materials for the best photon shielding effect. Candidate materials for such a purpose are lead (atomic number Z=82, physical density .rho.=11.36 g/cm.sup.3), sintered tungsten (atomic number Z=74, physical density .rho.=17 g/cm.sup.3), and depleted uranium (.sup.238 U) (atomic number Z=92, physical density .rho.=18.68 g/cm.sup.3). The basic pattern in a URA design should obey the following rules: In addition, in a URA pattern, the repetition times of its basic pattern should be at least 2 in each dimension; otherwise, the detector system must be large enough to contain the whole shadow of the coded aperture pattern, thus causing the detector usage ratio to be less than 100%. A self-supportive pattern is preferable to avoid the requirement of a support structure. A support structure can decrease the SNR. A self-supportive pattern is a pattern where all opaque units are connected to each other, either at corners, or directly side to side or top to bottom. URA coded aperture imaging methods have very flat sidelobes in the system response function. A random pattern should be used for each basic pattern. E. E. Fenimore in Coded Aperture Imaging With Uniformly Redundant Arrays, Applied Optics, 17(3):337-347, February 1978 suggested that the pseudo-noise array described by Calabro and Wolf can be used to design the basic pattern, which has (2s -1) by (2s+1) aperture units. This is true for a very large basic pattern, for example, when s is at least 21. In an implementation of the present invention, large sodium iodide (NaI) scintillation detectors (a 10.times.10 cm.sup.2 cross-sectional area for each detector) were used to form an 8 by 8 array; thus the dimension of the pixels was limited to 8 by 8. At this setting, a 7 by 5 coded aperture pattern is optimal. Many coded aperture designs from 8.times.8, 8.times.7, 8.times.6, 7.times.7, 7.times.6, and 7.times.5 basic patterns have been tried. Among them, 7.times.5 basic patterns have the best performance, which means the flattest side-lobes of the system response function. Designs based on Fenimore's suggestions have been tried, and the SPSF results for a 7.times.5 basic pattern are shown in FIGS. 6C-6F. This design is not the best case because Calabro and Wolfs description of the pseudo-noise array applies to large patterns, while the basic pattern of this invention is a relatively small 7.times.5 array. For one embodiment of the invention, it is possible to design the basic pattern manually for optimal performance. Theoretically, a 7 by 5 pattern has C.sub.35.sup.18 or roughly 4.5.times.10.sup.9 different cases of design. However, choices are limited and thus manual optimization is possible after one considers the following rules to obtain a good system response function: In implementing one embodiment of the invention, about 30 designs were investigated, and a preferred design is shown as a 7.times.5 mosaic and a two-pattern mosaic in FIGS. 6A and 6B, respectively. FIG. 6 shows URA coded aperture design 1: a pseudo-noise pattern and its SPSF. This design is based on Fenimore using the Pseudo-Noise function of Calabro and Wolf. The basic 7.times.5 pattern is drawn in (a). A two-mosaic (in each dimension) pattern is drawn in (b). The SPSF based on a matched normal (positive) decoding algorithm is plotted as cross-sectional and three-dimensional views in (c) and (d) respectively. The SPSF based on a matched subtraction decoding algorithm is plotted as cross-sectional and three-dimensional views in (e) and (f) respectively. One can see that the SPSF is not as good as that shown in FIG. 7 because this pseudo-noise function should apply to large basic URA patterns. FIGS. 7A shows URA coded aperture design 2: a preferred pattern and its SPSF. A two-mosaic (in each dimension) pattern is drawn in (b). The SPSF based on a matched normal (positive) decoding algorithm is plotted as cross-sectional and three-dimensional views in (c) and (d) respectively. The SPSF based on a matched subtraction decoding algorithm is plotted as cross-sectional and three-dimensional views in (e) and (f) respectively. Note the flat side-lobes of the SPSF, especially when a matched normal (positive) decoding algorithm is used. FIG. 8A shows the SPSF for URA coded aperture design 2 based on a balanced decoding algorithm. The decoding function (matrix) uses m/(m-n) instead of -1, where m is 6 and n is 18 in this design. The SPSF based on this balanced decoding algorithm is plotted as cross-sectional and three-dimensional views in (a) and (b) respectively. Note the SNR is improved over that based on the matched (but unbalanced) decoding algorithm shown in FIGS. 7E and 7F. A matched normal (positive) decoding algorithm occurs when the decoding matrix is the coding matrix itself, and a matched subtraction decoding algorithm occurs when the decoding matrix uses -1 instead of 0. A balanced decoding algorithm occurs when the decoding matrix uses m/(m-n) instead of -1, as described below with respect to the G function. For all these algorithms, the decoding process is a correlation operation and no filters are used. Note the flat side-lobes of the SPSF in FIG. 7A where a preferred pattern design is shown, especially if a matched normal (positive) decoding algorithm is used, which corresponds to the "intrinsic" behavior of the coded aperture pattern and is the starting point of the preferred pattern design. If a filter is used as the decoding matrix, as described in the Fourier transform methods above, the SNR can be increased by making the SPSF approximately a .delta. function, although this is not the "intrinsic" behavior of the system and other system performance parameters could be effected. The maximum ##EQU5## improvement of the SNR for URA coded aperture methods is the limit for a matched normal (positive) decoding algorithm, which causes the SPSF to have the same spatial resolution as that of a corresponding single pinhole camera. Note that for a small basic pattern, the 1/2 transparency rate rule still applies. However, Fenimore's suggestion of using the pseudo-noise function of Calabro and Wolf is not valid any more. This suggestion is only suitable for large basic patterns. Fortunately, for a small basic pattern, manual design is possible. Importantly, a difference in a small basic pattern design could result in a large change in the system response function because it is more difficult to design a pseudo-noise arrangement in a small pattern than in a large pattern. This difficulty indicates that carefully designed coded apertures are needed to achieve optimal performance of coded aperture imaging systems. Various simulations have been performed to analyze the designs of a 7.times.5 basic pattern, as well as other patterns. Fenimore has shown that a basic URA pattern with r by r -2 units has good response, where r is an odd number. The simulation results according to the present invention were consistent with Fenimore's findings. Some researchers suggest that the decoding function G should have the following features: where m is the value of the side-lobes of CxC, and n is the number of transparent (open) apertures. The value of m is 9 for the pseudo-noise pattern shown in FIG. 6A, and is 6 for a preferred pattern shown in FIG. 7A. A balanced decoding algorithm uses this G function (matrix), and the SPSF for the pattern of FIG. 7A is shown in FIGS. 8A and 8B, where one can see the SNR is larger than that in the matched decoding algorithm case. For the pseudo-noise pattern shown in FIG. 6A, the balanced decoding algorithm is the same as the matched decoding algorithm. System response functions based on a matched normal decoding algorithm for a preferred design are shown in FIGS. 7E-7F. Note the matched normal (positive) decoding algorithm yields a very smooth SPSF for the design, and the SNR is 3. The coded aperture theory predicts that the maximum improvement of the SNR of a URA coded aperture imaging system with a 7.times.5 basic pattern over a single pinhole camera is ##EQU6## where N is the number of open apertures, 18 in this case. The SNR is proportional to the square root of the number of photons collected because of the statistical nature of the photon detection. In addition, because the multiplexing in the coded aperture methods increases signal and noise (called the multiplexing noise) at the same time, the net increase of the SNR in a coded aperture imaging system is ##EQU7## where N is the increase of the number of photons collected, and is the same as the number of open apertures in a coded aperture imaging system (compared to a single pinhole camera system). If a balanced decoding algorithm is used, or a filter is used, such as that in the Fourier transform methods, the SNR will increase because the SPSF is more like a function in the space domain. However, if a filter is used, the SPSF is not the "intrinsic" response of the system. Fast neutron activation analysis techniques combined with coded aperture imaging methods are novel in explosive and drug detection. High electron density materials should be used to make the opaque regions in the coded aperture plane so as to produce opaque regions that will not transmit photons emitted from the target object 24 to the detector 18. This invention requires high Z (atomic number) and high physical density materials. Depleted uranium (.sup.238 U) is a good candidate because it has a very high electron density and a small fast neutron reaction cross-section. However, the fabrication cost is high because of its hardness. In one embodiment, this invention uses lead as the photon shielding material supported by an aluminum plane because lead is cheap and easily available, although depleted uranium has better properties for high energy photon shielding. Alternatively, Hevimet (sintered tungsten) is another option. Monte Carlo simulations for 1.25 MeV and 6 MeV photon sources have been carried out to test the system performance. These photon energies simulated the actual signature gamma-ray energies in explosive detection. In the simulations, coded aperture plane materials were lead and depleted uranium. Lead is easily shaped and is a normal photon-shielding material and thus easily available. Depleted uranium has a high electron density, and thus is an excellent photon-shielding material. Both materials, as well as Hevimet, are potentially usable in the coded aperture plane fabrication. According to another embodiment, the coded aperture imaging of the invention is applied in metal processing to determine the elemental composition of molten alloy in a rapid, non-invasive manner. According to yet another embodiment, the coded aperture imaging of the invention is applied to the analysis of mineral ores to assist in subsequent processing of the ores. It will be understood that changes may be made in the above construction and in the foregoing sequences of operation without departing from the scope of the invention. It is accordingly intended that all matter contained in the above description or shown in the accompanying drawings be interpreted as illustrative rather than in a limiting sense. It is also to be understood that the following claims are intended to cover all of the generic and specific features of the invention as described herein, and all statements of the scope of the invention which, as a matter of language, might be said to fall there between. |
description | FIG. 1 is a view showing an overall structure of a beam direct-writing apparatus 1 in accordance with a first preferred embodiment of the present invention. The beam direct-writing apparatus 1 has a head part 2 for emitting an electron beam used for performing beam direct-writing on a substrate 9, a stage 3 for supporting the substrate 9, a stage driving part 31 for transferring the stage 3 relatively to the head part 2 and a computer 4 connected to the head part 2 and the stage driving part 31. The head part 2 has a beam emission part 21 for generating the electron beam and an optical unit 22 for appropriately guiding the electron beam to the substrate 9. The optical unit 22 has a beam shaping part 221 for shaping the electron beam, a deflection part 222 for deflecting the electron beam and an objective lens part 223 for converging the electron beam and guiding it to the substrate 9. The electron beam emitted from the beam emission part 21 is deflected and shaped into a desired beam shape by a plurality of apertures in the beam shaping part 221, and further deflected for the purpose of main scan (scan across regions on the substrate 9) and subscan (scan in a region) in the deflection part 222. After that, the electron beam is converged on the substrate 9 by the objective lens part 223 and beam direct-writing is performed on the substrate 9. The layout of the beam shaping part 221, the deflection part 222 and the objective lens part 223 are not limited to the above-discussed case, and the order and partial layout of the constituent elements may be changed as appropriate. A sub-subscan for scanning further divided regions may be performed. The stage driving part 31 has an X-direction transfer mechanism 32 for transferring the stage 3 in the X direction of FIG. 1 and a Y-direction transfer mechanism 33 for transferring the same in the Y direction. In the X-direction transfer mechanism 32, a ball screw (not shown) is connected to a motor 321 and through rotation of the motor 321, the Y-direction transfer mechanism 33 is transferred in the X direction along guide rails 322. The Y-direction transfer mechanism 33 has the same constitution as the X-direction transfer mechanism 32 and through rotation of a motor 331, the stage 3 is transferred by a ball screw (not shown) in the Y direction along guide rails 332. The computer 4 has a general structure of computer system, as shown in FIG. 2, where a CPU 41 for performing various computations, a ROM 42 for storing a basic program and a RAM 43 for storing various information are connected to a bus line. To the bus line connected are a fixed disk 44 for storing information, a display 45 for displaying various information, a keyboard 46a and a mouse 46b for receiving inputs from an operator, a reader 47 for reading information out from a computer-readable recording medium 8, such as an optical disk, a magnetic disk and a magneto-optic disk, and a communication part 48 for sending a control signal to the head part 2 and the stage driving part 31, through an interface (I/F) or the like, as appropriate. A program 441 is read out from the recording medium 8 through the reader 47 to the computer 4 in advance and stored in the fixed disk 44. Then, the program 441 is copied to the RAM 43 and the CPU 41 executes the computation according to the program in the RAM 43 (in other words, the computer executes the program), and thus the computer 4 controls the constituent elements to perform a beam direct-writing. FIG. 3 is a block diagram showing a functional structure implemented by the CPU 41, the ROM 42, the RAM 43, the fixed disk 44 and the like, through operation of the CPU 41 according to the program 441. FIG. 3 shows functions of a control part 51 and a path setting part 52 implemented by the CPU 41 and the like. These functions may be implemented by dedicated electric circuits, or may be partially implemented by the electric circuits. When the beam direct-writing apparatus 1 performs beam direct-writing, writing point data 401 indicating positions of a plurality of writing points and writing condition data 402 indicating writing conditions such as size and shape of the beam are stored into the fixed disk 44 in advance by the operator through the keyboard 46a, the reader 47 and the like. The writing point data 401 is coordinate data with parameters of coordinates in the X and Y directions of FIG. 1 (coordinates relative to a predetermined reference point). The writing point data 401 is transferred from the fixed disk 44 to the path setting part 52 and processed by constituent elements of the path setting part 52 which are discussed later, and a passing order of the writing points are thereby obtained and transferred to the control part 51. The control part 51 controls the stage driving part 31 to transfer a portion which corresponds to one semiconductor chip on the substrate 9 to a position immediately below the head part 2, and controls the head part 2 according to the passing order of the writing points and the writing condition data 402 to perform a writing in a predetermined region of the semiconductor chip. FIG. 4 is a flowchart showing an operation flow of the path setting part 52 for setting a path which is a passing order (writing order) of the writing points in the predetermined region on the substrate 9. The operation for setting the path will be discussed below along the flowchart of FIG. 4, referring to FIG. 3. First, a divided-region generation part 521 in the path setting part 52 receives the writing point data 401 stored in the fixed disk 44 and simply divides a predetermined region on the substrate 9 which contains the writing points into a predetermined number of divided regions which have almost the same size (Step S11). When writing points 60 are present in a two-dimensional region 6 which is defined by the X and Y coordinates as shown in FIG. 5, for example, the region 6 is divided into four divided regions, being divided into two in each of the X and Y directions. FIG. 6 is a view illustrating divided regions 61 which are thus obtained. In FIG. 6, reference sign 61a is given to the divided region 61 on the right lower side ((+X) and (xe2x88x92Y) side) and reference sign 61b is given to the divided region 61 on the left lower side ((xe2x88x92X) and (xe2x88x92Y) side). Subsequently, the divided-region generation part 521 counts the number of writing points 60 in each divided region and further equally divides the divided region which contains writing points 60 over the predetermined upper limit number into two in the X and Y directions (in other words, simply divides the divided region into four rectangular divided regions) (Steps S12 and S13). In FIG. 6, when the upper limit number of points is five, each of the divided regions 61a and 61b which contain the writing points over five is equally divided into four divided regions 62 as shown in FIG. 7. In FIG. 7, reference sign 62a is given to the divided region 62 which is obtained by dividing the divided region 61a (see FIG. 6) and positioned on the left upper side ((xe2x88x92X) and (+Y) side) and reference signs 62b and 62c are given to the divided regions 62 which are positioned on the left side and on the lower side of the divided region 62a, respectively. In an actual computation, Steps S12 and S13, which are executed for each divided region generated by division, are recursively executed until the number of writing points 60 in each divided region becomes not more than the upper limit number. In the case of FIG. 7, since writing points 60 over the upper limit number are present in the divided region 62a, the divided region 62a is further equally divided into four divided regions 63 (reference signs 63a, 63b, 63c and 63d are given to the respective divided regions from the left upper one in a counterclockwise direction) as shown in FIG. 8. Since the number of writing points 60 in each divided region becomes not more than the upper limit number, the division is finished (Step S12) and the divided regions 61 to 63 are finally set. Next, a passing order of the electron beam among the divided regions 61 to 63 which are generated by the divided-region generation part 521 (a passing order among the divided regions passed by a finally obtained path passing through the writing points 60) is set (Step S14). The passing order among the divided regions may be set by any method but in the first preferred embodiment, the passing order is set by using the Hilbert Curve generation algorithm (hereinafter, abbreviated as xe2x80x9cusing the Hilbert Curvexe2x80x9d as appropriate) which is suitable for setting the passing order among the rectangular divided regions. When the Hilbert Curve is used, since the passing order among the divided regions is set on the basis of the sequence of divisions, it is preferable to execute Step S14 simultaneously with Steps S12 and S13. Though Step S14 is executed independently in the operation flow of FIG. 4, for convenience of illustration, the beam direct-writing apparatus 1 of the first preferred embodiment executes Step S14 substantially in parallel with Steps S12 and S13. Discussion will be made below on setting of the passing order among the divided regions performed by using the Hilbert Curve simultaneously with the division. First, in Step S11, for the divided regions 61 which are obtained first, a passing order 70 in a loop passing all the divided regions 61 is set as shown in FIG. 9A. In this case, four passing orders as shown in FIGS. 9A to 9D, respectively, are possible, depending on which one of the divided regions 61 is the first of the passing order, and any one of the passing orders may be adopted in accordance with the specification of the apparatus and the substrate. FIGS. 10A to 10L show that in further division of one divided region into four divided regions (i.e., the second and subsequent divisions), the passing orders among the divided regions according to conversion patterns using the Hilbert Curve are determined in advance on the basis of the passing manners for the divided region before this division. In other words, when the passing order between the adjacent divided regions is as shown in the left view of each figure, the passing order after the division is as shown in the right view thereof. For example, the relation of passing order between the divided region 61b and the adjacent divided regions 61 is as shown in the left view of FIG. 10E and after the divided region 61b is equally divided into four as shown in FIG. 7, the passing order among the four generated divided regions 62 is as shown in the right view of FIG. 10E. Similarly, with respect to the divided region 61a of FIG. 6, the conversion shown in FIG. 10K is applied to the four divided regions 62 (see FIG. 7). Since the divided region 62a of FIG. 7 corresponds to the left upper one of the four regions in the right view of FIG. 10K, the relation of passing order between the divided region 62a and the adjacent divided regions is as shown in the left view of FIG. 10G. Accordingly, when the divided region 62a is further divided, the conversion of FIG. 10G is applied. In the beam direct-writing apparatus 1, by determining the conversion types shown in FIGS. 10A to 10L using the Hilbert Curve in advance as above, the passing order among the divided regions is set substantially in parallel with the division. FIG. 11 is a view showing a passing order 72 among the divided regions obtained substantially in parallel with the division in the region 6 shown in FIG. 5. In the passing order among the divided regions shown in FIG. 11, the first (i.e., the starting region) is the divided region 62b and the last (i.e., the end region) is the divided region 63a. After the passing order among the divided regions is set, data indicating the divided regions 61 to 63, the passing order among the divided regions and the positions of the writing points 60 are transmitted to a sectional path generation part 522. The sectional path generation part 522 sets the first writing point and the second writing point (which is the last writing point in a divided region) in each divided region on the basis of the passing order among the divided regions. One of the writing points which is adjacent to a side touching the preceding divided region in the passing order is set as the first writing point and one of the writing points which is adjacent to a side touching the subsequent divided region in the passing order is set as the second writing point (Step S15). The first and second writing points are used as endpoints of the path in one divided region which is obtained in the next step. In the first divided region of the passing order, one of the writing points 60 which is adjacent to a side touching the last divided region of the passing order is set as the first writing point, and in the last divided region of the passing order, one of the writing points 60 which is adjacent to a side touching the first divided region of the passing order is set as the second writing point. FIG. 12 is a view showing a manner of setting the first and second writing points (endpoints) of the divided regions 63a to 63d in FIG. 11 as an example. In FIG. 12, the passing order among the divided regions is 63b, 63c, 63d and 63a (see FIGS. 8 and 11). In the divided region 63b, one of the writing points 60 which is adjacent to a side 64a touching the preceding divided region 62c in the passing order is set as a first writing point 601, and one of the writing points 60 which is adjacent to a side 64b touching the subsequent divided region 63c in the passing order is set as a second writing point 602. Similarly, in the divided region 63c, one of the writing points 60 which is adjacent to the side 64b is set as the first writing point 601, and one of the writing points 60 which is adjacent to a side 64c touching the subsequent divided region 63d in the passing order is set as the second writing point 602, and in the divided region 63d, one of the writing points 60 which is adjacent to the side 64c is set as the first writing point 601, and one of the writing points 60 which is adjacent to a side 64d touching the subsequent divided region 63a in the passing order is set as the second writing point 602. In the last divided region 63a of the passing order among the divided regions, one of the writing points 60 which is adjacent to the side 64d is set as the first writing point 601, and one of the writing points 60 which is adjacent to a side 64e touching the first divided region 62b in the passing order is set as a second writing point 602a. Also in the divided regions 61 and 62 of FIG. 11, the first and second writing points 601 and 602 are similarly set, and in the first divided region 62b of the passing order, one of the writing points 60 which is adjacent to a side touching the last divided region 63a in the passing order is set as the first writing point 601. When the first writing point 601 and the second writing point 602 are the same point in one divided region, another first writing point 601 and another second writing point 602 of the second candidate are obtained and either the first writing point 601 or the second writing point 602 are changed to the second candidate. Subsequently, the sectional path generation part 522 sets a path passing the writing points 60 from the first writing point 601 to the second writing point 602 in each divided region by using a predetermined path setting algorithm (Step S16). In other words, a path in one divided region with the first writing point 601 and the second writing point 602 as the endpoints (hereinafter, referred to as xe2x80x9csectional pathxe2x80x9d) is set. As the path setting algorithm, for example, a local search method is used. FIG. 13 shows sectional paths 73 in the divided regions 63a to 63d which are set by the local search method. When an algorithm of obtaining the optimum path by repeating the setting of the endpoints and the setting of the sectional path is adopted, above Steps S15 and S16 are executed substantially in parallel with each other. In this case, none of the first writing point 601 and the second writing point 602 may be the point nearest to the above corresponding side (the side touching the preceding or subsequent divided region). After that, a path connecting part 523 connects the second writing point 602 in each divided region to the first writing point 601 in the next divided region according to the passing order among the divided regions (Step S17), and a whole path in the region 6 is thereby set. Since the first writing point of the first divided region 62b in the passing order is a starting point of the whole path and the second writing point of the last divided region 63a is a terminal point, these two writing points are not connected with each other. FIG. 14 is a view showing a path 74 which is obtained as above, and the path 74 is a path from a first writing point 601a of the divided region 62b to the second writing point 602a of the divided region 63a. The generated path 74 is outputted to the control part 51 and used for controlling the head part 2 as discussed above. Further, the beam direct-writing apparatus 1, which has been discussed above, can reduce the number of writing points 60 contained in each divided region while avoiding unnecessary division of regions by selecting a divided region with high density of writing points 60 and recursively dividing the selected divided region into smaller divided regions. Then, the passing order among the divided regions is quickly set by using the Hilbert Curve generation algorithm almost simultaneously with the division, and further the respective sectional paths for the divided regions 61 to 63 are set and these sectional paths are connected, to obtain the whole path 74. As a result, the path can be obtained quickly and easily. In other words, when the whole path of the region 6 is obtained by a high-level algorithm, since the number of computations exponentially increases as the number of writing points increases, it is impossible to obtain the path for a short time. In the beam direct-writing apparatus 1, however, since the path is set for the divided regions 61 to 63 which contain the writing points 60 not more than the upper limit number, it is possible to reduce the number of computations on the whole. Further, the above method for dividing the regions, which needs no simulation for determining the size of the divided region in advance, is effective particularly in the flexible manufacturing system (where the volume of production is low and there are a wide variety of products). Recursive executions of division of the regions (i.e., recursive calls of functions or generations of objects in a program) allow an increase in speed of computation and achieve setting of the path for a short time. In the beam direct-writing apparatus 1, since the passing order in a loop is given to the divided regions 61 which are first obtained, the first divided region and the last divided region in the passing order are necessarily adjacent to each other in the final group of divided regions. In other words, the passing order among the divided regions which is finally obtained is almost in a loop and the starting point and the terminal point of the path are made close to each other. This reduces the deflection for the subscan (scan in the region 6) when the beam direct-writing is finished on one region 6 and main scan (scan across a plurality of regions 6) of electron beam is performed to start the beam direct-writing on the next region 6. As a result, it is possible to suppress mutual influences between the controls of the main scan and the subscan and control the subscan needing high-precision positioning for a short time. Usually, the accuracy of written image is deteriorated as the electron beam is deflected in a larger range to move (jump) the irradiation position by longer distance. For this reason, in such a case, measures to keep the accuracy, such as insertion of dummy data, are taken. In the beam direct-writing apparatus 1, since the large jumps in the region 6 are reduced by the division of the regions and the deflection of the subscan is suppressed into small one also in the jump among the regions 6, it is possible to achieve a quick and high-accuracy writing. Assuming that no writing point 60 is present in the right upper divided region 61 (on the (+X) and (+Y) side) in FIG. 6, there is a possibility that a large deflection is need in the transfer from the left upper divided region 61 to the right lower divided region 61a. In this case, the amount of deflection of the electron beam can be suppressed by setting the passing order among the divided regions 61 which are first obtained as shown in FIG. 9B. FIG. 15 is a view showing an overall structure of an imaging apparatus 1a in accordance with a second preferred embodiment of the present invention. The imaging apparatus 1a has an image pickup part 2a for picking up a two-dimensional image by imaging the substrate 9, the stage 3 for supporting the substrate 9, the stage driving part 31 for transferring the stage 3 relatively to the image pickup part 2a and the computer 4 connected to the image pickup part 2a and the stage driving part 31. The imaging apparatus 1a has the same basic constitution as the beam direct-writing apparatus 1 of the first preferred embodiment and further has the image pickup part 2a instead of the head part 2 of the beam direct-writing apparatus 1. The same reference signs are given to the corresponding elements. The functional structure of the computer 4 is the same as shown in FIG. 3. The image pickup part 2a has a lighting part 23 for emitting illumination light, an optical system 24 which guides the illumination light to the substrate 9 and receives light from the substrate 9 and an image pickup device 25 for converting an image of the substrate 9 which is formed by the optical system 24 into an electrical signal. In the imaging apparatus 1a, imaging position data indicating the coordinate of an imaging position on the substrate 9 is inputted instead of the writing point data 401 (see FIG. 3) discussed in the first preferred embodiment. The imaging position data is processed by the constituent elements of the path setting part 52 and then a set path which is a passing order among a plurality of imaging positions is transmitted to the control part 51. The control part 51 controls the stage driving part 31 so that a plurality of imaging positions are sequentially positioned immediately below the image pickup part 2a in accordance with the set path, and the image pickup part 2a subsequently acquires images at a plurality of imaging positions. The acquired image data are stored into the fixed disk 44. An operation of the path setting part 52 for setting the path passing through a plurality of imaging positions is the same as that in the first preferred embodiment. Specifically, the imaging positions are processed instead of the writing points in the discussion with reference to FIG. 4. A preferable (short) path passing through the imaging positions is thereby obtained and an efficient image pickup is achieved. Though the substrate 9 is an object in the beam direct-writing apparatus 1 and the imaging apparatus 1a, in general, there are many cases where the writing points or the imaging positions are unevenly distributed, being locally concentrated, in a semiconductor substrate, a printed circuit board, a mask substrate and the like. Therefore, by finely dividing the locally concentrated regions in generation of the divided regions, it is possible to so effectively obtain the path. In other words, the beam direct-writing apparatus 1 and the imaging apparatus 1a are especially suitable for a substrate on which circuits are formed or a substrate used for circuit formation. Though the preferred embodiments of the present invention have been discussed above, the present invention is not limited to the above-discussed preferred embodiments but allows various variations. The step for setting the order to pass through the divided regions may be executed independently from the division of the regions as discussed above, and any algorithm may be used as an algorithm for setting the passing order. Though the Hilbert Curve generation algorithm is used in the above preferred embodiments as the simplest example, other algorithm of generating a plane filling type fractal curve may be also used. For example, as the fractal curve generation algorithms, e.g., the Peano Curve (in a strict sense), the Sierpinski Curve or the like, may be used. In Step S11 or S13, the region is not limitedly divided into four divided regions but also may be divided into more or less (for example, nine) divided regions. The shape of the divided region is not necessarily a rectangle. In other words, the region may be divided unequally, or may be divided into triangular divided regions. Even in the case where the region is divided into divided regions of other shape such as triangle, the fractal curve generation algorithm according to the shape of the divided regions may be used. Though the division of the divided regions are recursively executed until the number of writing points 60 in each divided region become not more than the upper limit number in Steps S12 and S13, only if the divided region is substantially divided into smaller region as the density of the writing points 60 in the divided region is higher, other criterion of division may be adopted. The operation flow of FIG. 4 may be changed as appropriate in a possible range. For example, a sectional path between the endpoints may be obtained after connecting the writing points which serve as the endpoints. Though the passing order among the divided regions 61 which are first obtained is set in a loop, the passing order not in a loop may be adopted if there is no concept of the main scan and the subscan of the electron beam, unlike in the beam direct-writing apparatus 1. For example, in the imaging apparatus 1a of the second preferred embodiment, when the region 6 of FIG. 6 is a region to be inspected, if a region inspected in a preceding operation is positioned on the left side adjacently to the region 6 and a region to be inspected in a subsequent operation is positioned on the right side of the region 6, it is preferable that the conversion algorithm of FIG. 10A should be adopted on the four divided regions 61. This suppresses the transfer distance of the stage 3 in the imaging apparatus 1a in the transfer between regions. While the invention has been shown and described in detail, the foregoing description is in all aspects illustrative and not restrictive. It is therefore understood that numerous modifications and variations can be devised without departing from the scope of the invention. |
|
summary | ||
description | The present invention relates generally to techniques for applying a force or inducing a change in momentum to objects using interacting electromagnetic fields and, particularly, to techniques for applying a force or inducing a change in momentum to objects using a laser beam as one of the sources of the electromagnetic fields. The manipulation of samples or devices through the use of electromagnetic (EM) radiation has a number of advantages over mechanical or “tactile” manipulation. Typically, EM manipulation is less mechanically destructive and can be accomplished through mechanical barriers where other more traditional means are not effective. EM manipulation has become more prevalent as technology has advanced and is now accomplished through both constant field applications (as in the case of superconductor facilitated magnetically induced levitation) and oscillating field applications (e.g. laser assisted cooling and trapping). The manipulation of mass through the use of laser light has found many applications as laser technology has evolved. Not simply laser photolysis or spectroscopy, but coherent control of chemical reactions is becoming possible (see P. Brumer and M. Shapiro, Sci. Am., pg. 56, March 1995). Laser atom or molecule trapping has seen a great deal of activity (see S. Chu, Science, pg. 861, 23 Aug. 1991; C. N. Cohen-Tannoudji and W. D. Phillips, Phys. Today, pg. 33, October 1990) and has lead to the observation of Bose-Einstein condensation and the improvement of atomic clocks. Control of larger mass samples with laser energy has also been demonstrated. “Optical tweezers” have been used to stretch single strands of DNA and manipulate chromosomes inside cell nuclei and move entire cellular organelles without destroying the cell wall (see S. Chu, Sci. Am., pg. 71, February 1992). Standing wave laser radiation has also been used to deflect atomic beams in flight (see P. E. Moskowitz, P. L. Gould, and D. E. Pritchard, J. Opt. Soc. Am. B., 2, 11, 1784, 1985). All of these techniques allow for control of small samples with laser light, but none of these is practically applicable to larger samples or efficiently uses the laser light to accomplish the manipulation. One of the difficulties is that many of the current techniques operate by inducing an electric charge polarization in the sample. The force which can be induced by the laser beam is directly related to the degree to which a sample can be polarized before it is damaged. The laser peak intensity must be controlled or the sample can be overheated, ionized or destroyed. This limits the achievable manipulation force. Also, these techniques commonly require the laser to be focused on the target sample, limiting the length of interaction and thus the efficiency with which the laser energy is coupled into translation. Other techniques rely on the transfer of photon momentum in the optical scattering process, but this is extremely inefficient as photons at commonly accessible wavelengths have very little mass. Therefore, it is the object of the present invention to provide a system that 1) employs laser light to apply a force to objects that 2) is scalable, that 3) maximizes the efficiency with which the laser light is utilized for said force, that 4) the intensity of laser light employed by the system should not be limited by the risk of damage to the object upon which the force is induced. The essence of electro-optically induced force and/or momentum is to mimic the repulsion manifested when two like charged wires come into proximity with one another. Wires carrying like charges repel one another due to the mutual opposition of the electric fields generated by the charges on the wires. An electro-optically induced force is realized when one of the wires is replaced with a substitute that maintains an electric field in opposition to the field generated by the first wire (e.g. a photon or radiation field). The principles employed by the present invention to electro-optically induce a force to manipulate objects are now described. FIG. 1 shows a schematic of 1) the electric field around an electrically charged wire parallel to the Z-axis (i.e. perpendicular to the page of the schematic) (100), 2) the projection of said electric field on the Y-axis (vertical in the plane of the page), said projection is described by a sine function (102), 3) the electric field of a laser beam propagating in space perpendicular to the wire, 1) above (104), 4) the electric field of a laser beam retro reflected by a mirror with a surface perpendicular to the laser beam path (106). FIG. 2 shows a schematic of the field interaction, in space at a given instant, between the electric field from a charged wire (200) and the electric field of a laser beam travelling proximate and perpendicular to the charged wire (202) (i.e. for those fields illustrated in FIG. 1). It should be noted that, in the case of a freely propagating laser, a continuous sine wave, and the Y-axis component of the electric field shown, a second sine wave, the net field interaction will always be zero, a function of the orthogonality of sine functions. But, in the case of a retro reflected laser beam, by positioning the retro reflecting mirror judiciously, the spatial relationship between the standing electric field of the wire (200) and the electric field of the laser (202) and can be chosen so the net field interaction between the electric field of the laser and the electric field near the wire, at the given moment illustrated, is non-zero. The net field interaction (204) is shown schematically in FIG. 2. It should be noted that the spatial relationship between the electric field of the laser and the standing electric field near the wire can be altered, and a non-zero net field interaction achieved, using transmissive optics as well. A schematic is shown in FIG. 3. In this case, the change in refractive index, n, between the original propagation medium (e.g. air, for which n˜1) and the medium of the optic (e.g. glass, for which n˜1.5) gives rise to a phase change between the electric field near the wire along the propagation direction of the laser (300) and the electric field of the laser (302). This changes the integral of the interaction between the two electric fields (304) to a, potentially, non-zero value. A final case is shown schematically in FIG. 4. The spatial relationship between the electric field near the wire (400) and the electric field of the laser (402) is altered by directing the laser through an aperture of an electrically conductive material. In such a case the conductive material screens the electric field near the wire (400) from interaction with the electric field of the laser (402) internal to the conductive material. This changes the integral of the interaction between the two electric fields (404) to a, potentially, non-zero value The above describes methods for achieving a non-zero net field interaction at a given moment in time, meaning a given phase of the laser light, but the electric field of a laser oscillates at frequency given by f=c/λ, where c is the speed of light and λ is the wavelength of the laser. This frequency is typically hundreds of terahertz, much faster than practical electronic signals can be generated, and so any momentary interaction between the laser and the standing electric field of the wire will quickly integrate to zero. In order to sustain and extend a net field interaction over time, it is necessary to vary the electric field near the wire. FIG. 5 shows a schematic of the interactions, in time, between the electric field near a wire driven by a square waveform (500) and the electric field of a laser beam traveling proximate and perpendicular to the wire (502). The accumulated field interaction (504) over one period of the waveform driving the charge on the wire is given byF=∫sin(ωl(t))*fsg(t,θpm)∂t eq. 1and is shown schematically in FIG. 5. Where, ωl is the frequency of the laser beam, fsg is the time-variant electric field near the wire, and θpm is the value of the time-variant optical phase modulation induced to the electric field near the wire. It should be noted that, 1) depending on the relative phase of the given electric fields, the above integral (eq. 1) can be either positive or negative, corresponding to the case of generating a repulsive or attractive force, respectively and 2) although the waveform shown in FIG. 5 is a square wave, that need not be the case. Since the signal driving the electric field on the wire cantilever is electronically generated, fsg can be configured as any function that is determined to facilitate the desired effect. Note is given to the case of a phase modulated sine wave. Consider a specific case: a signal generator operating at 5.64 GHz and common laser wavelength, 532 nm or ˜5.64×1014 Hz. In this case, the laser is operating at a frequency that is one hundred thousand times faster than the signal generator. In this example, the electric field of the laser and the electric field induced near the wire may only be in opposition, in time, space, and intensity, a fraction measured in parts per million (ppm) depending on the specific wave shape and/or modulation induced to the electric field near the wire. But, as described below, a field carrier array may be constructed with very high density, with feature spacing in the sub-micron range. In such a case, one could achieve one million, or more, field interaction locations per meter, and so, even if the electric field of the laser and the electric field near the charge carrier are in opposition a small fraction of the time per oscillation at each location, integrating one million locations over the length of a one meter array, comprised of one million elements, can afford substantial accumulated force between the electric field of the laser and the electric field(s) near the array. The teachings of the present invention are operable in systems where the electric fields are neither free-space nor produced by a laser. The present invention is generally directed to any electro-optical system including a charge carrier configured to carry a charge distribution that gives rise to a first electromagnetic field, and a radiation source configured to generate a second electromagnetic field that interacts with the first electromagnetic field so as to produce a net force on the charge carrier. The present invention allows for the application of laser light to achieve a scalable electromagnetically induced force to samples of greater mass than previous techniques and for more efficient use of the applied laser light. The sample upon which the force is realized must be of specific construction to support an electric charge distribution and variation to achieve a net repulsion or attraction with the laser light. Using this approach the laser need not be focused on the sample nor induce an electric charge polarization on the sample. This allows the force to be integrated over a longer distance, greatly improving the efficiency with which the laser energy is used and increasing the mass amenable to manipulation by the techniques of the present invention. Several recent advancements have driven this invention. First, the laser power available from smaller, less expensive devices is increasing continually. Laser devices are now being constructed that produce watts of laser energy from laser heads that are millimeters or even micrometers in size. As for the magnitude of the accumulated force, let us consider the example of a 10 W laser. Currently such lasers can be fabricated at relatively low cost, and weigh on the order of grams. Ten Watts (10 N*m/s) of optical power, if converted entirely to work (N*m) could lift 1 kg of mass 1 meter per second against earth's gravity (9.8 m/s^2). An array of one thousand 10 W lasers, or the case of a single 10 kW laser, could potentially lift tons. It is the object of this invention to be scalable and to enable such applications. Second, laser energy efficiency is improving as technology advances. As an example, 35-60% of the energy spent to drive some laser diodes is converted directly to laser light energy. Third, circuit features as smaller than 0.25 micrometers can now be fabricated and this technology continues to advance to fabricate features of even smaller size. This feature size is less than half the wavelength of light produced by many high power laser packages. The provides an excellent opportunity to construct a periodic charge distribution giving rise to a periodic electric field that can directly counter the periodic electric field of a laser beam. This is an ideal arrangement for electromagnetic repulsion/attraction (i.e., electro-optically induced force). Single Frequency Laser Source Embodiments Signal Generator System FIG. 6 shows schematic of a circuit used to process a commercially available square wave into a signal sufficient to induce a measureable force between a continuous wave single frequency laser and a conductive wire cantilever. A square wave signal generator (600) is set to generate a drive signal at a subharmonic of the laser frequency (e.g. one hundred thousandth of the laser frequency). The output of the signal generator is directed through an adjustable delay line (602). It is advantageous to drive the signal at higher voltage since the field interactions sought scale with the field intensity and thus voltage applied. The signal can be amplified using a broadband amplifier (604) to increase the net force induced. Commercially available signal sources (e.g. square wave clock generators) may have signal jitter in the 100 to 300 femtosecond range, but the laser electric field oscillation for commercially available single frequency lasers (e.g. a 532 nm laser) is approximately 2 femtoseconds. In such a case, the jitter of the square wave will preclude any efficient induction of force on the wire cantilever as it will tend to average out any net repulsion/attraction. It is necessary to improve the square wave signal jitter to provide for stable and efficient field interactions. To accomplish this, the processed square wave signal, described above, is directed into the forward port of a broadband directional coupler (606). The output of the directional coupler is sent to a third adjustable delay line (608) and back to the input port of the broadband coupler (606), creating a loop. The delay line (608) is adjusted to phase lock the resultant signal loop. In effect the delay line (608) is adjusted to ensure that the signal loop is an integer multiple of the square wave length. In such an arrangement, the jitter of the input signal is reduced by the square root of the number of round trips the signal makes in the loop. In the case of a 40 db power coupler, the jitter is improved by a factor of 10. To improve the signal jitter further, the signal from the reference port of the broadband coupler (606) is directed to the forward port a second broadband coupler (610). The output of the second broadband coupler (610) is directed through a fourth delay line (612) and back to the input port of the second broadband directional coupler (610). The delay line (612) is adjusted to phase lock the second resultant signal loop. In effect the delay line (612) is adjusted to ensure that the second signal loop is also an integer multiple of the square wave length. Again, the jitter of the input signal is reduced by the square root of the number of round trips the signal makes in the second loop. In the case of a 40 db power coupler, the jitter is improved by a further factor of 10. In the above description, two successive directional coupler stages should be sufficient to reduce the (e.g. 200 fs) jitter of the square wave clock generator source to a value comparable to the oscillation frequency of the single frequency laser source (approximately 2 fs), but successive stages of broadband couplers and paired delay lines can be employed to reduce the jitter to desired levels. In this embodiment, the wire cantilever configured to achieve a repulsion with the single frequency laser, below, is within the second coupler (610)/delay line (612) loop described above. The interaction site (614) is shown schematically in FIG. 6. The signal from the reference port of the second directional coupler (610) is directed to a monitor oscilloscope (616). FIG. 7, shows a schematic of a preferred embodiment. A continuous wave (CW) single frequency laser (700) produces a beam (702) that is directed to a retro-reflecting mirror (704) to provide the optical electromagnetic field which will induce a force at the wire cantilever site (614) described above and shown schematically in FIG. 6. The square wave clock generator (706), signal processing circuit (708), and monitor oscilloscope (710) are the same as those described in FIG. 6, (600), (602-612), and (616), respectively. The wire cantilever (712) consists of a length from the second directional coupler (610)/delay line (612), above, configured to be in close proximity (e.g. less than 1 mm) to the laser beam (702) and retro-reflecting mirror (704). The system is configured such that the linear polarization of the laser beam (702) is perpendicular to the wire cantilever (712). Interferometric Force Detection System. A fiber optic force detection system similar to that used in atomic force microscope studies (D. Rugar, H. J. Mamin, and P. Guethner, Appl. Phys. Lett. 55, 25, (1989) 2588) is employed here to measure force induced between a wire cantilever loop (712) and a laser beam (702). The output of a single-mode fiber-coupled probe laser (714) is directed into a single mode 2×2 fiber coupler (716). One optically cleaved end of the output fiber (718) of the fiber coupler (716) is positioned in close proximity (e.g. single microns) and perpendicular to the wire cantilever (712). A piezo electric actuator, PZT (720) is attached to the optical fiber (718) and driven by a signal generator (722). The PZT (720) drives the motion of the optical fiber (718) perpendicular to the wire cantilever (712). The single frequency laser light exiting the output of the fiber coupler (718) is made incident upon the wire cantilever (712). A portion of the light exiting the optical fiber (718) and incident on the wire cantilever (712) is reflected back into the optical fiber (718) and co-propagates with the light reflected from the internal surface of the optically cleaved fiber (718). The return signal, the optical interferometric signal between the counter propagating reflection of the optically cleaved end of the optical fiber (718) and the reflective surface of the wire cantilever (712), travels back through the optical fiber (718), back through the fiber coupler (716), and is directed into a detector photodiode (724). The output of the detector photodiode (724) is measured using a lock-in amplifier (726), using the reference signal from the signal generator (722) driving the PZT (720). The signal from the lock-in amplifier is observed on a monitor oscilloscope (728). A monitor photodiode (730) can be employed to measure the second output of the fiber coupler (716) to ensure system stability. The constructive and destructive interference between the light reflected from the internal surface of the optically cleaved fiber (718) and the light reflected from the wire cantilever (712), driven and detected at the frequency of the lock-in amplifier (726), allows for a very sensitive detection of movement of the wire cantilever (712) (e.g. nanometers). When the wire cantilever (712) is chosen with a small force constant, this allows for very sensitive force detection (e.g. nanoNewtons). Laser/Carrier Field Interaction The choice of frequency of the square wave generator (706) is critical for manifestation of the desired effect. FIG. 5 shows a condition where the laser frequency (502) is an odd multiple of the cantilever driver frequency (500). In such a case, the positive, rising, half cycle of the square wave is integral over an extra (e.g. positive) half cycle of the laser oscillation and the negative, falling, half cycle of the square wave is integral over a complimentary (e.g. negative) half cycle of the laser oscillation. This frequency, and phase, relationship gives rise to cumulative, non-zero, field interaction (504) between the electric field of the laser (502) and the electric field near the wire cantilever (500) over time. It has been noted, above, that depending on the relative phase of the given electric fields, the above integral (eq. 1) can be either positive or negative, corresponding to the case of generating a repulsive or attractive force, respectively, on the wire cantilever. In the present case, the relative phase between the oscillating electric field of the laser (700) and the oscillating electric field of the wire cantilever (712) can be easily achieved by adjusting the delay line of the signal processing circuit (602). Carrier Array FIG. 8 shows a schematic where a set of field carriers (e.g. a set of wire cantilevers, or alternatively a series of traces on a printed circuit board) (832) is arrayed perpendicular to and along a laser's (800) propagation path (802) and an equivalent set of transmissive optics (804) is paired with each field carrier to facilitate the process, as shown schematically in FIG. 3. The field carriers shown (834) share a common platform, so a single interferometric detection measurement (814-830), as shown in FIG. 7, is sufficient to measure the cumulative force on the ensemble of field carriers. As the circuit path for each carrier is unique, each field carrier signal wave must have separate phase control to facilitate the desired effect. The phases are adjusted to maximize the force detected via the interferometric detector signal described above. While the preferred embodiments, above, employ a single frequency laser, the techniques of the present invention are applicable to multi-mode lasers. Multi-Mode Laser Embodiment Typically, lasers that provide high output power operate in several longitudinal modes of the laser resonator cavity. The wavelengths of the cavity modes of any laser are given by the expression: n l=2L, where, n is an integer, l is the wavelength of the laser light, and L is the length of the laser resonator cavity. As a result, when a multi-mode laser is used several different wavelengths of laser light are produced simultaneously. Therefore, the present invention also includes an embodiment that uses the laser light from multi-mode lasers by providing a path to match each of the laser's active laser cavity modes. While the present invention has been described with reference to a few specific embodiments, the description is illustrative of the invention and is not to be construed as limiting the invention. Various modifications may occur to those skilled in the art without departing from the true spirit and scope of the invention as defined by the appended claims. |
|
summary | ||
summary | ||
summary | ||
claims | 1. An ion selection system, comprising:a collimation device capable of collimating a laser-accelerated high energy polyenergetic ion beam, said laser-accelerated high energy polyenergetic ion beam comprising a plurality of high energy polyenergetic positive ions;a first magnetic field source capable of spatially separating said high energy polyenergetic positive ions according to their energy levels;an aperture capable of modulating the spatially separated high energy polyenergetic positive ions; anda second magnetic field source capable of recombining the modulated high energy polyenergetic positive ions. 2. The ion selection system of claim 1, wherein the modulated high energy polyenergetic positive ions have energy levels in the range of from about 50 MeV to about 250 MeV. 3. The ion selection system of claim 1, wherein said first magnetic field source is capable of bending the trajectories of the high energy polyenergetic positive ions away from a beam axis of said laser-accelerated polyenergetic ion beam. 4. The ion selection system of claim 3, further comprising a third magnetic field source, said third magnetic field source capable of bending the trajectories of the spatially separated high energy polyenergetic positive ions towards the aperture. 5. The ion selection system of claim 4, wherein the aperture is placed outside of the magnetic field of said third magnetic field. 6. The ion selection system of claim 4, wherein the magnetic field of said third magnetic field source is capable of bending the trajectories of the modulated high energy polyenergetic positive ions towards the second magnetic field source. 7. The ion selection system of claim 6, wherein the second magnetic field source is capable of bending the trajectories of the modulated high energy polyenergetic positive ions towards a direction parallel to the direction of the laser-accelerated high energy polyenergetic ion beam. 8. The ion selection system of claim 1, further comprising a secondary collimation device capable of fluidically communicating a portion of the recombined high energy polyenergetic positive ions therethrough. 9. The ion selection system of claim 8, wherein said secondary collimation device is capable of modulating the beam shape of the recombined high energy polyenergetic positive ions. 10. The ion selection system of claim 1, wherein said aperture comprises a plurality of openings, each of the openings capable of fluidically communicating high energy polyenergetic positive ions therethrough. 11. The ion selection system of claim 10, wherein the aperture is a multileaf collimator. 12. A method of forming a high energy polyenergetic positive ion beam, comprising:forming a laser-accelerated high energy polyenergetic ion beam comprising a plurality of high energy polyenergetic positive ions, said high energy polyenergetic positive ions characterized as having a distribution of energy levels;collimating said laser-accelerated ion beam using a collimation device;spatially separating said high energy positive ions according to their energy levels using a first magnetic field;modulating the spatially separated high energy polyenergetic positive ions using an aperture; andrecombining the modulated high energy polyenergetic positive ions using a second magnetic field. 13. The method according to claim 12, wherein the step of modulating the spatially separated high energy polyenergetic positive ions gives rise to a portion of the positive ions being transmitted through the aperture, said portion of the positive ions having energy levels in the range of from about 50 MeV to about 250 MeV. 14. The method according to claim 12, wherein said trajectories of the high energy polyenergetic positive ions are bent away from a beam axis of said laser-accelerated high energy polyenergetic ion beam using said first magnetic field. 15. The method according to claim 14, wherein the trajectories of the spatially separated high energy polyenergetic positive ions are further bent towards the aperture using a third magnetic field. 16. The method according to claim 15, wherein the spatially separated high energy positive ions are modulated by energy level using a plurality of controllable openings in said aperture. 17. The method according to claim 15, wherein the third magnetic field further bends said trajectories towards the second magnetic field. 18. The method according to claim 17, wherein the second magnetic field bends said trajectories towards a direction parallel to the direction of a laser-accelerated high energy polyenergetic ion beam. 19. The method according to claim 12, wherein a portion of the recombined high energy polyenergetic positive ions is fluidically communicated through a secondary collimation device. 20. The method according to claim 12, wherein a plurality of high energy polyenergetic positive ion beamlets are fluidically communicated through a plurality of controllable openings in said aperture to modulate the spatially separated high energy positive ions. 21. The method according to claim 12, wherein the high energy polyenergetic positive ions are spatially separated over distances up to about 50 cm according to an energy distribution of the high energy polyenergetic positive ions, said distances being measured perpendicularly to a beam axis of said laser-accelerated ion beam entering the first magnetic field. 22. The method of claim 12, further comprising irradiating a radioisotope precursor with the recombined spatially separated high energy polyenergetic positive ions. 23. A laser-accelerated high energy polyenergetic positive ion therapy system, comprising:a laser-targeting system, said laser-targeting comprising a laser and a targeting system capable of producing a high energy polyenergetic ion beam, said high energy polyenergetic ion beam comprising high energy polyenergetic positive ions having energy levels of at least about 50 MeV, the high energy polyenergetic positive ions being spatially separated based on energy level;an ion selection system capable of producing a therapeutically suitable high energy polyenergetic positive ion beam from a portion of said high energy polyenergetic positive ions; andan ion beam monitoring and control system. 24. The laser-accelerated high energy polyenergetic positive ion therapy system of claim 23, wherein the ion selection system comprises:a collimation device capable of collimating said laser-accelerated high energy polyenergetic ion beam;a first magnetic field source capable of spatially separating said high energy polyenergetic positive ions according to their energy levels;an aperture capable of modulating the spatially separated high energy polyenergetic positive ions; anda second magnetic field source capable of recombining the modulated high energy polyenergetic positive ions. 25. The laser-accelerated high energy polyenergetic positive ion therapy system of claim 24, wherein the modulated high energy polyenergetic positive ions are characterized as having energy levels in the range of from about 50 MeV to about 250 MeV. 26. The laser-accelerated high energy polyenergetic positive ion therapy system of claim 24, wherein said first magnetic field source provides a first magnetic field, said first magnetic field capable of bending the trajectories of the high energy polyenergetic positive ions, said bending being in a direction away from a beam axis of said laser-accelerated high energy polyenergetic ion beam. 27. The laser-accelerated high energy polyenergetic positive ion therapy system of claim 26, wherein the ion selection system further comprises a third magnetic field source, said third magnetic field source capable of bending the trajectories of the spatially separated high energy polyenergetic positive ions towards the aperture. 28. The laser-accelerated high energy polyenergetic positive ion therapy system of claim 27, wherein the aperture is placed outside of the magnetic field of said third magnetic field. 29. The laser-accelerated high energy polyenergetic positive ion therapy system of claim 27, wherein the magnetic field of said third magnetic field source is capable of bending the trajectories of said portion of the spatially separated high energy polyenergetic positive ions towards the second magnetic field source. 30. The laser-accelerated high energy polyenergetic positive ion therapy system of claim 29, wherein the second magnetic field source is capable of bending the trajectories of said portion of the spatially separated high energy polyenergetic positive ions towards a direction parallel to a beam axis of the laser-accelerated high energy polyenergetic ion beam. 31. The laser-accelerated high energy polyenergetic positive ion therapy system of claim 24, further comprising a secondary collimation device capable of fluidically communicating a portion of the recombined high energy polyenergetic positive ions therethrough. 32. The laser-accelerated high energy polyenergetic positive ion therapy system of claim 31, wherein the secondary collimation device is capable of modulating a beam shape of the recombined high energy polyenergetic positive ions. 33. The laser-accelerated high energy polyenergetic positive ion therapy system of claim 24, wherein said aperture comprises a plurality of openings, each of the openings capable of fluidically communicating ion beamlets therethrough. 34. A method of treating a patient with a laser-accelerated high energy polyenergetic positive ion therapy system, comprising:identifying the position of a targeted region in a patient;determining the treatment strategy of the targeted region, said treatment strategy comprising determining the dose distributions of a plurality of therapeutically suitable high energy polyenergetic positive ion beams for irradiating the targeted region;forming said plurality of therapeutically suitable high energy polyenergetic positive ion beams from a plurality of high energy polyenergetic positive ions, the high energy polyenergetic positive ions being spatially separated based on energy level; anddelivering the plurality of therapeutically suitable polyenergetic positive ion beams to the targeted region according to the treatment strategy. 35. The method of treating a patient according to claim 34, wherein determining the dose distributions comprises determining the energy distribution, intensity and direction of a plurality of therapeutically suitable high energy polyenergetic positive ion beams. 36. The method of treating a patient according to claim 34, wherein said therapeutically suitable polyenergetic positive ion beams are prepared by:forming a laser-accelerated high energy polyenergetic ion beam comprising high energy polyenergetic positive ions;collimating said laser-accelerated high energy polyenergetic ion beam using at least one collimation device;spatially separating said high energy polyenergetic positive ions according to their energy levels using a first magnetic field;modulating the spatially separated high energy polyenergetic positive ions using an aperture; andrecombining the modulated high energy polyenergetic positive ions using a second magnetic field. 37. The method of treating a patient according to claim 36, wherein the modulated high energy polyenergetic positive ions have energy levels in the range of from about 50 MeV to about 250 MeV. 38. The method of treating a patient according to claim 36, wherein the trajectories of the high energy polyenergetic positive ions are bent away from a beam axis of said laser-accelerated high energy polyenergetic ion beam using said first magnetic field. 39. The method of treating a patient according to claim 38, wherein the trajectories of the spatially separated high energy polyenergetic positive ions are bent towards the aperture using a third magnetic field. 40. The method of treating a patient according to claim 39, wherein the spatially separated high energy polyenergetic positive ions are modulated by energy level using a plurality of controllable openings in said aperture. 41. The method of treating a patient according to claim 40, wherein the trajectories of the modulated high energy polyenergetic positive ions are further bent towards the second magnetic field using said third magnetic field. 42. The method of treating a patient according to claim 41, wherein the trajectories of the modulated high energy polyenergetic positive ions are bent towards a direction parallel to the direction of a beam axis of the laser-accelerated high energy polyenergetic ion beam using said second magnetic field. 43. The method of treating a patient according to claim 36, wherein a portion of the recombined high energy polyenergetic positive ions are fluidically communicated through a secondary collimation device. 44. The method of treating a patient according to claim 43, wherein the beam shape of the recombined high energy polyenergetic positive ions is modulated by the secondary collimation device. 45. A laser-accelerated high energy polyenergetic positive ion beam treatment center, comprising:a location for securing a patient; anda laser-accelerated high energy polyenergetic positive ion therapy system capable of delivering a therapeutically suitable high energy polyenergetic positive ion beam to a patient at said location, the ion therapy system comprising:a laser-targeting system, said laser-targeting system comprising a laser and a target assembly capable of producing a high energy polyenergetic ion beam, said high energy polyenergetic ion beam comprising high energy polyenergetic positive ions having energy levels of at least about 50 MeV;an ion selection system capable of producing a therapeutically suitable high energy polyenergetic positive ion beam using said high energy polyenergetic positive ions, the high energy polyenergetic positive ions being spatially separated based on energy level; anda monitoring and control system for said therapeutically suitable high energy polyenergetic positive ion beam. 46. The laser-accelerated high energy polyenergetic positive ion beam treatment center of claim 45, wherein the ion selection system comprises:a collimation device capable of collimating said high energy polyenergetic ion beam;a first magnetic field source capable of spatially separating said high energy polyenergetic positive ions according to their energy levels;an aperture capable of modulating the spatially separated high energy polyenergetic positive ions; anda second magnetic field source capable of recombining the modulated high energy polyenergetic positive ions into said therapeutically suitable high energy polyenergetic positive ion beam. 47. The laser-accelerated high energy polyenergetic positive ion beam treatment center of claim 46, wherein the modulated high energy polyenergetic positive ions are characterized as having energy levels in the range of from about 50 MeV to about 250 MeV. 48. The laser-accelerated high energy polyenergetic positive ion beam treatment center of claim 46, wherein said first magnetic field source is capable of bending the trajectories of the high energy polyenergetic positive ions away from a beam axis of said laser-accelerated polyenergetic ion beam entering the first magnetic field. 49. The laser-accelerated high energy polyenergetic positive ion beam treatment center of claim 48, wherein the ion selection system further comprises a third magnetic field source capable of bending the trajectories of the spatially separated high energy polyenergetic positive ions towards the aperture. 50. The laser-accelerated high energy polyenergetic positive ion beam treatment center of claim 49, wherein the aperture is placed outside of the magnetic field of said third magnetic field. 51. The laser-accelerated high energy polyenergetic positive ion beam treatment center of claim 49, wherein the magnetic field of said third magnetic field source is capable of bending the trajectories of the modulated high energy positive ions towards the second magnetic field source. 52. The laser-accelerated high energy polyenergetic positive ion beam treatment center of claim 51, wherein the second magnetic field source is capable of bending the trajectories of the modulated high energy polyenergetic positive ions towards a direction parallel to a beam axis of the laser-accelerated high energy polyenergetic ion beam. 53. The laser-accelerated high energy polyenergetic positive ion beam treatment center of claim 48, further comprising a secondary collimation device capable of fluidically communicating a portion of the recombined high energy polyenergetic positive ions therethrough. 54. The laser-accelerated high energy polyenergetic positive ion beam treatment center of claim 46, wherein said aperture comprises a plurality of openings, each of the openings capable of fluidically communicating ion beamlets therethrough. 55. The laser-accelerated high energy polyenergetic positive ion beam treatment center of claim 45, wherein the target assembly and the ion selection system are placed on a rotating gantry. 56. The laser-accelerated high energy polyenergetic positive ion beam treatment center of claim 45, wherein a laser beam of said laser is reflectively transported to the target assembly using a plurality of mirrors. 57. The laser-accelerated high energy polyenergetic positive ion beam treatment center of claim 56, wherein the ion selection system is robotically mounted to give permit scanning of the therapeutically suitable high energy polyenergetic positive ion beam. 58. The laser-accelerated high energy polyenergetic positive ion beam treatment center of claim 56, further comprising at least one beam splitter to split the laser beam to each of at least two target assemblies. 59. The laser-accelerated high energy polyenergetic positive ion beam treatment center of claim 45, wherein the laser-targeting system comprises a plurality of target assemblies, each of said target assemblies capable of producing a high energy polyenergetic positive ion beam, said high energy polyenergetic positive ion beam comprising high energy polyenergetic positive ions comprising energy levels of at least about 50 MeV;a plurality of ion selection systems each capable of individually producing a therapeutically suitable high energy polyenergetic positive ion beam from each of said individual high energy polyenergetic positive ion beams; andan individual polyenergetic ion beam monitoring and control system for each of said therapeutically suitable high energy polyenergetic positive ion beams. 60. A method of producing radioisotopes, comprising:forming a high energy polyenergetic positive ion beam, comprising:forming a laser-accelerated high energy polyenergetic ion beam comprising a plurality of high energy polyenergetic positive ions, said high energy positive ions characterized as having an energy distribution;collimating said laser-accelerated ion beam using at least one collimation device;spatially separating said high energy polyenergetic positive ions according to energy using a first magnetic field;modulating the spatially separated high energy polyenergetic positive ions using an aperture; andrecombining the spatially separated high energy polyenergetic positive ions using a second magnetic field; andirradiating a radioisotope precursor with the recombined spatially separated high energy polyenergetic positive ions. |
|
062663925 | abstract | A soller slit is disclosed, which includes a plurality of metal foils and functions to restrict divergence of X-rays when arranged on an X-ray optical path. The metal foils are prepared by sintering a metal material such that surface thereof have high harmonic surface roughness. Alternatively, the metal foil has oxides formed by oxidation on the surfaces thereof such that the oxides can provide the high harmonic surface roughness. The high harmonic surface roughness of the metal foil restricts total reflection of X-rays at the metal foil. Therefore, it is possible to form high precision parallel X-ray beams by the soller slit to thereby improve resolution in an X-ray measurement. |
abstract | It is an object of the present invention to provide a specimen observation method, an image processing device, and a charged-particle beam device which are preferable for selecting, based on an image acquired by an optical microscope, an image area that should be acquired in a charged-particle beam device the representative of which is an electron microscope. In the present invention, in order to accomplish the above-described object, there are provided a method and a device for determining the position for detection of charged particles by making the comparison between a stained optical microscope image and an elemental mapping image formed based on X-rays detected by irradiation with the charged-particle beam. |
|
044407181 | summary | FIELD OF THE INVENTION The invention relates to a device for the transfer of fuel assemblies for a fast neutron reactor, between a storage position adjacent to the tank of the reactor and a position for the evacuation and introduction of these assemblies. BACKGROUND Fast neutron reactors cooled with liquid sodium comprise a tank of large dimensions inside which is disposed the reactor core consisting of fuel assemblies immersed in the liquid sodium. In order to effect the recharging of the reactor core with fuel assemblies, it is necessary to remove the irradiated fuel assemblies from the tank, then to dispose them in a transport cask, generally after a more or less long period of storage during which the radioactivity of these fuel assemblies diminishes, for their evacuation to a reprocessing unit. Parallel to this evacuation of these irradiated assemblies, new assemblies are introduced into the nuclear reactor in the charging zone of the core where a transfer machine can pick them up to dispose them inside the core in place of the irradiated assemblies. A transfer machine is therefore available, situated above the core, enabling the assemblies to be removed from or introduced into the core and to be disposed in a storage zone disposed in the reactor tank. On the other hand, a transfer assembly is available comprising two inclined ramps and a loading-unloading lock which enables the fuel assemblies to be transported between the reactor tank containing the core and a storage zone close to this tank where the assemblies can remain for a more or less extended time during which their radioactivity decreases. For their passage from the storage zone to the transport cask, the fuel assemblies must be picked up by a complex handling and packaging assembly comprising travelling cranes or other handling machines. This complex assembly is installed in a part of the reactor specially provided for this purpose, thereby increasing the overall size and the cost of construction of the reactor building. On the other hand, it is at present being considered, for the installation of fast neutron nuclear reactors, to group a plurality of reactors on one and the same site, so as to facilitate shared use of certain subsidiary installations or items of equipment of these reactors. In the case of reactors grouped on one and the same site, the device for the transfer and storage of fuel assemblies as described in French Patent Application No. 80-02862 of the NOVATOME company is of great interest because it simplifies considerably the total of the devices necessary for the evacuation of the irradiated assemblies towards a reprocessing factory and for supplying the reactor with new assemblies. This device comprises, in particular, modules for the transfer and storage of the fuel assemblies, carried by transport vehicles travelling on the site where the reactors are installed. Nevertheless, in the case where an intermediate storage of the fuel assemblies is necessary after their removal from the reactor tank and before they are picked up by the transfer and storage modules, it is necessary to have available special installations integrated with the building of the reactor for the passage of the fuel assemblies between their storage position in the vicinity of the reactor tank and an evacuation position from which the assemblies can be picked up by the transfer module. SUMMARY OF THE INVENTION It is therefore the object of the invention to provide a transfer device for fuel assemblies for fast neutron nuclear reactors between a storage position in the vicinity of the reactor tank and an evacuation and introduction position from which the evacuation of the irradiated assemblies and the supplying of the reactor with new assemblies is effected, and this device should permit a simplification of the structure of the reactor and common use by other reactors disposed on the site. For this purpose, the transfer device according to the invention is dismountable and movable as a whole for its successive use with a plurality of nuclear reactors and comprises: a platform mounted for rotation, with the interposition of a joint, on a support adapted to rest on the structure of the reactor, the platform, pierced by at least one aperture, then being horizontal and movable about a vertical axis so as to bring the aperture successively into concordance with vertical shafts giving access to the storage and evacuation positions of the assemblies formed in the structure of the reactor and equipped, at their upper portion, with closing and connection devices, at least one hopper capable of containing an assembly in the vertical position, disposed on the upper surface of the platform round the aperture formed therein and equipped with a winch for the vertical displacement of the assemblies inside the shafts and the hopper when these come into the extension of one another by rotation of the platform, and at least one connecting device carried by the platform for the connection between the hopper and the shafts when these come into concordance. In order that the invention may be more clearly understood, two embodiments of a device according to the invention, used on a fast neutron nuclear reactor, will now be described by way of non-limiting example, with reference to the accompanying drawings. |
043226221 | description | DESCRIPTION OF THE PREFERRED EMBODIMENTS The achromatic magnetic deflection device according to the invention, such as shown in FIG. 1, for deflecting by 270.degree. a beam of charged particles, in particular electrons, is formed by an electromagnet having magnetic coils (not visible in the figure) and comprising a pair of pole pieces A, A (only one pole piece A is visible in the figure) of such a shape that they delimit three magnetic sectors M.sub.1, M.sub.2, M.sub.3 having a plane of symmetry perpendicular to the plane in which move the mean paths of the beam of particles and intersecting this plane along an axis XX inclined by an angle .alpha.=.pi./4 in relation to the mean path of the incident beam f.sub.i. The magnetic sector M.sub.1 is delimited by a flat input face E and a face F.sub.1 substantially circular in shape, with a radius of curvature R, the magnetic sector M.sub.3 is delimited by a flat output face S and a face F.sub.2 identical to face F.sub.1 and the intermediate contiguous magnetic sector M.sub.2 being delimited by faces F.sub.1 and F.sub.2. The input E and output S faces form therebetween an angle 2.alpha.=.pi./2. The heights of the air gaps of magnetic sectors M.sub.1 and M.sub.3 on the one hand, and M.sub.2 on the other are such that the values of the magnetic inductions created respectively in these magnetic sectors M.sub.1, M.sub.2, M.sub.3 are equal to Bo/2, Bo, Bo/2, the particles then being deflected by an angle .theta. in each of the magnetic sectors M.sub.1 and M.sub.3 and by an angle 2 .phi. in magnetic sector M.sub.2, the sum 2.theta.+2.phi. of these angles being equal to 2.pi.-2.alpha.=3.pi./4 (FIG. 2). FIG. 2 shows the paths t.sub.1, t.sub.2 and t.sub.3 of the particles having respectively an energy E.sub.1, E.sub.2, E.sub.3. The path t.sub.1 has a center of curvature C.sub.1 in magnetic sector M.sub.1 and a center of curvature C.sub.2 in magnetic sector M.sub.2. This path t.sub.1 is orthogonal on the one hand to faces F.sub.1, F.sub.2 and to the axis of symmetry XX of the device. In the magnetic deflection device of the invention, the center of curvature C.sub.2 of the paths in magnetic sector M.sub.2 must be situated on the axis of symmetry XX of the deflection device. This center of curvature C.sub.2 of the paths may be defined, in an orthonormed plane xy, such as shown in FIG. 2, by the relationships: EQU x.sub.C.sbsb.2 =(r.sub.1 -r.sub.2) sin .theta. (1) EQU y.sub.C.sbsb.2 =r.sub.1 (1-cos .theta.)+r.sub.2 cos .theta. r.sub.1 being the radius of curvature of the paths in magnetic sector M.sub.1 (and in magnetic sector M.sub.3 not shown) and r.sub.2 being the radius of curvature of the paths in magnetic sector M.sub.2. So that the centers of curvature C.sub.2 are situated on the axis of symmetry XX, the following relationship must be verified: ##EQU1## If we assume: (r.sub.2 /r.sub.1)=K, the relationship (3) becomes ##EQU2## but: ##EQU3## R being the radius of curvature of faces F.sub.1, F.sub.2. The value of K is then given by the relationship: ##EQU4## FIG. 5 shows the variation of K as a function of .theta., for .alpha.=45.degree., (b/R)=0.5. It is to be noted that K is substantially equal to 0.5 for values of .theta. between 75.degree. and 100.degree., which corresponds to an energy range between 1.4 E.sub.0 and 0.8 E.sub.0. FIG. 3 shows another embodiment of a magnetic deflection device in accordance with the invention for deflecting the incident beam f.sub.i by an angle 2.alpha. equal to 240.degree., this deflection being achromatic. This magnetic deflection device comprises an electromagnet having magnetic coils (not shown) and provided with a pair of pole pieces of a shape and dimensions such that they delimit three contiguous magnetic sectors M.sub.10, M.sub.20, M.sub.30. Magnetic sector M.sub.10 presents to the beam a flat input face E and a face S.sub.10 having the form of an arc of a circle with radius R.sub.10, magnetic sector M.sub.30 has a flat output face S and a face S.sub.20 identical to face S.sub.10, whereas magnetic sector M.sub.20 contiguous to magnetic sectors M.sub.10, M.sub.30 is delimited by faces S.sub.10 and S.sub.20. The heights of the air gaps of magnetic sectors M.sub.10, M.sub.20 and M.sub.30 are such that the magnetic inductions created in each of these sectors are respectively equal to KB.sub.o, B.sub.o and KB.sub.o. FIG. 4 shows in detail the different paths of the particles having different momentum in the deflection device shown in FIG. 3. In this embodiment, the ratio b/R has been chosen equal to 0.63, b being the distance separating the mean path of incident beam f.sub.i from point I, the intersection of axis XX with the input face E of the deflection device. For the different paths shown t.sub.10, t.sub.20, t.sub.30, t.sub.40, the centers of curvature C.sub.2 in magnetic sector M.sub.20 are substantially placed on the axis of symmetry XX. These different paths t.sub.10, t.sub.20 . . . correspond to energy particles respectively equal to E.sub.10, E.sub.20, E.sub.30, E.sub.40. FIG. 6 shows the variations of K=(r.sub.2 /r.sub.1) as a function of .theta.. It may be noted that, in this embodiment (FIG. 3) K is substantially equal to 0.36 for values .theta. between 55.degree. and 100.degree. and the magnetic inductions created in the air gaps of magnetic sectors M.sub.10, M.sub.20 and M.sub.30 are respectively equal to 0.36 B.sub.o, B.sub.o and 0.36 B.sub.o. In the embodiments shown in FIGS. 1 and 3, the differences in value of the magnetic inductions in sectors M.sub.1, M.sub.3 and sector M.sub.2 have been obtained with different heights of the air gaps of these magnetic sectors. In FIG. 7 there is shown an embodiment of a pole piece A.sub.1 in accordance with the invention and the magnetic coil which is associated therewith. Pole piece A.sub.1, circular in shape, is formed by an element a.sub.1 (FIG. 8) made from magnetic material, soft iron for example, whose dimensions are defined by the operating characteristics of the deflection device (type of particles, energy of these latter, value of the magnetic inductions used), and by an element c.sub.1 superimposed on element a.sub.1 and fixed to this latter by means of three screws v.sub.1, v.sub.2, v.sub.3 for example, this element c.sub.1 delimiting the intermediate magnetic sector M.sub.2 (or M.sub.20). The thicknesses of elements a.sub.1 and c.sub.1 are chosen with respect to the value of the magnetic inductions used in magnetic sectors M.sub.1, M.sub.2, M.sub.3 (or M.sub.10, M.sub.20, M.sub.30) so as to avoid any saturation of the magnetic material forming pole piece A.sub.1. An annular magnetic coil b.sub.1 is disposed on pole piece A.sub.1. Opposite pole piece A.sub.1 is placed an identical pole piece A.sub.2, associated with the annular magnetic coil b.sub.2 identical to b.sub.1 (FIG. 8). In operation, in the embodiments shown in FIGS. 1 and 3 of the device of the invention, the different paths of the particles converge in the horizontal plane H in a focus F.sub.H situated on the output face S of the third magnetic sector M.sub.3 (FIG. 9) whereas in the vertical plane V, the whole of the deflection device behaves like a creeping space. If it is desired to obtain a stigmatic magnetic deflection system, i.e. for forming a pinpoint image of an object point situated outside the axis of the incident beam f.sub.i, the divergences of the beam must be compensated for both in the vertical plane V and the horizontal plane H. For that, it is sufficient for the mean path of incident beam f.sub.i to form with the input face E of the magnetic deflection device an angle a little different from .pi./2 (FIG. 10). FIG. 9 shows the lens effects obtained with a magnetic deflection device whose input E and output S faces are orthogonal to the mean path of the beam of particles. FIG. 11 shows the action of the magnetic lenses formed by the magnetic deflection device of the invention, shown in FIG. 10, when this deflection device presents to the beam an input face E forming an angle a little different from .pi./2 with the mean path of this incident beam f.sub.i. In this case, the beam f.sub.i is subjected to focusing both in the horizontal plane H and in the vertical plane V, this double focusing being situated at a distance l from the output face S of the deflection device, this distance l corresponding for example to the distance separating the output face S of the deflecton device and a target Q intended to be bombarded by a substantially pinpoint beam. The examples given are not limiting. In particular, the construction of the intermediate magnetic sector M.sub.2 (or M.sub.20) may be different from the examples given. It may in particular form a separate element which will be joined to the end sectors M.sub.1, M.sub.3 (or M.sub.10, M.sub.30). The magnetic deflection device of the invention presents several advantages. It is compact and simple to construct. Furthermore, it has a wide passband. It may be advantageously used in radiotherapy apparatus, doing away with the need to adjust the magnetic field for a wide energy range of particles. It is apparent that within the scope of the invention, modifications and different arrangements can be made other than are here disclosed. The present disclosure is merely illustrative with the invention comprehending all variations thereof. |
summary | ||
summary | ||
046997563 | abstract | A neutron absorber control rod for use in a fuel assembly of a nuclear reactor includes an elongated hollow tubular member having opposite ends and a hermetically sealed chamber defined therein between its opposite ends, one of the member ends being a leading end and the other of the member ends being a trailing end upon insertion of the control rod into the fuel assembly. A first neutron absorber material in the form of boron carbide pellets is contained in the chamber and located nearer to the leading than to the trailing end of the member, whereas a second neutron absorber material in the form of silver-indium-cadmium pellets is contained in the chamber and located nearer to the leading than to the trailing end of the member. The second neutron absorber material has a length approximately three times longer than that of the first neutron absorber material. |
summary | ||
abstract | A structure, apparatus and method for deterring the temperature of an active region in semiconductor, particularly a FET is provided. A pair FETs are arranged on a silicon island a prescribed distance from one another where the silicon island is surrounded by a thermal insulator. One FET is heated by a current driven therethrough. The other FET functions as a temperature sensor by having a change in an electrical characteristic versus temperature monitored. By arranging multiple pairs of FETs separated by different known distances, the temperature of the active region of one of the FETs may be determined during operation at various driving currents. |
|
050664522 | claims | 1. An apparatus for scanning the outer profile of control rods, comprising: a module support positioned at a fixed location with respect to a control rod to be scanned, a transducer drive tube mounted for rotation about the axis of a control rod for receiving a control rod therein, a transducer holder connected to the transducer drive tube carrying ultrasonic transducer means facing an interior of said transducer drive tube, for sending an ultrasonic beam to and receiving ultrasonic echo energy from the surface of the control rod, said holder having at least one part for carrying said ultrasonic transducer means which is mounted for limited radial movement with respect to said transducer drive tube; rotation means, mounted to said module support and engaged with said transducer drive tube for rotating said transducer drive tube and said ultrasonic transducer means around the axis of the control rod; and translation means for translating the control rod with respect to said ultrasonic transducer means, in a direction parallel to the axis of the control rod, for scanning the surface of the control rod along a helical path. providing an ultrasonic transducer on a holder having a passage for receiving a control rod, and resiliently urging the holder against the control rod for centering the holder with respect to the control rod; rotating the ultrasonic transducer about an axis of the control rod to be scanned; translating the control rod parallel to its axis and with respect to the transducer for defining a helical path which is followed by the transducer over the surface of the control rod; emitting ultrasonic energy to the surface of the control rod as the transducer rotates and as the control rod is translated with respect to the transducer; and receiving ultrasonic echo energy from the surface of the control rod which contains information concerning the distance between the transducer and the surface of the control rod which in turn indicates the profile of the surface. 2. An apparatus according to claim 1 wherein said rotation means comprises a motor connected to said module support and a drive transmission operatively connected between said motor and said tube for rotating said tube. 3. An apparatus according to claim 1 wherein said module support comprises a pair of spaced apart plates, a fixed housing connecting between said plates for containing said transducer drive tube, and slip ring means connected to said transducer and operatively connected between said transducer support tube and said fixed housing for transmitting signals to and from said transducer from outside said fixed housing. 4. An apparatus according to claim 1 wherein said module support includes a mounting plate and a control rod guide tube connected to said mounting plate and extending axially in said transducer drive tube for guiding a control rod in said transducer support tube. 5. An apparatus according to claim 1 wherein said module support includes a guide plate having a configuration of guides corresponding to a configuration of a plurality of control rods in a control rod assembly to be scanned, said translation means comprising drive means for driving the configuration of control rods into guides of said plate, and a plurality of transducer drive tubes each carrying a separate ultrasonic transducer and distributed in a second configuration for servicing a selected number of control rods in said first mentioned configuration, said first mentioned and second configurations being selected so that with relative rotation between the control rod assembly and said module support, all control rods of the assembly are serviced by said plurality of transducers. 6. An apparatus according to claim 1 including a passage defined through said holder having a diameter for closely receiving a control rod therethrough. 7. An apparatus according to claim 6 including a groove defined in said holder for receiving water, said transducer having a sensing end communication with said groove. 8. An apparatus according to claim 7 wherein said holder is generally cylindrical in shape and comprises a first part for carrying said transducer and for carrying one side of said passage and at least one additional part for carrying an additional side of said passage. 9. An apparatus according to claim 8 including resilient ring means engaged around said parts of said holder for resiliently holding said holder passage against a control rod. 10. A method of scanning the outer profile of control rods, comprising: 11. A method according to claim 10 including scanning a plurality of controls rods in a configuration of control rods, mounting a plurality of ultrasonic transducers to a module support, each for rotation around the axis of a separate control rod in the configuration, the transducers being distributed in a subconfiguration which is selected so that with rotation between the control rod configuration and the module support, all control rods can be serviced by the subconfiguration of transducers. 12. An apparatus according to claim 2, wherein said motor is a submerseable DC motor. 13. An apparatus according to claim 2, wherein said motor is a miniature air motor. 14. An apparatus according to claim 2, wherein said drive transmission comprises a drive spur gear connected to said motor and operatively engaged with a combination of idler gears and module gears, said module gears being connected to said transducer drive tube. 15. An apparatus according to claim 2, wherein said drive transmission comprises stainless steel chains connected to said motor and to said transducer drive tube. 16. An apparatus according to claim 3, further including a conduit connected to said transducer support tube for providing a supply of water to the interior of each module for insulation or flushing said slip ring assembly. 17. An apparatus according to claim 16, wherein said supply of water is deionized water to provide a non-conductive environment for said slip ring assembly. 18. An apparatus according to claim 1, wherein said transducer holder is made of plastic material to withstand the environment and to avoid any contact between the control rods and metal. 19. An apparatus according to claim 4, wherein said control rod guide tube is made of plastic material to withstand the environment and to avoid any contact between the control rods and metal. 20. An apparatus according to claim 5, wherein said guides are made of plastic material to withstand the environment and to avoid any contact between the control rods and metal. |
abstract | A contour collimator or an adaptive filter for adjusting a contour of a ray path of x-ray radiation is provided. The apparatus includes a magnetic fluid that is impermeable to x-ray radiation and a number of switchable magnet elements, by which an aperture forming the contour may be formed in the magnetic fluid. |
|
claims | 1. A projection lens for imaging a pattern arranged in an object plane onto an image plane employing electromagnetic radiation from the extreme-ultraviolet (EUV) spectral region,the projection lens comprising a plurality of imaging mirrors having reflective coatings and defining an optical axis of the projection lens,wherein the mirrors are arranged between the object plane and the image plane and are aligned for projection of an off-axis field from the object plane to the image plane,wherein the mirrors are configured and arranged with respect to one another such that every mirror is irradiated over a range of angles of incidence that is characteristic of that particular mirror,wherein at least one of the mirrors, having the largest range of angles of incidence, has a centric, graded, reflective coating that has a film-thickness gradient that is rotationally symmetric with respect to a coating axis, andwherein the coating axis is substantially coincident with the optical axis of the projection lens. 2. A projection lens according to claim 1, wherein the film-thickness gradient of the graded, reflective coating is optimized to yield a pupil-irradiance distribution that is substantially rotationally symmetric. 3. A projection lens according to claim 1, wherein at least two of the mirrors have centric, graded, reflective coatings, wherein the gradients in the film thicknesses of the reflective coatings of the at least two of the mirrors are adapted to suit one another such that the coatings yield a pupil-irradiance distribution that is substantially rotationally symmetric. 4. A projection lens according to claim 1, wherein the projection lens has an image-side numerical aperture, NA, given by NA≧0.15. 5. A projection lens according to claim 1, wherein the projection lens has an image-side numerical aperture, NA, given by NA≧0.2. 6. A method for fabricating microdevices, comprising the following steps:providing a mask having a prescribed pattern;illuminating the mask with electromagnetic radiation from the extreme-ultraviolet (EUV) spectral region; andprojecting via a projection lens an image of the pattern onto a photosensitive substrate arranged in an image plane of the projection lens,wherein the projection lens comprises a plurality of imaging mirrors having reflective coatings and defining an optical axis of the projection lens,wherein the mirrors are arranged between the object plane and the image plane and are aligned for projection of an off-axis field from the object plane to the image plane,wherein the mirrors are configured and arranged with respect to one another such that every mirror is irradiated over a range of angles of incidence that is characteristic of that particular mirror,wherein at least one of the mirrors, having the largest range of angles of incidence, has a centric, graded, reflective coating that has a film-thickness gradient that is rotationally symmetric with respect to a coating axis, andwherein the coating axis is substantially coincident with the optical axis of the projection lens. |
|
summary | ||
summary | ||
049967010 | summary | The invention relates to a method for slit radiography in which use is made of an X-ray source and a slit diaphragm placed in front of the X-ray source to form a fan-shaped X-ray beam with which a body to be investigated is at least partially scanned to form an X-ray shadow image on an X-ray detector placed behind the body, which fan-shaped X-ray beam is formed by a number of sectors situated next to each other, and in which the transmitted X-ray radiation is controlled instantaneously for each sector during the scanning movement by means of controllable beam sector modulators acting in conjunction with the slit diaphragm. In addition, the invention relates to a device for applying the method. Such a method and such a device are known from Dutch patent application No. 8400845. According to the technique known from Dutch patent application No. 8400845, to control the quantity of X-ray radiation transmitted through the slit diaphragm at each point of time, use is made of attenuation elements which are placed near or in the slit of the slit diaphragm and act as beam sector modulators, which are each able to control a sector of the fan-shaped X-ray beam, and which, depending on the attenuation occurring in the associated sector and caused by the body to be investigated are controlled in a manner such that the attenuation elements extend to a lesser or greater degree into the X-ray beam. If the attenuation caused by the irradiated body in a certain sector and at a certain instant is large, the attenuation element associated with said sector is moved completely or largely out of the X-ray beam. On the other hand, if the attenuation caused by the body in a certain sector at a certain instant is low, then the associated attenuation element is brought further into the X-ray beam. The advantage of this technique is that equalized X-ray photographs can be obtained therewith, i.e. X-ray photographs which have a good contrast both in the light parts and in the dark parts. If, therefore, a photograph is made in this manner, for example, of the upper part of a patient's body, the radiologist can find sufficient information in the same photograph relating to both the chest and the abdomen of the patient, whereas previously two different photographs were necessary to obtain the same information. The known technique has the drawback that at the instant when the soft tissues of the patient are irradiated, the X-ray radiation still transmitted in the sector concerned is relatively hard, whereas soft radiation is adequate for the soft tissues and is also to be preferred. The object of the invention is, therefore, to simplify and to improve the known technique and in general to make available an effective method and equipment for producing equalized X-ray photographs. For this purpose, according to the invention, a method of the type described is characterized in that the X-ray radiation is cyclically modulated in a predetermined manner for all the sectors taken together and that the controllable beam sector modulators are individually controlled in order to select cyclically a part of the X-ray radiation for each sector, which cyclic selection is synchronized with the predetermined cyclic modulation of the X-ray radiation. A device for slit radiography, comprising an X-ray source, a slit-type diaphragm, placed in front of the X-ray source, which forms a fan-shaped X-ray beam with which a body to be investigated can be scanned at least partially to form an X-ray shadow image of the scanned part of the body on an X-ray detector placed behind the body, a control signal generator which, during operation, provides a signal representing the transmission of the body for each sector of the X-ray beam to control means, controllable beam sector modulators which act together with the slit diaphragm and which, under the control of signals from the control means, are able to influence the X-ray beam for each sector, is characterized, according to the invention, by modulation means for providing an X-ray beam modulated in a predetermined cyclical manner. |
044366558 | description | Referring firstly to FIGS. 1a to 4b, the component elements of one type of preconditioned cartridge will be described. By 1 is indicated a cylindrical, water-tight receptacle, having two conduits, an inlet conduit and an outlet conduit 2 and 3 respectively; the receptacle contains a bed 4 of decontaminating-concentrating material or means, supported by a perforated disc 4' together with its mesh; a diffuser, not shown, is located between the outlet of the conduit 2 and the upper surface of the bed 4; the space below the disc 4' is filled with a suitable, insert drainage material. The receptacle 1 is installed within a cylindrical container 5 (normally an oil barrel), which is upwardly open, and is then completely encapsulated in a material 6 which forms an inert matrix, and fills the entire space between the receptacle 1 and the container 5 except for the upper portion so as to leave the inlet and outlet ports of the conduits 2 and 3 free. The structure shown in FIGS. 4a and 4b is a single preconditioned cartridge, indicated in its entirety as 7. The decontaminating-concentrating material, and also the encapsulating material, are chosen at the time, as will be explained below, according to the characteristics of the liquid which is to be purified. In FIGS. 5, 6 and 7, the use of the preconditioned cartridge 7 is shown schematically. FIG. 5 shows the circulation of contaminated liquid, such as radioactive water for re-use or for waste, which is fed in the direction of arrow F1 through the bed 4 and leaves it, in the direction of arrow F2, in a decontaminated condition; the dots 8 indicate schematically the radioactive particles which become concentrated in the bed 4; the cartridge 7 thus acts as a collector for the contaminating substances. In the second stage (FIG. 6), after the circulation of FIG. 5 has been stopped, the residual decontaminated liquid still contained in the receptacle 1 is withdrawn therefrom (arrow F3) by suction with a vacuum pump. In the third stage (FIG. 7), the decontaminating-concentrating medium of the bed 4 is rendered insoluble, as will be better explained below, by means of the immission into the receptacle 1 of a material which can render the medium insoluble; subsequently, after plugs 9 and 10 have been applied to the conduits or tubes 2 and 3, the encapsulation of the receptacle 1, i.e. the filling of the upper portion of the space within the container 5, which is still empty, with material generally the same as the aforementioned encapsulating material 6, is completed. Thus, as is shown in FIG. 7, the cartridge 7a may hence be conveyed directly, without any further handling, to its place of final disposal, either in the earth or in the sea, under conditions of complete safety. It should be noted that, before carrying out the said preconditioning of the cartridge, it is necessary to prepare the decontaminating-concentrating bed within the receptacle 1. In FIG. 8 a receptacle 1a is shown, of the same type as the receptacle 1 already described, but without the upper cover and hence the conduit 2. The receptacle 1a contains the decontaminating-concentrating material 4a in a dense form. To prepare the said bed, an expansion chamber 11 is placed over the receptacle 1 (FIG. 9), the chamber 11 being an open-bottomed receptacle which has the function of increasing the useful volume of the receptacle 1a temporarily. Since the dense material 4a may be present in the form of spheres or granules of different dimensions, often accompanied by powder, it is necessary to wash it in counter current to remove the latter and induce the expansion of the bed and, consequently, to induce the ordered disposition of the particles of increasing dimensions and densities at the same time as these are displaced downwardly. The washing and the expansion of the material 4a occurs by means of the input (FIG. 10) of a liquid (inlet: arrow A, outlet for recovery: arrow B) which does not produce any chemical alteration of the material: thus an expansion of the material by about 50% of the volume originally occupied is effected. The input of this liquid is then stopped and the layer of material consequently takes up its rest position, falling back into the receptacle 1, while, after opening of the tap 12 (FIG. 11), the washing liquid which filled the expansion chamber 11 flows down (arrow C) to a recovery vessel. Finally, FIG. 12 shows the receptacle 1a after the removal of the expansion chamber 11 and the application of the upper cover fitted with the conduit 2; the receptacle 1a is thus ready for the preconditioning operation already illustrated. The aforementioned encapsulating material 6 will be geared, as already indicated, to the particular type of fluid to be purified; in the case of radioactive water for re-use or for waste, this may consist of a plastics material, such as polystyrene (when radioactive substances emitting mainly alpha-radiation are considered), or concrete (when one is dealing with shielding mainly beta and gamma radiations); concrete has, in fact, a greater shielding capacity than polystyrene, but, conversely, it has a lower mechanical strength than the latter for the same thickness of the encapsulating layer of material. In FIGS. 13a and 13b a preconditioned cartridge 7b is shown exactly, this being similar to that indicated 7 in FIG. 4 and having, as the encapsulating material 6, concrete with a reinforcement of iron spacing and support rods indicated 13. A vent conduit for air is also indicated by 14. FIG. 14 shows schematically a plant for purifying water, for re-use or for waste, containing radioactive substances in solution and, or in dispersion. The radioactivity associated with the water to be decontaminated, this being either for re-use or radioactive waste, is generally distributed between the liquid part and the solid part; "parts" and not phases are spoken of in that, in the most usual situation, the system to be treated may comprise a liquid part consisting mainly of an aqueous phase with traces of organic liquid phases, and a solid part consisting of phases in a disperse form and, or in sedimentable suspension. The type of operation to which the water to be decontaminated is subjected and the outline of the treatment process necessary to ensure the desired factor of decontamination, depend on the type and the number of constituents of the water to be decontaminated. Essentially, there are three cases which are encountered in practice; (1) water containing radioactive substances in solution and, or in dispersion; (2) water containing radioactive substances in solution and, or in dispersion plus radioactive substances in stable suspension (by stable suspension is meant a suspension in which the solid particles sediment in times of the order of hours); (3) water containing radioactive substances in solution and, or in dispersion, plus radioactive substances in stable suspension and further radioactive substances in unstable suspension (by unstable suspension is meant a suspension in which the solid particles sediment in times of the order of minutes). FIG. 14 refers, as already stated, to a plant for purifying water of the type (1) mentioned above. In this case the decontaminating-concentrating means consist of beds of a commonly-used resin which acts as an ion-exchange medium (cationic and, or anionic), as an adsorption medium, or both, according to the radioactive substances present in the liquid to be decontaminated, these being in the ionic or in the molecular form or both. The ion exchange and, or adsorption effected is sufficient to decontaminate the water treated by the plant of FIG. 14. This plant constitutes a purification station which allows the process to be carried out continuously, the station having four decontaminating-concentrating-bed treatment modules 15, 16, 17 and 18, each including two preconditioned cartridges 7b, a reservoir 19, a pump 20 and a radioactivity detector 21. Upstream of the first module 15 is installed a reservoir 22 for collecting the liquid to be purified, which is fed to it through a conduit 23. A conduit 24, with a pump 20' and a radioactivity detector 21', connects the reservoir 22 with the first treatment module 15, or more precisely, with the two inlet conduits 2 of the cartridges 7b. A conduit 25 connects the conduits 3 of the two cartridges with the subsequent reservoir 19. For simplicity, only the elements of the first module 15 are indicated by reference numerals, but it is understood that all the subsequent modules are the same as the preceding one and the same reference numerals used for this latter apply to their elements, and that the following part of the text applies in substantially the same manner to each of the four treatment modules. During operation of the plant, by closing the valve provided in the conduit 2 of one cartridge and opening the valve provided in the conduit 2 of the other cartridge, the liquid to be purified is circulated only through one of the two cartridges of any one module, while the other rests waiting. The reservoir 19 has the function of restoring the original hydraulic operating conditions upstream of each cartridge and also allows the recycling of the treated liquid whenever the level of radioactivity surpasses the operative fixed limits. This recycling takes place through the conduits 26, 27 and 28 (for the module 16; its own conduit 26, conduit 27 and conduit 38 of the module 15 which will be mentioned below). The level of radioactivity is controlled by means of the detector located on the feed side of each pump; an optical or acoustic alarm allows the intervention of the operator who, by actuating the respective valves, puts the aforesaid recycling into operation. The self-same alarm, set off by the said radioactivity detector, advises the operator that the cartridge in operation is "saturated", with respect to the radioactivity content of the feed liquid, and then the operator proceeds, by inversion of the valves in the conduits 2, to put the second cartridge of the module, which is "in waiting", into operation and to exclude the "saturated" cartridge. In the act of disconnecting the saturated cartridge from the circuit, a valve is also opened which is connected to a vacuum system and allows the partial or total withdrawal of the residual liquid from the cartridge according to whether the cartridge is to be subjected to further saturation by displacing it to an upstream position (to that corresponding to a liquid of a higher level of specific activity) or is to be considered completely exhausted and hence ready for subjection to the subsequent rendering-insoluble stage, of which more will be said below. It should be noted that the fact that the height which would have been that of a single exchange and/or adsorption bed has been subdivided into several parts (in this specific case, into the said four modules), allows the entire volume of the bed to be saturated, with regard to the concentration of the salts at the inlet, while the concentration of the salts at the outlet remains the same, this being a condition which it is essential to achieve when the exchanger is not to be subject to regeneration, but is expendable, as in the present case. It is understood that a new cartridge will be immediately installed in place of the cartridge removed whereby it will be ready to be put into operation as soon as that which had until then been in operation has been saturated, this procedure thus allowing the continuity of the treatment process. The said vacuum system includes a receptacle 29, with a level indicator 29', and an absolute filter 30 connected together by means of a conduit 31, upstream of a vacuum pump 32 which is connected through a conduit 33 to a flue 34. This vacuum system is connected (conduits 35 and 36) with the conduits 3 of all the cartridges. The liquid collected in the receptacle 29 may be recycled (conduits 37, 27 and 28 or 38) upstream of the module to which the vacuum is applied, into the reservoir which precedes it. The vent conduit 14 of each cartridge is connected (conduits 39 and 40) with a reservoir 41 capable of receiving the excess volume whenever the decontaminating beds present unforeseen impediments to the passage of the liquid or when overflows occur during the stage of starting the process or after the displacement of the cartridges to stages of the process further upstream; a conduit 42 can recycle the liquid from the reservoir 41 to the reservoir 22. The vent of the reservoirs 22 and 19 is connected (conduits 43, 44) to the flue 34 (to which the reservoir 41 also vents through the conduit 45) with the interposition of an absolute filtration group 46 for retaining particles suspended in the air. Lastly, by 47 is indicated the conduit through which the decontaminated liquid finally leaves the plant of FIG. 14. The process has considerable flexibility in that it is possible to vary the quantity and hence the volume of the concentrator material for the radionuclides and consequently the thickness of the layer of encapsulating material which is to absorb the radiation emitted from the receptacle 1 of the cartridge. If, for example, the liquid to be decontaminated has a high salt content and a low specific activity due to radionuclides in the ionic form, and hence in a form competitive with the ions of non-radioactive salts with respect to the ion-exchange constituent of the concentrating bed, it is convenient to use as large a mass as possible of the concentrating bed so as to collect a sizable quantity of radioactivity, a small thickness of encapsulating material being sufficient to attenuate the radiation. On the other hand, if a liquid having a low salt content and a high specific activity must be treated, it is convenient to use concentrating beds of small volume so as to have a sizable thickness of encapsulating material available to allow radiations of greater intensity to be absorbed. While the height of the decontaminating bed remains constant, its diameter and thus, naturally, its volume is varied. FIG. 15 shows schematically a plant for the continuous purification of water, for re-use and for waste, containing radioactive substances in solution and, or in dispersion, and further radioactive substances in stable suspension. In this plant the four modules 15, 16, 17 and 18 of the plant of FIG. 14 are preceded by a filtration station, consisting of a filtration module 48 connected to the module 15 by means of a conduit 24'. The module 48 is downstream of the reservoir 22 which, in this case, is provided with a suitable agitator 49. The module 48 includes two preconditioned cartridges 50, which differ to some extent from those considered up till now but which are still formed on the same principle of preconditioning already illustrated. These have a plurality of filtering septa and are more clearly illustrated in FIGS. 16a to 17b and in FIG. 18. Each preconditioned cartridge 50 consists of an inner cylindrical receptable 1b and an outer cylindrical container 5, the receptable 1b being encapsulated in an encapsulating, shielding material 6, in the specific case concrete in which spaced-apart iron support rods 13 are embedded. In the example shown, the receptacle 1b contains six elements or identical, contiguous filtering septa 51, piled one on top of the other within the receptacle 1b. Each filtering element 51 is as shown in FIG. 18. This shows a perforated plate 52 interposed between two non-perforated plates 53, the three plates being separated by means of suitable spacers 54. A circular disc 55 of filtering mesh is suitably imposed on the perforated plate 52. The cylindrical body 56 of the receptacle 1b is provided with inlet and outlet tubes 57 for the liquid to be filtered, the tubes being disposed in pairs, one pair for each filtering element 51, circumferentially staggered around the entire surface of the body 56, as is best seen in the plan representation of FIGS. 16b and 17b. The tubes 57 of each pair are disposed such that the inlet corresponds with the space between the perforated plate 52 and the upper plate 53 and the outlet corresponds with the space between the perforated plate 52 and the lower plate 53. For operative simplicity, the inlet tubes are disposed along a semi-circumference of the body 56 and the outlet tubes are disposed along the other semi-circumference of the self-same body, so as to allow all the feeds to be on one side and all the discharges to be on the other side. The inlet ports and outlet ports of the tubes 57 are all at the same height above the cover of the receptacle 1b, the cover acting as the upper plate 53 for the final filtering element which is highest in the pile; the encapsulation in concrete leaves only the said ports uncovered (see FIG. 17a). The series of tubes constitutes per se an additional reinforcement for the concrete, together with the rods 13. Because of the illustrated disposition of the tubes 57, the admission of the liquid occurs through a port located to one side of the filtering septum, which allows the occurrence of a tangential current which exerts a cleaning action on one zone of the septum itself, increasing the operating time of this filtering element, with a greater accumulation of solid. The volume of solid which may be accumulated varies in dependence on its nature and form, these characteristics giving rise to a greater or lesser tendency to compaction with consequent increase in the loss of load. The body 56 and tubes 57 are protected internally by suitable paint; during the assembly the individual filtering elements 51 are fixed to the internal surface of the body 56 by means of adhesive which ensures a perfect seal, that is the complete isolation of one filtering element from another. The discs 55 may be formed from synthetic woven materials or from stainless steel meshes. The preconditioned cartridge 50, acting as a collector for the solid material component of the suspension which is filtered, has the following characteristics: it allows the input of the liquid to be filtered on one side and the output of the filtrate from the other side (the input and the output are also at the same height); it provides a series of filtering septa grouped in a pile of greatly reduced volume, on which the solid material, which is a component of the suspension is retained; for the same total volume of the apparatus, the number of filtering septa, and hence the total filtering surface is increased as the thickness of the caked solid beyond which the rate of filtration undergoes a sharp decrease is decreasing; it may be used under pressure or under vacuum; it allows the passage of the liquid suspension through a single septum, by deviating the suspension to the subsequent plate immediately the said septum has become saturated; it allows the simultaneous passage of the liquid suspension through several or all the septa, and the collection of the filtered liquid in a single collector; it allows the maximum reduction of the volume beneath each filtering mesh, this volume to be adapted for the collection of the filtered liquid (the difference in height between the space reserved for the solid above the perforated plate 52 and that reserved for the filtered liquid below the perforated plate should be noted); the apparatus may be formed by assembling any number of filtering elements, however large; it allows the accumulation of radioactive solids or of toxic matter in that it is formed with a perfect seal; the encapsulating material constitutes a complete insulation and the outer container increases its mechanical strength and chemico-physical resistance; when one septum at a time, in succession, is to be used, it allows the continuous operation of the apparatus until it is entirely exhausted; it renders the solid residue on the septa unassailable by atmospheric agents due to the encapsulation by subsequent treatment for rendering the solids insoluble, of which more will be said below. Turning now to FIG. 15, this shows schematically as 58 and 59 respectively, the distributor conduit connected to all the inlet tubes 57 of the cartridge 50 and the collector conduit connected to all the outlet tubes 57 of the same cartridge. A suitable valve system (not shown) allows the various filtering septa of the cartridge to be connected to the conduits 58 and 59 as desired from time to time. Each cartridge 50 may include ten or more septa and each septum, before reaching exhaustion, may support the exhaustion of several cartridges 7b with decontaminating-concentrating beds. It is possible to withdraw the residual liquid from the exhausted septa by means of the vacuum system already illustrated and subsequently to exclude these from the passage of liquid to be purified until the final septum of the cartridge is exhausted. As in the treatment modules 15 to 18, one cartridge 50 in the filtration module 48 remains in operation while the other is at rest; once the first cartridge is exhausted, the operation is as already illustrated for the cartridge 7b. The completely exhausted cartridge 50 will be removed and subjected to the subsequent rendering-insoluble process, of which more will be said below. FIG. 19 shows the plant for the purification of water containing radioactive substances in solution and, or in dispersion, plus radioactive substances in stable suspension and further radioactive substances in unstable suspension. In the more general case of a turbid radioactive liquid containing radioactive substances both in solution and, or in dispersion and in stable suspension, as well as in unstable suspension, it is necessary to insert a decantation station upstream of the plant of FIG. 15, the station consisting of a decantation module 60, including a preconditioned cartridge 61 which is partly different from those previously described but which is formed according to the same principle as that already illustrated for the preconditioning. The cartridge 61 is more clearly illustrated in FIGS. 20a and 20b. This cartridge acts as a collector for radioactive solids which have sedimented into a dense mass in the decantation module and is applied to the base of a suitable decanter. This may advantageously consist (as is shown in FIG. 19) of a decanter-metering device 62. The principle of this apparatus is substantially as follows; the turbid liquid is fed continously (conduit 24) and while the clarified liquid also overflows continuously (conduit 63) the discharge of the thickened sludge from the bottom of the decanter-metering device 62 into the cartridge 61 may be effected intermittently (conduit 64) due to the high degree of thickening which can be attained in the decanter-metering device which retains a high level of the solid in the separating stage. This apparatus is formed so as to obtain separation of particles down to at least 0.3 mm diameter with a density also slightly greater than that of the aqueous solution (d=1.1-1.15 g/cm.sup.3) during the passage of the turbid liquid. In this manner, it is possible to achieve a concentration zone for the sludge in the lower part of the apparatus 62, the thickening of which may be completed in a regulatable metering device 65 placed underneath the decanter in conditions of almost complete rest. An agitator 66 allows the sludge to overcome the friction of the conical bottom surface making it fall towards the bottom valve of the said metering device. Since the solids which can be sedimented and which make up the turbid feed liquid are usually associated with a considerable quantity of complex radioactivity, it may be necessary to provide suitable biological protection 67 for the zone concerned with sedimentation and metering of the solid. The decantation module or staion includes, as well as the decanter-metering device, a reservoir 19', provided with an agitator 68, a pump 69 and a radioactivity detector 70. The purpose of this reservoir 19' is to act as a lung for possible fluctuations in the load of the decanter and to provide for the recycling of any solid entering it due to malfunction of the decanter; in this case the agitator 68 allows the reformation of the solid suspension and the conduit 71 allows its conveyance to the reservoir 22 or to the apparatus 62. The decanter-metering device 62 is vented to the flue 34 through the conduits 72 and 44. The preconditioned cartridge 61 (FIGS. 20a and 20b) consists of an inner cylindrical receptacle 1c and an outer cylindrical container 5, the receptacle 1c being encapsulated in the material 6, consisting in the present case of concrete with a reinforcement of spaced-apart, iron support and strengthening rods 13. Within the receptacle 1c is installed a bladed agitator 73, the shaft 74 of which is rotatably mounted on the bottom and extends through the cover of the receptacle 1c; the shaft 74 projects above the upper level of the encapsulating material 6, the section which passes through the latter being protected by a sheath (not shown) which allows the rotation of the shaft 74 despite the encapsulating concrete. The cover of the receptacle 1c also has a tube 75 (which is connected to the aforementioned discharge conduit 64 for the solids from the metering device 65, from which it transfers them to the interior of the receptacle 1c) as well as a vent 76 (which is connected to the recycling conduit 77 at the head of the decanter-metering device 62). In FIG. 21 a preconditioned cartridge 61 is shown schematically as it is after it has received a load 78 of solid or radioactive waste from the metering device 65; the interior of the receptacle 1c, with the subsequent addition of a suitable material for rendering the waste insoluble (as will be better described below with reference to the rendering-insoluble operation), is completely filled; during the rendering-insoluble operation the agitator 73 is put into operation and thus allows the perfect homogenisation of the mixture of radioactive particles 79 and material 80 which can render it insoluble (FIG. 22, in which the completion of the external encapsulation of the receptacle 1c is also shown). It should be noted that, with the preconditioned cartridge 61, there is no need for the stage shown schematically in FIG. 6, i.e. for the withdrawal of the residual decontaminated fluid by suction. FIG. 23 shows diagrammatically part of the plant for the continuous process of rendering the radioactive concentrated substances insoluble, these being retained in the preconditioned cartridge with the decontaminating-concentrating bed and in that with the multiple filtering septa. In the Figure, two cartridges 7b with beds have been shown but it will be understood that two cartridges 50 with filtering septa may be installed in their place; in every case one is dealing with a cartridge which, once exhausted, must be subjected to the process of rendering insoluble which has already been mentioned. The plant of FIG. 23 operates by means of the use of a monomer, such as styrene, which is polymerised within the receptacle 1 (or 1b in the case of the filtering cartridge), totally encapsulating the bed 4 and rendering the radioactive contaminating substances concentrated therein insoluble (the equivalent occurs when the cartridge 50 is treated, in which case the polymerisable material is passed into each septum to fill the remaining spaces and to render the caked material from filtration totally insoluble) The tubes 2, 3 and 14 (or 57 in the case of the cartridge 50) are also encapsulated. The plant of FIG. 23 avoids disadvantages due to polymerisation which might occur in the various components of the plant and allows the maximum economy. The styrene is stored in a reservoir 81 together with a suitable quantity of polymerisation inhibitor. The styrene and the inhibitor are united in the feed conduit 82. The styrene is conveyed into a pre-polymerisation receptacle 85 through a tube 84, which leads from the bottom of the reservoir, by means of a liquid-raising system including a tube 83 for the immission of compressed nitrogen, the receptacle being located at a height of several meters above the cartridges subjected to the rendering-insoluble operation. The receptacle 85 has an outer jacket providing a space 86 for the circulation of water to retard the polymerisation of the monomer after the addition of the initiator (conduit 87) with the aid of an agitator 88. The polymerisation mixture enters the cartridge 7b through a conduit 89 connected to the inlet tubes 2 of the cartridge, the introduction being brought about by hydrostatic pressure. The passage and the uniform distribution of the monomer through the mass in the cartridge beds is facilitated by its high fluidity, greater than (near) that of the aqueous solution which washes the spheres or granules constituting the beds. Hence the lower viscosity of the monomer and the entraining effect produced by the hydrostatic pressure allows the complete removal of the residual aqueous solution. The excess monomer, mixed with the aqueous solution is conveyed (conduits 3 and 90) into a demixer 91, from the bottom of which the aqueous, radioactive, liquid waste is removed to be recycled through the conduit 92 to the head of the said purification plant. The excess monomer passes through an overflow at one side of the demixer 91 into a lung receptacle 93 and from this is conveyed (conduits 94, 95, 96 and 97) into a recycling receptacle 98 due to the suction produced in this latter by a vacuum system including a vacuum pump 99, an absolute filter 100 and conduits 101, 102. From the receptacle 98 the monomer may be returned to the pre-polymerisation receptacle 85 through the conduit 103. The connection of the preconditioned cartridges to be treated in parallel allows the introduction of the monomer into one of these, the simultaneous disconnection of the other and the insertion of a new one in its place. By means of a conduit 104, the receptacles 93 and 91 and the conduits 90 and 3, the same vacuum pump 99 allows to operate under slight suction to bring the monomer in the preconditioned receptacles to the same level after they have been filled and before their disconnection. The vents of the apparatus, forming part of the contaminated circuit, are connected (tubes 14 of the cartridges, conduits 105, 106, 107, 108, absolute filter 109 for retaining the particles) to a flue 110 to which also the pump 99 is connected. The process of rendering insoluble is a discontinuous operation involving stoppages which provide a sufficiently long time for the polymerisation process to be completed. It is therefore necessary to provide the plant with a circuit for the removal of the residual monomer and subsequent washing by means of the use of an organic solvent (acetone), with recycling of the solvent which is not yet exhausted. The acetone is conveyed through a conduit 111 from a storage tank, not shown, into a receptacle 112 from which, once the prolonged rendering-insoluble operation has been completed, it is pumped by means of a pump 113 to effect the washing of all the apparatus and all the conduits involved with the passage of the monomer. In particular, the conduits 114, 115, 116, 117, 118, 119 serve as feeds for the pure acetone; the receptacles 85, 98, 91, 93 and the conduits 103, 89, 120, 96, as well as other lengths of connecting conduits in the lower part of the plant, are involved with the passage of the acetone containing the styrene and initiator which have been washed out. Each receptacle is provided with a spray of suitable shape and dimensions (not shown) which allows the complete removal of the monomer. A pump 121 and respective conduit 121' allow the recycling of the acetone for washing the apparatus, forming part of the radioactive circuit of the plant. The discharge of the exhausted, radioactive acetone from the washing is indicated by 121". A second receptacle 122, which is also washable with pure acetone, is provided for washing the reservoir 81 and allows the exhaustion of the solvent itself (thus reducing the consumption to a minimum, with consequent limitation of the quantity of waste solution); the acetone flows through the conduit 123 to this receptacle 122 from which, by means of a pump 124 and a conduit 125, it is conveyed to a spray 126 within the reservoir 81 which is thus washed. From the latter the acetone with the styrene and inhibitor washed out are recycled to the receptacle 122 by means of a pump 127 and a conduit 128. The exhausted acetone (with the styrene and inhibitor) are finally conveyed away from the plant through a conduit 128'. It is clear that a suitable valve system (shown schematically in the Figure) regulates all the various operating stages of the plant conveniently. FIG. 24 shows schematically the part of the plant which carries out the continuous process of rendering-insoluble the solid, radioactive sediments collected in the preconditioned cartridge 61, and transferred from the part of the plant shown in FIG. 19. The nature of this radioactive sludge and, in particular, the presence therein of considerable quantities of water (10-30%), makes it convenient to render them insoluble by the use of cement mixtures rather than monomers such as styrene. The plant of FIG. 24 does not have any disadvantages due to blockages or loss of binding power of the cement mixtures; this includes two separate feed silos for the cement (silo 129) and for the sand (silo 130) respectively, thus preventing the humidity always present in sand from inducing partial cementation and consequent agglomeration of the mixture which would thus be scarcely reactable. On the other hand, it is convenient to convey the two components (cement and sand) into the receptacle 1c of the cartridge 61 rapidly in order to reduce the loading time to a minimum, and also to maintain homogeneity in the mass within the receptacle 1c by means of suitable agitation (for this purpose the aforesaid agitators 73 are provided, the shafts 74 of which are connected to conical coupling transmissions 131 actuated by drive devices not shown). The supply of the two components may be effected by tank trucks 132 for the cement and 133 for the sand, the contents of which are transported pneumatically (compressors 134, 135 and conduits 136, 137) to the respective silos 129 and 130. Each of these is provided with a cyclone-filter 138, 139 for the purpose of separating the solid material from the air; the filtered air is passed to (conduits 140 and 141) a discharge flue 142. Rotary alveolar discharges 143 and 144 respectively transfer the cement and sand respectively to the respective silos. From these the material is conveyed by means of compressed air, free from humidity, through fluidising plates (not shown) in the base of the respective silo to screws 145 and 146 respectively controlled by an electronic detector (not shown) which detects the level of the material in the respective silo; these screws feed the material into the respective hoppers 147 and 148 of vibrating-screw metering devices 149 and 150 respectively in quantities corresponding to the predetermined batch. From the discharge outlets of the two vibrating screws, the two materials pass to a multiple-path rotating distributor 151, consisting essentially of a rotating tube driven by a motor-reducer and located by a precision limit switch; this distributor allows the subsequent immission of the two materials into various preconditioned cartridges 61 placed around its outer circumference, this tube being rotated and stopped in correspondence with the inlet tube 75 of each successive cartridge 61 so as to fill them with cement and sand simultaneously. The usual means, not shown, are provided for sealing the end of the rotating tube of the distributor with the tube 75 and for de-coupling them. The vents 76 of the cartridges are connected through a conduit 152 and an absolute filter 153 to a flue 154. Vent conduits 155 are also provided for connecting the hoppers 147 and 148 to the said flue 142. The storage, transfer and metering system is perfectly sealed with regard to both the elimination of external dust (storage silos and transfer screws) and the internal container system (vibrating-screw metering devices, rotative distributor and preconditioned cartridges). Once the filling of the receptacles 1c of the cartridges 61 with the cement mixture has been effected, their encapsulation with any of the usual means is completed, as explained previously for the cartridges 7b and 50, the space still remaining in the outer container 5 being filled, generally with the same material as that used for the initial preconditioning. The completion of the filling of the residual space in the cartridges 61 (possibly also comprising their tubes used for the immission of sand and cement and for venting air) may also be effected with monomer, which has the advantage of negligible shrinkage, by using a temporary tube connected with the pre-polymerisation receptacle of the part of the plant of FIG. 23. The cartridges 61 will finally also be completely suitable, without any further handling, for final disposal either in the earth or in the sea. Referring finally to FIG. 25, in this the entire process and an entire plant according to the present invention, are shown schematically (with a purification state of the type shown in FIG. 19) with the sole exception of the prior preconditioning stage for the various cartridges. By 23 is again indicated the feed conduit for the water containing radioactive substances in solution and, or in dispersion as well as radioactive substances in stable suspension and further radioactive substances in unstable suspension; one sees how this water passes first through the decanter-metering device 62 of the decantation station, from the bottom of which the sedimented, radioactive sludge is collected in the preconditioned cartridge 61; the arrow F.sub.1 indicates the progressive substitution of each filled cartridge 61 by another cartridge 61 to be filled. The clarified liquid passes (conduit 63) to the preconditioned cartridge 50 of the filtration module; the arrow F.sub.2 also indicates here the progressive substitution of the exhausted cartridges 50 by new cartridges 50. The liquid effluent from the filtration module then flows (conduit 24') into the various final treatment modules, which employ the preconditioned cartridges 7b with decontaminating-concentration beds; the detector of the level of residual radioactivity and the conduit for carrying the final flow of purified liquid are again indicated by 21 and 47 respectively. Progressive feed of the preconditioned cartridges to the plant is indicated by F.sub.3. The progressive displacement of the cartridges from a downstream position to a position further upstream, corresponding to a liquid having a higher specific activity, is indicated by F.sub.4 ; to the left of the final arrow F.sub.4 , the cartridge 7b will be completely exhausted, that is saturated. By 29, 30, 32 are again indicated the vacuum group with its conduit 36 for withdrawing the residual liquid by suction and the recycling conduit 27. The cartridges 61, 50 and 7b, once used or exhausted, are carried (it should be noted at this point that their transport may be effected by any means and without any particular precautions, thanks to the prior shielding applied in the preconditioning stage; the application of stoppers, such as 9 and 10 will suffice: the radiation passing through the tubes 2 and 3 is unimportant) to the process of rendering-insoluble their radioactive contents. More precisely, the cartridges 61 are carried (arrow F.sub.5), to the plant of FIG. 24 for the conditioning, or rendering-insoluble treatment; in FIG. 25 are shown schematically and indicated again by 129 and 130 the silos for storing and feeding cement and dried sand respectively; the cartridges 50 and 7b are carried instead (arrow F.sub.6) to the plant of FIG. 23 for conditioning or rendering-insoluble; in FIG. 25 the reservoir for storing and feeding the monomer and its additives is again indicated by 81, the pre-polymerisation receptacle by 85, the feed receptacle for the organic washing solvent by 112 and the de-mixing and recycling group by 91, 93, 98. Finally by F7 is shown schematically the removal of the preconditioned cartridges which have been subjected to the rendering-insoluble treatment and which are to be completely encapsulated in the material 6, once the process of internal polymerisation or setting has been completed, to render them suitable for their final disposal, whether in the earth or in the sea, in conditions of complete safety. The present invention has been described with particular reference to the case of water contaminated by radioactive substances; the same basic principles may be applied, as has already been mentioned at the beginning, to the case of fluids (liquids or gases) in general, contaminated by radioactive or non-radioactive substances, for example chemically active, toxic compounds; in fact, even in this case, the preconditioning may be very useful and advantageous since, even if the shielding, for example by concrete, no longer has the function of absorbing radiations which in this case do not exist, it still has the advantage of allowing the purification and rendering-insoluble to be carried out in the optimum conditions of safety and of at least providing a container and, finally, a finished product which may be handled and transported with the maximum safety and facility and which has the maximum endurance and resistance to chemical attack, mechanical stress and to naturally occurring agents, due to the mechanical and chemicophysical characteristics of the concrete, without the need to use, on the other hand, costly metallic materials. The process and the plant according to the present invention allow, in the last analysis, the serious problem of the final disposal of particular waste materials to be resolved brilliantly and without risks, hence resulting in high technological progress in this field, to which are linked considerable economic advantages in that, even though several of the components of the invention must be expendable, these may be made from cheap materials, with low manufacturing costs and, in any case, the invention achieves a considerable saving in costs due to the elimination of the complex and costly technical safety devices necessary until now. It is understood that many variations and modifications may occur to experts in this subject compared with the illustrated embodiments of the present invention given by way of example, without departing from the spirit of the invention. It is clear that these variations and modifications all fall within the scope of the invention. |
description | This application is a national filing of PCT application Serial No. PCT/IB2013/060479, filed Nov. 28, 2013, published as WO 2014/087305 A1 on Jun. 12, 2014, which claims the benefit of U.S. provisional application Ser. No. 61/733,592 filed Dec. 5, 2012, which is incorporated herein by reference. The following generally relates to shaping a radiation beam intensity profile and is described with particular application to computed tomography (CT); however, the following is also amenable to other imaging modalities such as x-ray. A CT scanner generally includes an x-ray tube that emits radiation from a focal spot in a direction of an examination region. A source collimator is disposed between the focal spot and the examination region and collimates the emitted radiation to produce a beam having a pre-determined geometrical shape. The collimated beam traverses the examination region and a portion of an object or subject therein (which attenuates the beam as a function of the radiodensity of the object or subject) and illuminates a detector array disposed across the examination region, opposite the x-ray tube. The detector produces a signal indicative of the detected radiation. The signal is reconstructed to generate volumetric image data indicative of the portion of the object or subject. A pre-patient radiation filter (often referred to as a wedge or bowtie filter, due to its physical shape) has been positioned between the focal spot and the source collimator to spatially attenuate the emitted radiation to shape the intensity profile. FIG. 1 schematically illustrates an example of a bowtie filter 102 in connection with a focal spot 104, a source collimator 106, an x-ray beam 108, a detector array 110, an examination region 112, and a portion of a subject or object 114 therein. Due to the physical shape of the bowtie filter 102, portions of the beam 116 that traverse only air traverse thicker portions 118 of the bowtie filter 102, which heavily attenuate the beam, portions of the beam 120 that traverse a central region 121 of the subject traverse thinner portions 122 of the bowtie filter 102, which lightly attenuate the beam, and portions of the beam there between are attenuated based on a smooth transition between the thicker portions 118 and the thinner portion 122. Unfortunately, such a bowtie filter 102 has limited performance. For example, the intensity, with an example bowtie filter, at the thicker portions 118 is still about 15%. As such, the bowtie filter 102 may not be well-suited for some photon counting detectors and/or other detectors that suffer from insufficient count rate capabilities. In addition, to achieve the intensity of 15%, the thickness at the thicker portions 118 has to be at least fifty (50) millimeters (mm). For greater modulation, the thickness would need to be larger. However, the size of the bowtie filter 102 defines the minimum spacing needed between the focal spot 104 and the collimator 106, so increasing the size may limit the size of the examination region 112, or, for a given volume between the focal spot 104 and the collimator 106, increasing the size of the bowtie filter 102 may not be an option. Furthermore, the bowtie filter 102 preferentially attenuates lower energy rays relative to higher energy rays, resulting in beam hardening, thereby changing the x-ray spectrum of the beam exiting the bowtie filter 102, relative to the beam entering the bowtie filter 102. As such, the spectrum of the beam may not be the optimal or desired spectrum. One approach to maintain lower beam hardening is to use a low “Z” (atomic number) material. However, using a low “Z” material reduces dose efficiency. Furthermore, a low “Z” bowtie filter 102 attenuates heavily via the Compton effect, which generates scattered radiation. Scattered radiation produces artifacts in the reconstructed images which degrade image quality and which may require scatter corrections to mitigate. The scattered radiation also contributes to patient dose while not contributing to diagnostic information in the reconstructed images. Furthermore, the physical profile of every subject is not the same, as some subjects are larger than others, and some subjects are more cylindrical shape whereas others are more elliptical shape. As such, the physical profile of the bowtie filter 102 does not correspond well to a profile of every subject over the entire angular range required for a scan (i.e., at least 180 degrees plus a fan angle). This is shown in FIGS. 2 and 3 where a profile 202 of the bowtie filter 102, relative to the focal spot 104, remains the same as the focal spot 104 rotates from a first angle 204 (FIG. 2) to a second angle 302 (FIG. 3), whereas a profile 206 of the subject 114 changes over the same angular range. As a result, the intensity profile changes as a function of the angular position, resulting in deviations from the desired homogenous illumination, which can degrade image quality. A similar situation occurs even for more cylindrical shaped subjects where a subject 402 is positioned off-center, shifted from an iso-center 404, as shown in FIG. 4, where the physical profile 202 of the bowtie filter 102 does not correspond to a profile 406 of the off-centered subject 404. In this example, the subject is positioned off-center such that the portion of the beam 408 traversing only air is lightly filtered. Aspects described herein address the above-referenced problems and others. In one aspect, an imaging system includes a focal spot that rotates along a path around an examination region and emits radiation. A collimator collimates the radiation, producing a radiation beam that traverses a field of view of the examination region and a subject or object therein. A detector array, located opposite the radiation source, across the examination region, detects radiation traversing the field of view and produces a signal indicative of the detected radiation. A beam shaper, located between the radiation source and the collimator, rotates in coordination with the focal spot and defines an intensity profile of the radiation beam. The beam shaper includes a plurality of elongate x-ray absorbing elements arranged parallel to each other along a transverse direction with respect to a direction of the beam, separated from each other by a plurality of material free regions. In another aspect, a method includes rotating a focal spot and a beam shaper in coordination on a path around an examination region. The beam shaper includes a plurality of elongate x-ray absorbing elements arranged parallel to each other along a transverse direction with respect to a direction of the beam, separated from each other by a plurality of material free regions, and defines an intensity profile of a radiation beam traversing the examination region. The method further comprising detecting radiation emitted by the focal spot that traverses the beam shaper and the examination region a field of view, and illuminates a detector array located opposite the focal spot, and generating an output signal indicative thereof. In another aspect, a beam shaper of an imaging system includes a plurality of elongate x-ray absorbing elements arranged parallel to each other along a transverse direction with respect to a direction of the beam, separated from each other by a plurality of material free regions. In another aspect, a method includes rotating a focal spot and a beam shaper in coordination on a path around an examination region, wherein the beam shaper includes first and second sub-beam shapers, and translating at least one of the first and second sub-beam shapers with respect to the other of the at least first and second sub-beam shapers based on at least one of a patient size, a pre-scan of the patient, a patient position in the examination region, or an anatomy of the patient being scanned, wherein a relative position of the first and second sub-beam shapers with respect to each other defines an intensity profile of a radiation beam traversing the examination region. Initially referring to FIG. 5, an imaging system 500 such as a computed tomography (CT) scanner is illustrated. The imaging system 500 includes a stationary gantry 502 and a rotating gantry 504, which is rotatably supported by the stationary gantry 502. The rotating gantry 504 rotates around an examination region 506, about a longitudinal or z-axis. A radiation source 508, such as an x-ray tube, is supported by the rotating gantry 504 and rotates with the rotating gantry 504 about the examination region 506, and emits radiation, via a focal spot 510, that traverses the examination region 506. A source collimator 512 is disposed between the radiation source 508 and the examination region 506 and includes collimator blades or the like that collimate the emitted radiation to produce a generally fan, cone, or otherwise shaped x-ray beam 516. The illustrated beam 516 is centered about an iso-center 518 of the examination region 506 and defines a generally circular shaped field of view 520. A radiation sensitive detector array 522 is located opposite the radiation source 508, across the examination region 506. The detector array 522 includes one or more rows of detector pixels. In one instance, the detector pixels are photon counting detector pixels, such as direct conversion photon counting detector pixels, that detect radiation traversing the examination region 506 and generate a signal indicative of the detected radiation. In another instance, the detector pixels are integrating detector pixels and include scintillator/photosensor pairs in which the scintillator converts x-ray photons to light photons which are detected by the photosensor. In yet another instance, the detector pixels includes both direct conversion photon counting detector pixels and scintillator/photosensor based detector pixels. At least one beam shaper 524 is located between the radiation source 508 (e.g., an x-ray tube housing window) and the collimator 512 in the path of the beam 516 (e.g., in the beam port of an x-ray tube) and shapes a transmission or flux profile of the beam 516 so that beam intensity is greater nearer a central ray 526 of the beam 516 and decreases in a direction away from the central ray 526 and towards outer peripheral rays 528. As described in greater detail below, in one instance, the beam shaper 524 filters the beam 516 such that an intensity of the beam leaving the beam shaper 524 and traversing peripheral regions of the field of view 520 is about 0.00 to 1.00%, such as 0.05%, of the intensity impingent thereon, and an intensity of the beam leaving the beam shaper 524 and traversing a central region of the field of view 520 is about the same as the intensity impingent thereon. In one instance, such a profile can be achieved while maintaining a small footprint such that the beam shaper 524 can fit in the beam port of the imaging system 500. In addition, the beam shaper 524 includes a material which produces little to no scatter radiation. Furthermore, the beam shaper 524 includes a material which results in little to no beam hardening. In one instance, the at least one beam shaper 524 includes a single beam shaper 524. In another instance, the at least one beam shaper 524 includes a multiple beam shaper 524, such as two, three, etc. beam shapers 524. In such an instance, the multiple beam shapers 524 can be independently or concurrently utilized to dynamically set and/or change the intensity profile while rotating the beam 516 around the examination region 506 and scanning a subject, which allows for changing the intensity profile in coordination with a changing physical profile of a non-cylindrical (e.g., elliptical) shaped subject and/or an off-centered subject. A support 530 supports the beam shaper 524 in the system 500. In one instance, the support 530 maintains the beam shaper 524 at a static position. In another instance, the support 530 is configured to allow at least one beam shaper 524 to translate along a linear or curved shape (an arc) axis in either or both directions, relative to the focal spot 510, along with rotating the focal spot 510 and beam shaper 524 in coordination on a path around the examination region 506. For this instance, each moveable beam shaper 524 is coupled to a drive system 531, which, in one instance, includes a bearing or the like, which is moved via a motor, under control of a controller, with position sensed by an encoder or the like. Other drive systems 531 are also contemplated herein. Furthermore, each moveable beam shaper 524 may be moved independently and/or concurrently, prior and/or during scanning. A reconstructor 532 reconstructs the binned data using a spectral and/or conventional reconstruction algorithm and generates spectral and/or conventional volumetric image data indicative of the examination region and the portion of the subject or object therein. Where the shaper 524 is modified during a scan, the decoder information is provided to the reconstructor 532. A subject support 534, such as a couch, supports a subject or object in the examination region 506 and can be used to position the subject or object with respect to x, y, and/or z axes before, during and/or after scanning. A general purpose computing system serves as an operator console 536, and includes an output device such as a display and an input device such as a keyboard, mouse, and/or the like. Software resident on the console 536 allows the operator to control the operation of the system 500, for example, allowing the operator to select a particular beam shaper 524, a motion of the beam shaper 524, etc. directly or indirectly through selecting an imaging protocol, etc. Turning to FIG. 6, a non-limiting example of the beam shaper 524, looking into the beam shaper 524 along a direction extending between the focal spot 510 and the collimator 512, is illustrated. The illustrated beam shaper 524 is rectangular shaped having a longer axis 602 extending along a traverse or x direction and a shorter axis extending along the z-direction. In other embodiments, the beam shaper 524 can be otherwise shaped, e.g., one or more of the sides could be curved, irregular, etc. The beam shaper 524 includes a high-density, high-Z material such as tungsten, molybdenum or other suitable material. Generally, a suitable beam shaper attenuates more than 98% of the incident beam. The principal attenuation process of the high-Z material is the photoelectric effect, and, as such, the beam shaper 524 generates little to no scatter radiation. In addition, there is little to no beam hardening, because the transmitted beam goes through air. The beam shaper 524 includes a plurality of elongate x-ray absorbing elements 6061, 6062, . . . , 606N (collectively referred to herein as x-ray absorbing elements 606), where N is an integer. The x-ray absorbing elements 606 are arranged parallel to each other along the longer axis 602. The x-ray absorbing elements 606 are respectively separated from a neighboring(s) x-ray absorbing element(s) 606 by a plurality of material free regions 6041, . . . , 604(N+1) (collectively referred to herein as material free regions 604). End regions 608 of the elongate x-ray absorbing elements 606 are part of a support member 610 that encloses a perimeter of the beam shaper 524. One or more of the x-ray absorbing elements 606 has a width that is greater than a width of one or more of the other x-ray absorbing elements 606. For example, a width 6121 of the x-ray absorbing elements 6061 is greater than a width 6122 of the x-ray absorbing elements 6062, which is greater than a width 612i of an x-ray absorbing elements 606i. Generally, the widths sequentially decrease going from outer x-ray absorbing elements 606 to a central region 614. However, the widths do not have to sequentially change as such. In one instance, the slit widths decrease exponentially with the fan-angle. In the illustrated embodiment, an element center to element center distance or a pitch 616 is the same for all of x-ray absorbing elements 606. In a variation, the pitch may vary at least with respect to one pair of x-ray absorbing elements 606. In a configuration with the same pitch 616 and widths 612 that sequentially decrease going outer x-ray absorbing element 606 to the central region 614, the material free regions 604 increase in width in the opposite direction, or from the central region to the outer regions. FIG. 7 illustrates a cross-sectional view along line A-A of FIG. 6 for a configuration in which the beam shaper 524 is flat (not curved) in the x-y plane. For sake of brevity and clarity, a subset of x-ray absorbing elements 606, namely, x-ray absorbing elements 606a, 606b, 606c, 606d, 606e, 606f, 606g, 606h, 606i, 606j, 606k, and 606l, are shown. In this example, the x-ray absorbing elements 606 are focused at the focal spot 510 (FIG. 5) and thus angled with respect to the x-direction and each other. In a variation, the x-ray absorbing elements 606 are instead perpendicular to the x-axis and parallel to each other or otherwise unfocused with respect to the focal spot 510. From at least the discussion of FIG. 5, the x-ray absorbing elements 606 vary in width, being wider nearer ends (e.g., x-ray absorbing elements 606a and 606l) and narrower nearer a central region (e.g., x-ray absorbing elements 606f and 606g). The individual x-ray absorbing elements 606 substantially block the radiation impingent thereon. The radiation traversing the material free regions 604 pass through the beam shaper 625 without blocking any of the radiation. This is shown in FIG. 8. With respect to the x-ray absorbing elements 606a and 606b, radiation 802 is impingent on the beam shaper 524, spanning across the x-ray absorbing element 606a, the material free region 604ab, and the x-ray absorbing element 606b. The radiation striking the x-ray absorbing elements 606a and 606b is blocked and the radiation traversing the material free region 604ab passes through the material free region 604ab. As a result, radiation 804 passes through and exits the beam shaper 524. Note that more radiation is blocked than passes at this region. With respect to the x-ray absorbing elements 606c and 606d, radiation 806 is impingent on the beam shaper 524, spanning across the x-ray absorbing element 606c, the material free region 604cd, and the x-ray absorbing element 606d. The radiation striking the x-ray absorbing elements 606c and 606d is blocked and the radiation traversing the material free region 604cd passes through the material free region 604cd. As a result, radiation 808 passes through and exits the beam shaper 524. Again, more radiation is blocked than passes at this region. In FIG. 8, less radiation is blocked in connection with the x-ray absorbing elements 606c and 606d, relative to the x-ray absorbing elements 606a and 606b. With respect to the x-ray absorbing elements 606e and 606f, more radiation passes through the material free region 604ef than is blocked by the x-ray absorbing elements 606e and 606f. With respect to the x-ray absorbing elements 606f and 606g, substantially all of the radiation 806 spanning across the x-ray absorbing element 606f, the material free region 604fg, and the x-ray absorbing element 606g passes through the beam shaper 524. The intensity of the transmitted beam is a function of the radiation passing through the beam shaper 524 and thus the area of the material free regions 604. As such, the intensity of the beam with respect to the beam shaper 524 is greater nearer the central region. In one instance, with the illustrated configuration, the intensity at the central region is 100% and an intensity of the beam at the ends regions is between 0.0 to 1.0%. The system 500 may include a plurality of different beam shapers 524 (e.g., large, medium, small, etc.) with different profiles for producing different intensity profiles, which can be alternately electronically moved into the path of the beam, for example, based on the physical characteristics of the subject and/or otherwise. Moving to FIGS. 9 and 11, a cross-sectional view along line A-A of FIG. 6 for a configuration in which the beam shaper 524 includes multiple beam shapers, including sub-beam shapers 5241 and 5242 is illustrated. The sub-beam shaper 5241 includes x-ray absorbing elements 606a1, 606b1, 606c1, 606d1, 606e1, 606f1, 606g1, 606h1, 606i1, 606j1, 606k1 and 606l1, and material free regions 604ab1, 604cd1, 604ef1, 604fg1, 604gh1, 604ij1, and 604kl1. The sub-beam shaper 5242 includes x-ray absorbing elements 606a2, 606b2, 606c2, 606d2, 606e2, 606f2, 606g2, 606h2, 606i2, 606j2, 606k2 and 606l2, and material free regions 604ab2, 604cd2, 604ef2, 604fg2, 604gh2, 604ij2, and 604kl2. In FIG. 9, the x-ray absorbing elements 606 are aligned such that the same radiation that traverses the x-ray absorbing elements 606 of the sub-beam shaper 5241 traverses the sub-beam shaper 5242. This is shown in FIG. 10. In a variation, the x-ray absorbing elements 606 are not aligned as such. In FIG. 11, at least one of the sub-beam shapers 5241 or the sub-beam shaper 5242 has translated relative to the other of the at least one of the sub-beam shapers 5241 or the sub-beam shaper 5242 such that the x-ray absorbing elements 606 of the two sub-beam shapers 5241 and 5242 are no longer aligned. This is shown in FIG. 12. As a consequence, less radiation passes through the beam shaper 524. Again, the widths of the material free regions 604 decrease going from the central region to the end regions. As such, a given shift of a sub-beam shaper(s) results in a greater percentage decrease in intensity at the end regions. This is shown in FIG. 13 in which a y-axis 1302 represents intensity and an x-axis 1304 represents the x-ray absorbing element. A first profile 1306 shows the intensity profile for FIG. 9 in which the sub-beam shapers 5241 and 5242 are aligned, and a second profile 1308 shows the intensity profile for FIG. 11 in which the sub-beam shapers 5241 and 5242 are misaligned. Note that the intensity at the central region is about the same whereas the intensity at the peripheral regains falls off earlier in profile 1308. The two illustrated positions of the sub-beam shapers 5241 and 5242 are not limiting and are provided for explanatory purposes. It is to be understood that other shifts between the sub-beam shapers 5241 and 5242 and/or more shifts between the sub-beam shapers 5241 and 5242 are also contemplated herein. The shifts may or may not be angular dependent such that the intensity profile of the beam can be dynamically changed as the focal spot 510 (FIG. 5) rotates about the examination region 506 around the subject in coordination with a physical profile of a non-cylindrical (e.g., an elliptical) shaped subject. In FIG. 14, the sub-beam shaper 5241 includes a first partial sub-beam shaper 5241a and a second partial sub-beam shaper 5241b. The first and second partial sub-beam shapers 5241a and 5241b can be independently controlled in that each of the first and second partial sub-beam shapers 5241a and 5241b can be translated independent of the other of the first and second partial sub-beam shapers 5241a and 5241b. This includes translating both of the first and second partial sub-beam shapers 5241a and 5241b in the same direction or opposing directions, concurrently, or translating a single one of the first and second partial sub-beam shapers 5241a and 5241b. The latter is shown in FIG. 15. Likewise, the illustrated positions of the sub-beam shapers 5241a and 5241b are not limiting and are provided for explanatory purposes, and other shifts between the sub-beam shapers 5241a and 5241b and/or more shifts between the sub-beam shapers 5241a and 5241b are contemplated herein. Similarly, the shifts may or may not be dependent on the angular position of the gantry such that the intensity profile of the beam can be dynamically changed as the focal spot 510 (FIG. 5) rotates about the examination region 506 around the subject in coordination with a physical profile of a non-cylindrical (e.g., an elliptical) shaped subject. Furthermore, such shifts can be in coordination with a location of the subject, including an off-center subject, with respect to the iso-center 518 (FIG. 5). This is shown in FIG. 16 in which a y-axis 1602 represents intensity and an x-axis 1604 represents the x-ray absorbing element. A first profile 1606 shows the intensity profile for FIG. 14 in which the sub-beam shapers 5241 and 5242 are aligned, and a second profile 1608 shows the intensity profile for FIG. 14 in which one of the partial sub-beam shapers, the partial sub-beam shaper 5241b in this example, independently shifts with respect to the sub-beam shaper 5241b, which remains at a static location. With the configurations of FIGS. 9, 11 and 14, a sub-beam shaper can be translated based on the angle during a scan and/or translated prior to a scan and kept at a static position during the scan. With the former, the shifts can be applied for every angle or for only a sub-set of the angles. With the latter, a shift can be applied prior to scanning to adjust the beam shaper 524 based on a size (e.g., large, medium, small, etc.), location, and/or shape of the subject. FIGS. 17, 18, and 19 show a variation in which the beam shaper 524 is curved, concave with respect to the focal spot 510 (FIG. 5). In this instance, the x-ray absorbing elements 606 are aligned parallel to each other and the beam shaper 524 is curved such that the individual x-ray absorbing elements 606 are focused at the focal spot 510 (FIG. 5). In another variation, at least one of the x-ray absorbing elements 606 is at least partially focused at the focal spot 510 (FIG. 5). FIGS. 11, 14 and 18 show that either sub-beam shaper 524 can be configured to translate and/or include multiple partial sub-beam shapers configured to translate. With regard to FIGS. 5 and 6, actual values of the pitch 616, the widths of the material free regions 604, a length of the shaper and a number of x-ray absorbing elements 606 are based on one or more of a number of detectors in the detector array 522, a width of the detectors, a width of the detector array 522, a width of the beam, a source to shaper distance, a source to detector distance, a beam half angle, and a % modulation. Table 1 below shows one non-limiting approach to determine the pitch 616, the widths of the material free regions 604, and the number of x-ray absorbing elements 606. TABLE 1Beam Shaper Physical Characteristics.PitchWdetMaterial blocking region width(% modulation)(Wdet)Number of material free regions(Ndet) * (Wdet) * (sdd1/sdd2)/WsourceWidth of shapercos(bha) * sdd1 In Table 1, Wdet is a width of a detector, Ndet is a number of the detectors, Wsource is a width of the x-ray source, sdd1 is a source to shaper distance, sdd2 is a source to detector distance, and bha is beam half-angle, or the angle between a central ray and an end ray of the beam. The material free regions 604 can be formed by forming slits in a solid piece of suitable material via cutting with a saw, a laser, water, etc., and/or otherwise. In a variation, the width of the x-ray absorbing elements 606 of the beam shaper 524 changes in the transverse or x direction as a function of the z direction as defined in FIG. 6. This can be achieved, for example, by making the material free regions 604 slightly thicker on one side of the beam shaper 524 relative to the other side of the beam shaper 524. This could be done in hardware and/or by tilting one with respect to the other. This may facilitate compensating for the heel-effect, which produces an intensity modulation in z, which has been compensated by a small wedge. It is to be appreciated that the beam shaper 524 can be included in a scanner configuration that does not include a bowtie filter and that does include a bowtie filter, such as the bowtie filter discussed in connection with FIGS. 1, 2, 3, and/or 4. FIG. 20 illustrates an example method in accordance with the embodiments described herein. At 2002, a pre-scan of a subject or object is obtained. The pre-scan can be a 2D projection scan such as a scout scan, a 3D low volume scan, and/or other scan, such as a scan from a previous imaging examination. At 2004, a beam shaper profile is determined based on the pre-scan. As described herein, this may include determining the profiled based on a shape of the subject and/or location of the subject with respect to iso-center. At 2006, a beam shaper 524 is identified based on the profile and place in the path of the beam. At 2008, the subject is scanned using the beam shaper. FIG. 21 illustrates an example method in accordance with the embodiments described herein. At 2102, a pre-scan of a subject or object is obtained. The pre-scan can be a 2D projection scan such as a scout scan, a 3D low volume scan, and/or other scan, such as a scan from a previous imaging examination. At 2104, a beam shaper profile is determined based on the pre-scan. As described herein, this may include determining the profiled based on a shape of the subject and/or location of the subject with respect to iso-center. At 2106, a profile of the beam shaper 524 is adjusted based on the profile. At 2108, the subject is scanned. At 2110, optionally the profile of the beam shaper 524 is dynamically adjusted during the scan. It is to be appreciated that the ordering of the acts in the methods described herein is not limiting. As such, other orderings are contemplated herein. In addition, one or more acts may be omitted and/or one or more additional acts may be included. The above may be implemented by way of computer readable instructions, encoded or embedded on computer readable storage medium, which, when executed by a computer processor(s), cause the processor(s) to carry out the described acts. Additionally or alternatively, at least one of the computer readable instructions is carried by a signal, carrier wave or other transitory medium. The invention has been described with reference to the preferred embodiments. Modifications and alterations may occur to others upon reading and understanding the preceding detailed description. It is intended that the invention be constructed as including all such modifications and alterations insofar as they come within the scope of the appended claims or the equivalents thereof. |
|
summary | ||
abstract | A nuclear reactor comprises a nuclear reactor core disposed in a pressure vessel. An isolation valve protects a penetration through the pressure vessel. The isolation valve comprises: a mounting flange connecting with a mating flange of the pressure vessel; a valve seat formed into the mounting flange; and a valve member movable between an open position and a closed position sealing against the valve seat. The valve member is disposed inside the mounting flange or inside the mating flange of the pressure vessel. A biasing member operatively connects to the valve member to bias the valve member towards the open position. The bias keeps the valve member in the open position except when a differential fluid pressure across the isolation valve and directed outward from the pressure vessel exceeds a threshold pressure. |
|
abstract | An x-ray imaging device (10) comprising at least two substantially planar panels (20, 21), each panel comprising a plurality of x-ray emitters housed in a vacuum enclosure, wherein the at least two panels each have a central panel axis (28) and are arranged such that their central panel axes are non-parallel to one another, the device further comprising a panel retaining means and arranged such that the panel retaining means retains the at least two panels stationary in relation to an object during x-raying of the object. |
|
041727606 | claims | 1. A method of determining the neutron transmission characteristics of the wings of a cruciform shaped control rod positioned in a nuclear reactor core wherein each of the four wings of said control rod is normally located between a pair of fuel assemblies, each of said fuel assemblies including a surrounding flow channel, comprising the steps of: (1) removing a pair of said fuel assemblies from said core to provide access to one wing of said control rod; (2) positioning a neutron shielding source enclosure containing a neutron source on one side of said one wing, a side of said source enclosure being adjacent said one wing and having an opening therein for passage of a continuous stream of neutrons into said one wing from said source; (3) positioning a neutron shielding detector enclosure containing neutron detector means on the opposite side of said one wing, a side of said detector enclosure being adjacent said one wing and having at least one opening for passage of neutrons from said one wing to said detector means for detection of neutrons that pass through said one wing from said source; (4) monitoring the signals from said detector means to determine the relative number of neutrons transmitted from said source through said one wing to said detector means; and (5) moving said source and detector enclosures in unison along the length of said one wing and registering a record of the signals from said detector means during said moving. 2. The method of claim 1 including the steps of placing dummy flow channels in the positions of said removed fuel assemblies and positioning said source and detector means enclosures within respective ones of said dummy flow channels. 3. The method of claim 1 including the further steps of generating a position signal indicative of the position of said source and detector enclosures along the length of said control rod and recording a record of said position signal. 4. Portable apparatus for remotely determining the neutron transmission characteristics of a control rod located in a pool of water for shielding operating personnel from radiation comprising: a neutron source removably contained in a shielding cask in said pool; a carriage positioned adjacent said control rod and adapted for movement therealong; a neutron source enclosure formed of a neutron shielding material and supported on said carriage adjacent one side of said control rod, said enclosure containing a receptacle for receiving said neutron source, said enclosure having at least one opening for passage of neutrons in the side of said enclosure adjacent said control rod; remotely manipulatable means for moving said neutron source from said cask into said receptacle in said enclosure whereby a continuous stream of neutrons from said source is directed through said opening into said control rod; neutron detection means supported on said carriage adjacent a side of said control rod opposite from said one side for detecting neutrons transmitted through said control rod from said source whereby signals produced by said detection means are proportional to the quantity of neutrons transmitted from said source through said control rod; remotely manipulatable means for moving said carriage along the length of said control rod; and recording means responsive to the signals from said neutron detection means for registering said signals as said carriage is moved along the length of said control rod. 5. Apparatus according to claim 4 further including position indicating means coupled to said moving means and responsive to said movement to produce a position signal indicative of the position of said neutron detector means with respect to the distance along the length of said control rod and means for recording said position signal in synchronism with the recording of the signals from said detector means. 6. Apparatus according to claim 4 wherein said detector means includes a neutron shielding enclosure. 7. Apparatus according to claim 4 including guiding means for guiding said carriage means along said control rod. |
054715130 | description | MODEL(S) FOR CARRYING OUT THE INVENTION Illustrated schematically in FIG. 1 is an exemplary boiling water reactor (BWR) 10 including a cylindrical pressure vessel 12 having a longitudinal centerline axis 14. The vessel 12 includes a conventionally removable upper head 12a, and a lower head 12b. Disposed inside the vessel 12 is a conventional annular reactor core 16 containing a plurality of elongate, laterally spaced apart, conventional nuclear fuel bundles 18 additionally shown in FIG. 2. The core 16 is disposed in the vessel 12 above the lower head 12b to define a lower plenum 20 therebetween. In accordance with one embodiment of the present invention, an annular or cylindrical chimney 22 extends upwardly from the core 16 in the vessel 12 in flow communication with the core 16. Surrounding the core 16 is a conventional annular shroud 24 which extends downwardly from the chimney 22 to the lower head 12b. The core 16 and the chimney 22 are spaced radially inwardly from the inner surface of the vessel 12 to define a conventional annular downcomer 26 in flow communication with the lower plenum 20. The chimney 22 includes a lower grid 28 disposed at the top of the core 16, an upper grid 30 spaced upwardly from the lower grid 28, and a top manifold 32 defining an open plenum above the upper grid 30. A conventional steam separator assembly 34 includes conventional standpipes and steam separators which extend upwardly from the chimney 22 in flow communication with the chimney top manifold 32. A conventional steam dryer assembly 36 is spaced upwardly above the steam separator assembly 34 and below the vessel upper head 12a in flow communication with the steam separator assembly 34. The vessel 12 is filled with a reactor water 38 to a nominal or normal vertical water level L measured from the vessel lower head 12b. The reactor water 38 acts as both a coolant and moderator for the core 16. The normal water level L is preferably disposed at an elevation through the steam separator assembly 34 at about half its height as is conventionally known. A plurality of conventional recirculation pumps 40 extend through the vessel lower head 12b and into the downcomer 26 for conventionally pumping the water 38 downwardly from the downcomer 26 and into the lower plenum 20 for flow upwardly through the reactor ore 16. The core 16 therefore receives the water 38 recirculated downwardly through the downcomer 26, through the lower plenum 20 and upwardly therein, with the core 16 being conventionally effective for boiling the water 38 to generate a steam-water mixture 38a which flows upwardly from the core 16 and through the chimney lower grid 28, chimney 22, and upper grid 30 into the chimney top manifold 32. From the chimney top manifold 32 the steam-water mixture 38a flows conventionally upwardly into the steam separator assembly 34 and in turn through the steam dryer assembly 36 for removing water therefrom for discharging primarily steam 38b from the vessel 12 through a conventional outlet nozzle 42. The outlet nozzle 42 is conventionally joined to a conventional steam turbine, for example, for powering a conventional electrical generator to produce electrical power for an electrical utility grid (not shown). A plurality of conventional nuclear control rods 44 are selectively positionable in the core 16 in accordance with one embodiment of the present invention between the fuel bundles 18 for conventionally controlling reactivity in the core 16. Only two control rods 44 are illustrated in FIG. 1 for clarity of presentation, with it being understood that a suitable number thereof, for example several hundred, are actually used in a conventional reactor core. Also in accordance with one embodiment of the present invention, a plurality of control rod drives (CRDs) 46 extend at least downwardly from the vessel lower head 12b and are operatively joined to respective ones of the control rods 44 for selectively translating the control rods 44 upwardly out of the core 16 and downwardly into the core 16. In the preferred embodiment, one CRD 46 is provided for each of the control rods 44. In a conventional BWR, control rod drives extend downwardly from the vessel lower head and include conventional control rod guide tubes extending between the vessel lower head and the bottom of the core (not shown). The guide tubes have a length approximately equal to the length of the control rods themselves so that the control rods may be fully withdrawn downwardly from the core and into the guide tubes within the pressure vessel. By utilizing the split CRD arrangement disclosed above, with the CRDs 46 extending downwardly from the vessel lower head 12b and the control rods 44 being withdrawn upwardly from the core 16 into the chimney 22, the conventional, relatively long control rod guide tubes between the bottom of the core and the vessel lower head may be eliminated. Since the control rods 44 in accordance with the present invention are withdrawn upwardly above the core 16, no guide tubes are necessary below the core 16 for suitably guiding translation of the control rods 44 or for providing a vertical space for storing the control rods 44 when they are fully withdrawn from the core 16. Instead, the CRDs 46 are effective for raising the control rods 44 upwardly into the chimney 22 for their withdrawal from the core 16, and for lowering the control rods 44 from the chimney 22 and into the core 16. FIG. 1 illustrates one exemplary control rod 44 on the left side of the core 16 in its fully inserted position in the core 16, and a second exemplary control rod 44 on the right side of the core 16 in its fully withdrawn position disposed within the chimney 22 In this way, the chimney 22 may itself provide for an increase in the normal water level L above the core 16 without a corresponding increase in the overall height of the pressure vessel 12 since the core 16 may be positioned more closely adjacent to the vessel lower head 12b upon elimination of the conventional control rod guide tubes therebetween as described in more detail below. And, the chimney 22 may be used additionally for guiding upwardly the control rods 44 as well as providing flow channels for confining the flow of the steam-water mixture 38a from the core 16 upwardly toward the steam separator assembly 34 for improved performance. More specifically, since the flow of the steam-water mixture 38a upwardly from the core 16 is turbulent, a plurality of removable chimney channels or tubes 46 are disposed in the chimney 22 above the core 16 and are laterally spaced apart from each other as shown in more particularity in FIG. 2 to define therebetween guide slots 50 for slidably receiving respective ones of the control rods 44 translated upwardly out of the core 16 by the CRDs 46. As shown in FIG. 2, the channels 46 are preferably vertically aligned above and with the fuel bundles 18 for receiving and channeling upwardly therefrom to the steam separator assembly 34 the steam-water mixture 38a. Not only do the chimney channels 48 provide guides for the translation of the control rods 44 upwardly, but they provide partitions to separate the upward flow of the steam-water mixture 383 to ensure predictability of the pressure drop therethrough and the two-phase (liquid and vapor) flow distributions of the steam-water mixture 38a laterally across the chimney 22. Chimneys including partitioned risers are conventional for providing these benefits. However, the chimney channels 48 provide an improved, more simple configuration for additionally allowing the control rods 44 to be withdrawn upwardly above the core 16 instead of downwardly therefrom, as well as providing the guide slots 50 for guiding the withdrawal of the control rods 44 from the core 16 in addition to allowing an increase in the normal water level L above the core 16 by a reduction in the height of the vessel 12 between the bottom of the core 16 and the vessel lower head 12b by the elimination of conventional control rod guide tubes. As illustrated in FIG. 2, each of the control rods 44 preferably has a cruciform transverse configuration or section, and each of the chimney channels 48 has a preferably square configuration and is imperforate. Four adjacent ones of the chimney channels 48 are disposed together so that the guide slots 50 defined therebetween collectively have a cruciform configuration for receiving and guiding a respective one of the control rods 44. Referring to both FIGS. 1 and 2, the chimney upper grid 30 is in the exemplary form of a square lattice and the chimney channels 48 are supported therefrom by hanging downwardly into the chimney 22. For example, the tops of the chimney channels 48 may include radially outwardly extending flanges which are simply supported in complementary recesses defined in the upper grid 30 so that they may be easily inserted therein or removed therefrom during assembly and disassembly. The chimney channels 48 may otherwise be conventionally supported from the upper grid 30 by conventional gimbals for example. The chimney channels 48 may additionally or alternatively be supported by the lower grid 28 by being simply rested thereon, for example, in a complementary recess therein. In the preferred embodiment of the invention illustrated in FIG. 2, each of the fuel bundles 18 has a conventional square configuration and each includes a plurality of conventional elongate, tubular fuel rods 52 as shown in one, exemplary fuel bundle 18 in FIG. 2. Each fuel bundle 18 includes a conventional handle 54 extending upwardly from its top for conventionally inserting and withdrawing the fuel bundle 18 from the core 16. In the preferred embodiment illustrated in FIG. 2, each of the chimney channels 48 is vertically disposed above and aligned with, and is sized for covering four of the fuel bundles 18 in a square array for channeling the steam-water mixture 38a upwardly therefrom and through the chimney channel 48. Each of the fuel bundles 18 may therefore be inserted or withdrawn directly through the chimney channel 48 without the removal thereof if desired. In other embodiments of the invention, the chimney channels 48 may be first removed upwardly from the chimney 22 for allowing access to the fuel bundles 18 disposed therebelow so that the fuel bundles 18 may be removed and replaced with new fuel bundles 18. This preferred alignment also allows the control rods 44 to be withdrawn upwardly between the fuel bundles 18 and between the chimney channels 48 in the guide slots 50 while leaving the interior of the chimney channels 48 open to prevent obstruction of the primary upward flow of the steam-water mixture 38a therein directly from the fuel bundles 18. The secondary upward flow of the steam-water mixture 38a through the guide slots 50 is therefore distinct from the primary flow. Accordingly, the chimney 22 partitioned by the chimney channels 48 serves several functions by providing a space for housing the control rods 44, which therefore allows the control rods 44 to be withdrawn upwardly from the core 16, with the channels 48 also providing the guide slots 50 for guiding upwardly the control rods 44 without the need for additional guiding structure. The chimney channels 48 also effectively channel upwardly the steam-water mixture 38,3 to prevent crossflow transversely across the chimney 22 for obtaining improved flow distributions from the core 16 with predictable pressure drops thereof through the chimney 22. Furthermore, the chimney 22 also allows for an increase in the normal water lever L as described above. More specifically, the reactor 10 as illustrated in FIG. 1 preferably further includes a gravity-driven cooling system (GDCS) 56 which has a pool 58 of makeup water 60 disposed vertically above the normal water level L of the vessel 12 at a vertical height H.sub.1. The pool 58 is conventionally joined in flow communication with an inlet nozzle 62 of the vessel 12 by a conventional conduit 64 in which is disposed in serial flow communication a conventional valve 66. The valve 66 is normally closed for preventing flow of the makeup water 60 into the vessel 12, and is conventionally openable in response to a LOCA situation, for example, for selectively draining by gravity the makeup water 60 into the vessel 12. The makeup water 60 will be drained into the vessel 12 by its pressure head due to being elevated above the water level L at the height H.sub.1. However, since that pressure head is substantially below the normal pressure within the pressure vessel 12, the pressure vessel 12 must first be suitably depressurized to a sufficiently low pressure for allowing the pressure head of the makeup water 60 in the pool 58 to drain the makeup water 60 into the vessel 12. Accordingly, a conventional automatic depressurization system 68 is joined in flow communication with the pressure vessel 12, through a conventional venting nozzle 70 for example, for initially venting the pressure within the vessel 12 to about atmospheric pressure so that the valve 66 may then be opened to allow draining of the makeup water 60 by gravity into the vessel 12. In order to provide a normal water level L at a height H.sub.2 above the top of the reactor core 16 which is greater than a conventional level, the chimney 22 is provided as described above and has a height H.sub.3 between the lower and upper grids 28 and 30 which is approximately equal to the height of the control blades 44 for allowing the control blades 44 to be fully withdrawn from the core 16 and into the chimney 22. The core 16 has a height H.sub.4 which is equal to the height of the fuel bundles 18, and which is also about the height of the control blades 44, so that the control blades 44 may be fully inserted into the core 16. Since conventional control rod guide tubes are not required between the bottom of the core 16 and the vessel lower head 12b, the lower plenum 20 has a height H.sub.5 which is less than the height H.sub.4 of the core 16 for reducing the overall height H.sub.6 of the pressure vessel 12 measured between the upper and lower heads 12a and 12b. The height of the vessel 12 which would conventionally be provided between the core 6 and the lower head 12b for the control rod guide tubes may be reduced since the guide tubes are no longer required, with the vessel 12 being instead lengthened between the core 16 and the steam separator assembly 34 by incorporating the chimney 22 having the height H.sub.3. In this way, the overall height H.sub.6 of the pressure vessel 12 may remain about the same as a conventional pressure vessel, for example at about 21 meters, with more length being provided between the core 16 and the separator assembly 34 instead of between the vessel lower head 12b and the core 16. Accordingly, the normal water level above the core 16, i.e. height H.sub.2, may be increased over that .contained in a conventional boiling water reactor for providing improved performance of the vessel 12 with the gravity-driven cooling system 56 in a LOCA situation, as well as providing improved performance in an all pump trip of the recirculation pumps 40. Furthermore, the conventional skirt surrounding the steam separator assembly 34 may be vertically lengthened by about 1.5 meters in order to improve the capability to recover from swings of the water level L resulting from other conventional upset conditions in the BWR 10, Illustrated in FIGS. 3-5 is an exemplary CRD 46 for translating a respective control rod 44 shown in phantom in FIG. 3 for clarity of presentation. Referring first to FIG. 3, a support tube 72 preferably extends upwardly from the vessel lower head 12b to a bottom plate 16a of the core 16 for supporting the core 16 in this exemplary embodiment. Each of the CRDs 46 includes a tubular CRD housing 74 conventionally fixedly and sealingly joined through the vessel lower head 12b for forming a portion of the pressure boundary for containing the pressurized reactor water 38 within the vessel 12. The CRD housing 74 includes a top portion which extends upwardly from the vessel lower head 12b to the core bottom plate 16a, and a lower portion which extends downwardly from and through the lower head 12b. An elongate drive rod 76 in the exemplary form of a drive screw extends upwardly from the CRD housing 74 and is conventionally releasably coupled to a respective one of the control rods 44 by a conventional bayonet coupling 78 for example. Alternatively, the coupling 78 may be in the form of a screw extending upwardly from the drive rod 76 which threadingly engages a complementary receptacle in the bottom of the control rod 44 for reducing the diameter of the coupling 78 to improve clearance between the channels 48. The CRD 46 in the exemplary embodiment illustrated in FIG. 3 preferably includes means for selectively translating the drive rod 76 upwardly for withdrawing the control rod 44 upwardly from the core 16 and into the chimney 22, and for selectively translating the drive rod 76 downwardly for inserting the control rod 44 into the core 16 from the chimney 22. Means are also provided for selectively releasing the drive rod 76 for allowing gravity to insert the control rod 44 into the core 16 without obstruction from the CRD 46 itself. More specifically, in a conventional bottom mounted control rod drive, quick<insertion upwardly into the core during a SCRAM occurrence for example, is effected by providing a pressurized fluid over a piston for lifting the piston and the control rod vertically upwardly against the force of gravity. However, with the bottom-mounted CRDs 46 in accordance with the present invention which withdraw the control rods 44 upwardly above the core 16 into the chimney 22, suitable means must be provided for inserting the control rods 44 downwardly during a SCRAM occurrence without obstruction from the CRDs 46 since the SCRAM insertion direction is toward the CRDs 46 instead of away from the CRDs 46 as typically found in a conventional bottom mounted control rod drive. Referring again to FIG. 3, the translating means for the drive rod 76 in the form of a drive screw include a drive tube 80 disposed inside the CRD housing 74, which includes a top endplate 82 having a central top aperture 82a therein through which the drive screw 76 extends upwardly into the core 16. A segmented drive nut 84 is operatively joined to and inside the drive tube 80 as shown in FIG. 3, and in more particularity in FIG. 4, and is selectively engageable and retractable from the drive screw 76 by the releasing means. As shown in FIG. 3, a conventional stepper motor 86 is operatively joined to the drive tube 80 for selectively rotating the drive tube 80, and in turn the drive nut 84, in a first, or clockwise, direction for translating downwardly the drive screw 76, and in a second, opposite, direction, i.e. counterclockwise, for translating upwardly the drive screw 76 when the drive nut 84 is engaged with the drive screw 76. The drive screw 76 is prevented from rotating by being joined to the control rod 44 which is prevented from rotating by the adjacent chimney channels 48 as shown in FIG. 2. By instead rotating the drive nut 8,4, the drive screw 76 must itself translate upwardly or downwardly depending upon the direction of rotation of the drive nut 84. More specifically, the drive tube 80 further includes a bottom endplate 88 having a central drive shaft 90 extending downwardly through a lower manifold 74a of the CRD housing 74 which is conventionally operatively joined to the motor 86 for rotating the drive tube 80. The drive shaft 90 is preferably an integral portion of the bottom endplate 88 and has a splined end which conventionally slides into the motor 86 for being conventionally rotated thereby. This preferred connection of the drive shaft 90 to the motor 86 allows for easy removal of the drive tube 80 upwardly through the core as described in more detail below. Disposed inside the drive tube 80 and around the drive screw 76 is a central core tube 92 extending upwardly from the drive tube bottom endplate 88 and integral therewith the central core tube 92 is spaced radially inwardly from the inner surface of the drive tube 80 to define an annular core channel 94 therebetween which extends upwardly to the drive nut 84, A piston 96 in the form of an annulus is slidably disposed in the core channel 94 and is operatively coupled to the drive nut 84 for selectively engaging and releasing the drive nut 84 from the drive screw 76. The drive tube bottom endplate 88 preferably includes a plurality of fluid ports 88a disposed therethrough in flow communication between the lower manifold 74a and the core channel 94 inside the drive tube 80 for channeling a pressurized fluid 98, such as water, into the core channel 94 to generate a pressure force F bearing upwardly against the bottom of the piston 96 for engaging together drive nut 84 with drive screw 76. The fluid ports 88a are also effective for venting the pressurized fluid 98 from the core channel 94 to release the pressure force F from the piston 96 for releasing the drive nut 94 from the drive screw 76. The pressurized fluid 98 is conventionally selectively provided to the lower manifold 74a through a supply port 100 extending through the lower end of the CRD housing 74 in flow communication with the lower manifold 74a. A conventional fluid supply 102 is joined to the supply port 100 by a suitable conduit and is effective for selectively providing to the lower manifold 74a the pressurized fluid 98. The fluid supply 102 may be a conventional pump or a conventional accumulator providing the pressurized fluid 98 to the CRD housing 74 through a conventional valve. The fluid supply 102 is also effective for venting the pressurized fluid 98 from the lower manifold 74a. More specifically, the outer diameter of the cylindrical drive tube 80 is suitably less than the inner diameter of the cylindrical CRD housing 74 for providing a relatively close fit therebetween so that the bottom endplate 88 forms an effective flow barrier to reduce or prevent leakage of the pressurized fluid 98 upwardly past the endplate 88a and between the drive tube 80 and the CRD housing 74, to maintain an effective pressure of the pressurized fluid 98 in the lower manifold 74a. The circumference of the bottom endplate 88 may include conventional labyrinth teeth as shown, or piston rings (not shown), cooperating with the inner surface of the CRD housing 74 to provide an effective fluid seal therebetween. In this way, the pressurized fluid 98 channeled into the lower manifold 74a is channeled to flow upwardly through the fluid ports 88a, the core channel 94, and against the bottom of the piston 96 for engaging the drive nut 84 with the drive screw 76. And, upon venting of the pressurized fluid 98 from the lower manifold 74a, the pressure thereof is released for disengaging the drive nut 84 from the drive screw 76 to release and allow gravity to insert the control rod 44 into the core 16. Illustrated in more particularity in FIG. 4 is the top of the CRD housing 74 with the piston 96 effecting the engagement of the drive nut 84 with the drive screw 76. The drive nut 84 has at least two complementary segments, for example each being about 180.degree. in extent, with each segment including screw threads 84a for engaging the drive screw 76 as shown, and a first shank 84b extending radially outwardly through a complementary aperture of the core tube 92. The first shank 84b has an enlarged head and includes an inclined first cam surface 84c facing downwardly toward the piston 96 A first compression spring 104 is disposed between the core tube 92 and the head of the first shank 84b for biasing the drive nut 84 away from the drive screw 76 for allowing unobstructed travel of the drive screw 76 either upwardly or downwardly through the core tube 92. Each segment of the drive nut 84 is identical, with corresponding springs 104 for separately biasing each segment. Referring again to FIG. 4, the piston 96 has an inner diameter larger than the outer diameter of the core tube 92, and an outer diameter smaller than the inner diameter of the drive tube 80 for allowing the piston 96 to sealingly slide upwardly and downwardly within the core channel 94. The outer and inner diameters of the piston 96 may include suitable grooves and piston rings 106 to reduce leakage of the pressurized fluid 98 upwardly past the piston 96 for maintaining the pressure force F when desired. Extending upwardly from the piston 96 is an annular second shank 96a having an inclined, or conical second cam surface 96b being complementary in angle of inclination with the first cam surface 84c in abutting slidable contact therewith. For example, the first and second cam surfaces 84c, 96b are preferably inclined at about 45.degree. from the Iongitudinal axis of the drive screw 76 so that the upwardly directed pressure force F acting on the piston 96 urges the piston 96 upwardly as shown in FIG. 4, so that the second cam surface 96b slides against the first cam surface 84c for exerting a lateral force to engage the nut threads 84a with the drive screw 76 and overcome the biasing force of the first spring 104. A second compression spring 108 is disposed between the top endplate 82 and the top of the piston 96 for biasing the piston 96 downwardly away from the drive nut 84 for allowing the first spring 104 to release the drive nut 84 from the drive screw 76 as shown in FIG. 5. When the pressure force F is removed from the piston 96, the second spring 108 urges the piston 96 downwardly in the direction D.sub.1 illustrated in FIG. 5, and the first spring 104 urges the drive nut 84 radially outwardly away from the drive screw 76 into a retracted position. The first and second springs 104 and 108 are preferably sized so that the pressure force F generated against the piston 96 by the pressurized fluid 98 is effective for lifting upwardly the piston 96 against the second spring 108 to allow the second cam surface 96b to slide against the first cam surface 84c to urge the drive nut 84 radially inwardly toward the drive screw 76 and against the first spring 104 to engage the drive nut 84 with the drive screw 76. In this way, when the drive nut 84 engages the drive screw 76 as shown in FIGS. 3 and 4, the motor 86 may be selectively operated for rotating the drive tube 80 either clockwise or counterclockwise, which in turn rotates the drive nut 84 therewith for translating the drive screw 76 upwardly or downwardly for either withdrawing or inserting the control rod 44. When the pressure fluid 98 is vented through the supply port 100, the pressurize force F is removed from the piston 96, the drive nut 84 is disengaged from the drive screw 76, and gravity will cause the control rod 44 to drop for insertion into the core 16 without obstruction. By retracting the segmented drive nut 84, the drive screw 76 is allowed to freely fall within the core tube 92 without obstruction. The fluid supply 102 may vent the lower manifold 74a to a pressure suitably less than that found in the core 16 so that the control rod 44 may be inserted more quickly with the assistance of the resulting differential pressure between the core 16 and the vented lower manifold 74a. As shown in FIG. 3, the core tube 92 preferably includes a plurality of vent ports 92a adjacent to the fluid ports 88a in the drive tube bottom end 88 for allowing the fluid in the core tube 92 to be vented in turn through the vent ports 92a, the core channel 94, and the fluid ports 88a into the lower manifold 74a and out the CRD housing 74 through the supply port 100 as the drive screw 76 is translated downwardly inside the core tube 92. During a SCRAM insertion of the control rod 44 into the core 16, the drive nut 84 is disengaged from the drive screw 76 as shown in FIG. 5 and the drive screw 76 is allowed to drop downwardly inside the core tube 92 in the direction D.sub.2 also shown in FIG. 5. As shown in dashed line in FIG. 3, fluid 110 is displaced by the downwardly moving drive screw 76 in the core tube 92a is channeled downwardly through the vent ports 92a and in turn out of the CRD housing 74. The fluid 110 may either be portions of the reactor water 38 or the pressurized fluid 98, or both, which find their way into the core tube 92. The size of the vent ports 92a and the clearance between the top aperture 82a and the drive screw 76 are suitably selected to ensure that a suitable pressure force F may be maintained against the piston 96 to selectively engage the drive nut 84 with the drive screw 76, as well as for allowing release of the fluid 110 from inside the core tube 92 during insertion of the drive screw 76 therein. In a preferred embodiment of the present invention, the CRD housing 74 includes an open top end 74b facing upwardly toward the core 16 and preferably extending to the core bottom plate 16a. The drive tube 80 is predeterminedly sized smaller in diameter than the CRD housing top end 74b for being upwardly removable from the CRD housing 74 without obstruction. In a conventional boiling water reactor, bottom-mounted control rod drives are typically removed from the pressure vessel downwardly below the pressure vessel lower head. This requires that suitable access space be provided below the pressure vessel, and suitable means must be provided for preventing leakage of the reactor coolant during disassembly and assembly of the control rod drives. However, in accordance with one feature of the present invention, the entire drive tube 80 including the structures therein may be conveniently removed from within the CRD housing 74 upwardly through the core 16 by a conventional hoist contained in the power plant. Referring again to FIG. 1, the vessel upper head 12a may be conventionally removed during a maintenance operation to allow access inside the vessel 12 with conventional removal of the steam dryer and separator assemblies 36 and 34 in turn. The chimney top manifold 32 is then conventionally removed, followed in turn by removal of the fuel bundles 18 either through the chimney channels 48 as described above or after removal of the chimney channels 48. The drive tubes 80 are then accessible through the core 16 and may be simply removed upwardly from within the CRD housing 74. By utilizing the conventional spline joint between the drive shaft 90 and the motor 8 (FIG. 3), the motor 86 may remain behind as part of the pressure boundary when the drive tube 80 is lifted from the CRD housing 74. Accordingly, the improved BWR 10 disclosed above provides a new configuration having bottom-mounted, upwardly retractable, and top removable fine motion control rod drives 46 in combination with the partitioned chimney 22. An increased normal water level L may therefore be obtained for improving natural circulation flow and softening the effects of transient operation, with the ability to apply gravity-driven core cooling through an adequate inventory of in-vessel coolant during depressurization of the vessel 12 in the event of a LOCA. The configuration is compact and utilizes the common space provided by the chimney 22 for multiple purposes, including the elimination of conventional control rod guide tubes between the core 16 and the vessel lower head 12b while providing a space for retraction of the control rods 44 upwardly from the core 16; the chimney channels 48 provide the guide slots 50 for guiding the upward and downward translation of the control rods 44; the chimney channels 48 prevent crossflow within the chimney 22 for controlling the upward rise of the steam-water mixture 38; and, the chimney 22 itself allows for an increased normal water level L above the core 16 with a corresponding reduction below the core 16 without requiring a substantial increase in the height of the pressure vessel 12. Furthermore, although the space between the core 16 and the vessel lower head 12b is reduced, bottom-mounted CRDs 46 may still be used. The control rods 44 and the CRDs 46 are split in space vertically by the core 16, with the chimney channels 48 providing a space for retracting upwardly the control rods 44 while guiding the vertical translation thereof. The preferred chimney channels 48 are discrete members each separately supported by the upper grid 30 and separately removable therefrom. Each chimney channel 48 is relatively simple in structure and may simply be a four-sided imperforate tube, with adjacent channels 48 defining therebetween the guide slots 50. The CRDs 46 themselves may utilize a drive rod in the form of the drive screw 76 for providing fine motion control of the control rods 44 at precise intermediate positions within the reactor core 16 as well as providing fast, SCRAM insertion of the control rods 44 downwardly toward the CRDs 46 without obstruction therefrom. The segmented drive nut 84 is selectively disengaged as described above to allow the drive screw 76 and the control rod 44 joined thereto to drop by gravity downwardly toward the CRD 46 without obstruction. The above arrangement also allows for upward removal of the CRD drive tube 80 for improved maintenance of the CRDs 46 without requiring access below the vessel lower head 12b for that purpose and without requiring additional means for preventing leakage of the reactor water 38 during such a maintenance operation. While there have been described herein what are considered to be preferred embodiments of the present invention, other modifications of the invention shall be apparent to those skilled in the art from the teachings herein, and it is, therefore, desired to be secured in the appended claims all such modifications as fall within the true spirit and scope of the invention. Accordingly, what is desired to be secured by Letters Patent of the United States is the invention as defined and differentiated in the following claims: |
claims | 1. A metallic uranium article having a protective coating of a copper-tin alloy containing from 45 to 50% by weight of copper and from 55 to 50% by weight of tin, said alloy being firmly bonded to the metallic uranium. 2. A metallic uranium article having a protective coating consisting of aluminum separated from the uranium by an interlayer of an alloy containing copper and tin a weight ratio of from 20 to 75 of copper to form 80 to 25 of tin. 3. A metallic uranium article having a protective coating comprising a layer of substantially pure aluminum bonded to the uranium by a layer of lower melting aluminum-silicon alloy containing from 10 to 15% by weight of silicon and from 90 to 85% of aluminum, said latter alloy being separated from the uranium by a substantially thinner layer or copper alloy containing about 33% of tin. 4. A metallic uranium article having a protective coating of a ternary alloy containing from 0.5 to 5% nickel and copper and tin in a weight ratio of from 20 to 75 of copper to from 80 to 25 of tin. 5. A uranium article coated with an alloy consisting sub-stantially of 67% by weight of copper and 33% by weight of tin. 6. A uranium article coated with an alloy consisting sub-stantially of 47% by weight of copper and 53% by weight of tin. 7. A uranium article coated with an alloy consisting sub-stantially of 57% by weight of copper and 43% by weight of tin. 8. A uranium article coated with an aluminum-base metal and a layer of a copper-tin alloy containing copper and tin in a weight ratio of from 20 to 75 of copper to from 80 to 25 of tin therebetween. 9. The article of claim 8 , in which the aluminum-base metal is an aluminum-silicon alloy containing from 5 to 20% of silicon and from 95 to 80% of aluminum. claim 8 10. The article of claim 9 wherein the aluminum-base metal is ternary and contains from 10% to 15% silicon from 89.9 to 84.9% aluminum and about 0.1% sodium. claim 9 11. The article of claim 10 wherein the silicon content is 12% by weight. claim 10 12. A metallic uranium article having a protective coating of a ternary alloy containing approximately 1% of aluminum and copper and tin in a weight ratio of from 20 to 75 copper to from 80 to 25 tin. |
|
abstract | A method comprises receiving, by learning logic, historical metrics of a computing system being monitored. The learning logic determines, from the received historical metrics, forms of sub-functions that are included in an equation, wherein each sub-function represents a dependency between two components linked in a hierarchical structure representing the computing system being monitored. State determination logic receives metric values of the computing system being monitored, and determines states that minimize the equation composed of the sub-functions over the received metric values. Finally, anomalous behavior of the computing system may be detected based on the determined states. |
|
044366957 | abstract | Large falling column-globs of molten sodium are dropped into positions around the center of a large explosion-containing chamber such that most of the effects of neutron-producing bursts, such as high energy photons, neutrons, and highly kinetic ions are attenuated and/or absorbed therein so as to prevent any damage to the chamber. This molten sodium absorbs most of the debris and produced energy of said bursts and is a working fluid by which said debris and such thermal energy is withdrawn from said chamber. |
summary | ||
claims | 1. A detector system for a transmission electron microscope, comprising:an array of pixels for converting impinging electrons into an electronic signal during an imaging period;a first computer memory including multiple data storage areas for storing data captured by one or more of the pixels in the array at different times within the image acquisition period; anda processor programmed to analyze data from one or more of the pixels in the array at different times during the image acquisition period and to modify the image acquisition process based on the analysis results while the image is being acquired. 2. The detector system of claim 1 in which the processor is programmed to compare data read at different times from less than all of the pixels in the array to determine whether the image shows effects of sample charging. 3. The detector system of claim 1 in which the processor is programmed to compare data read at different times from pixels in the array to determine whether the image shows effects of sample bubbling. 4. The detector system of claim 1 in which the processor compares the data captured during a first portion of the image capture period with data captured during a later portion of the image capture period to locate local increases in brightness that would indicate bubbling exists. 5. The detector system of claim 1 in which the pixels comprise CMOS active pixel sensors. 6. A method of forming an image using a transmission electron microscope, comprising:directing an electron beam toward a sample;providing a detector that includes multiple pixels to detect electrons that pass through the sample;obtaining data from one or more of the multiple pixels during an image acquisition period;analyzing the data obtained from the one or more pixels in the array different times during the image acquisition period; andmodifying the image acquisition process based upon the result of the analysis. 7. The method of claim 6 in which obtaining data from one or more pixels during an image acquisition period includes obtaining data from the one or more pixels at multiple times during the image acquisition period and comparing values derived from the one or pixels at different times. 8. The method of claim 7 in which obtaining data from the one or more pixels at multiple times includes reading out values of all pixels at least every 100 ms. 9. The method of claim 7 in which obtaining data from the one or more pixels at multiple times includes reading out values of pixels in one corner of the image to determine whether the image is affected by sample charging. 10. The method of claim 7 in which obtaining data from the one or more pixels at multiple times includes reading out values of all pixels to determine whether the image is affected by bubbling. 11. The method of claim 7 in which comparing values derived from the one or pixels at different times includes comparing statistics of local areas surrounding individual pixels. 12. The method of claim 7 in which modifying the image acquisition process based upon the result of the analysis includes discarding data including imaging artifacts. 13. The method of claim 7 in which modifying the image acquisition process based upon the result of the analysis includes modifying the image data to correct for drift. 14. A transmission electron microscope, comprising:a source of electrons;an electron optical column for directing the electrons toward a sample; andan electron detector in accordance with claim 1. |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.