patent_number
stringlengths 0
9
| section
stringclasses 4
values | raw_text
stringlengths 0
954k
|
---|---|---|
050874098 | description | DESCRIPTION OF THE PREFERRED EMBODIMENT With reference to FIG. 1 there is illustrated the assembled multiple shell pressure vessel 10 of the present invention enclosed by concrete walls 12 and supported by cantilevered beams 14. Multiple shell pressure vessel 10 comprises, basically, a top head section or module 20, a nozzle course or section module 22 and a bottom shell course or section module 24. An upper tendon skirt 28 and a lower tendon skirt 30 are, respectively, adapted to engage top head section 20 and bottom shell modular section 24 and, in cooperation with tension members or tendons 32 and hydraulic or mechanical jacks or rams 34, to hold modules 20, 22 and 24 in compression against each other. The multiple shells or modules, consist of an inner leaktight shell-layer in which the interspace between this and the next shell may be empty, but is monitored by leak monitoring ducts 706. The outer shells carry the pressure load. The entire pressure vessel 10 is surrounded or emersed in a coolant 16, such as, water or other fluid. For nuclear reactor pressure vessels, the fluid can be borated water. Top Head Module: With reference to FIG. 2 there is illustrated an enlarged elevational partial cross-section of top head section module 20 of pressure vessel 10 showing the shell and flange structure in greater detail. Top head modular section 20 comprises, basically, a first leaktight inner top head section shell 40 having a bottom rim 42 on which is attached, as by welding or the like, first inner top head flange 44 having an upper bearing surface 46 and a lower bearing surface 48. Top head section 20 further comprises a first intermediate shell 50 having a bottom rim 52 on which is attached, as by welding or the like, first intermediate flange 54 having an upper bearing surface 56 and a lower bearing surface 58. Lower bearing surface 58 of intermediate flange 54 is adapted to sealably engage the upper bearing surface 46 of first inner flange 44 using "O"-ring seal 60. A first top head spacer bearing ring 62 having an upper bearing surface 64 and a lower bearing surface 66, is adapted to sealably engage top bearing surface 56 of first intermediate flange 54. Upper and lower circumferential seals 68 and 70 in spacer bearing ring 62 are adapted to maintain a leaktight seal between the flange and bearing ring surfaces. Top head section 20 further comprises a second intermediate shell 80 having a bottom rim 82 on which is attached, as by welding or the like, second intermediate flange 84 having an upper bearing surface 86 and a lower bearing surface 88. Lower bearing surface 88 of second intermediate flange 84 is adapted to sealably engage the upper bearing surface 64 of first bearing ring 62. Between first intermediate shell 50 and second intermediate shell 80 is first radial spacer bearing plate or ring 72 for maintaining radial spacing of the shells 50 and 80 and to provide radial support during vessel service. The location of ring 72 is determined by calculation to result in minimum torsion of the flange 54. This space 74 is filled with a high temperature insulating material 76 such as fiberglass or the like. Space 74 could also be filled with a fluid. A second top head spacer bearing ring 92 having an upper bearing surface 94 and a lower bearing surface 96, is adapted to sealably engage top bearing surface 86 of third intermediate flange 84. Upper and lower circumferential seals 98 and 99 in spacer bearing ring 92 maintain a leaktight seal between the flange and bearing ring surfaces. Top head section 20 further comprises a first outer shell 100 having a bottom rim 102 on which is attached, as by welding or the like, first outer flange 104 having an upper bearing surface 106 and a lower bearing surface 108. Lower bearing surface 108 of first outer flange 104 is adapted to sealably engage the upper bearing surface 94 of second bearing ring 92. Upper bearing surface 106 of first outer flange 104 is adapted to support hydraulic or mechanical jacks or rams 34. Jacks or rams 34 are adapted to engage bottom flange 618 of upper tendon skirt 28. Between first outer shell 100 and second intermediate shell 80 is second radial spacer bearing plate or ring 112 for maintaining radial spacing of shells 80 and 100 and provide radial support during vessel service. It is located so that flange 84 will suffer minimum torsion. The exact location can be determined by calculation. This space 114 is filled with a fluid, such as, a low melting point metal in order to transmit pressure from second intermediate shell 80 to first outer shell 100. A set of limit stops 36 are attached to top surface 106 of first outer flange 104 adjacent each ram 34 to limit the upward travel of flange 104 by engaging flange 518 of upper tendon skirt 28. To obtain a leaktight seal between top head module 20 and nozzle course module 22, a pair of first and second "O"-ring channels 116 and 118 are provided in lower bearing surface 48 of first inner flange 44. Matching "O"-ring channels 120 and 122 are provided in top flange 244 of nozzle course module 24 (FIG. 3). In order to properly align top head module 20 with nozzle course module 22, a radial guide notch 124 is provided in lower bearing surface 48 of top head first inner flange 44 which is adapted to receive radial guide key 126 attached to the top surface 246 of first inner flange 244 of nozzle section module 22 (FIG. 3). Nozzle Course Module: With reference to FIG. 3 there is illustrated an enlarged elevational partial cross-section of nozzle course module 22 of pressure vessel 10 showing the detailed structure of the vessel in the vicinity of one of the nozzles allowing access to the interior of pressure vessel 10. Nozzle course module 22 comprises, basically, a first inner shell 224, corresponding to and aligned with first inner top head module shell 40, having a top rim 242 on which is attached, as by welding or the like, to nozzle module first inner top flange 244 having an upper bearing surface 246 and a lower bearing surface 248. Upper bearing surface 246 of nozzle module first inner top flange 244 is adapted to sealably engage lower bearing surface 48 of first inner top head flange 44. First inner shell 224 further includes a bottom rim 252 on which is attached, as by welding our the like, nozzle module first inner bottom flange 254 having a lower bearing surface 256 and an upper bearing surface 258. Nozzle course module 22 further comprises a first intermediate shell 260, corresponding to and aligned with top head module first intermediate top shell 50, having a top rim 262 on which is attached, as by welding our the like, first intermediate top flange 264 having a upper bearing surface 266 and a lower bearing surface 268. Upper bearing surface 266 of nozzle module first intermediate top flange 264 is adapted to sealably engage lower bearing surface 248 of first inner flange 244 using "O"-ring seal 270. First intermediate shell 260 further includes a bottom rim 272 on which is attached, as by welding our the like, to nozzle module first intermediate bottom flange 274 having a lower bearing surface 276 and an upper bearing surface 278. Lower bearing surface 276 of nozzle module first intermediate bottom flange 274 is adapted to sealably engage upper surface 258 of first inner flange 254 using "O"-ring seal 280. A nozzle module first top bearing ring 286, segmented for easier removal, having an upper bearing surface 288 and a lower bearing surface 290 is placed between flanges 264 and 354 with upper bearing surface 288 adapted to sealably engage lower bearing surface 268 of first top intermediate flange 264. Upper and lower circumferential seals 292 and 294 maintain a leaktight seal between the flanges and the bearing ring surfaces. A nozzle module first bottom bearing ring 300 having an upper bearing surface 302 and a lower bearing surface 304 is placed between flanges 274 and 370 with lower bearing surface 304 adapted to sealably engage upper bearing surface 278 of first intermediate bottom flange 274. Upper and lower circumferential seals 306 and 308 maintain a leaktight seal between the flange and bearing ring surfaces. Nozzle course section 22 further comprises a second intermediate shell 350, corresponding to and aligned with second intermediate top head section shell 80, having a top rim 352 on which is attached, as by welding or the like, nozzle module second intermediate top flange 354 having a upper bearing surface 356 and a lower bearing surface 358. Upper bearing surface 356 of nozzle module second intermediate top flange 354 is adapted to sealably engage lower bearing surface 290 of first upper bearing ring 286 using "O"-ring seal 294. Second intermediate shell 350 further includes a bottom rim 368 on which is attached, as by welding our the like, nozzle module second intermediate bottom flange 370 having a lower bearing surface 372 and an upper bearing surface 374. Lower bearing surface 372 of second intermediate flange 370 is adapted to sealably engage upper bearing surface 302 of first lower bearing ring 300 using "O"-ring seal 306. A nozzle module second top bearing ring 386 having an upper bearing surface 388 and a lower bearing surface 390 is placed between flanges 354 and 424 with upper bearing surface 388 adapted to sealably engage lower bearing surface 358 of second upper intermediate flange 354. Upper and lower circumferential seals 392 and 394 maintain a leaktight seal between the flange and bearing ring surfaces. A nozzle module second bottom bearing ring 400 having an upper bearing surface 402 and a lower bearing surface 404 is placed between flanges 370 and 430 with lower bearing surface 404 adapted to sealably engage upper bearing surface 374 of second lower intermediate flange 370. Upper and lower circumferential seals 408 and 406 maintain a leaktight seal between the flange and bearing ring surfaces. Nozzle course module 22 further comprises a first outer shell 420, corresponding to and aligned with first outer shell 100 of top head module 20, having a top rim 422 which is attached, as by welding or the like, to nozzle module first top outer flange 424 having an upper bearing surface 426 and a lower bearing surface 428. Upper bearing surface 426 of first top outer flange 424 is adapted to sealably engage lower bearing surface 390 of nozzle module second top bearing ring 386. Upper bearing surface of first top outer flange 424 is adapted to sealably engage lower bearing surface 390 of top bearing ring 386 using "O"-ring seal 394. First outer shell 420 further includes a bottom rim 432 which is attached, as by welding or the like, to first bottom outer flange 430 having an upper bearing surface 436 and a lower bearing surface 438. Lower bearing surface 438 of first bottom outer flange 430 is adapted to sealably engage upper bearing surface 402 of third bottom bearing ring 400 using "O"-ring seal 408. It will be noted that second intermediate shell 260 is spaced apart from third intermediate shell 350 to define a cavity 450 that can be filled with an insulating material 454, such as, fiberglass. Similarly, third intermediate shell 350 is spaced apart from first outer shell 420 to define a cavity 452 which can be filled with a low melting point metal selected from the group consisting of lead, tin, bismuth or a combination of alloys of these metals as described and claimed in U.S. Pat. No. 4,767,593 and/or aluminum. To gain access to the interior of pressure vessel 10, an inner nozzle conduit 460 is arranged to penetrate first inner shell 224 and first intermediate shell 260 and be attached thereto as by welding or the like, respectively, by welds 462 (to shell 224) and 464 (to shell 260). An outer nozzle conduit 468 is disposed concentric with and spaced apart from inner nozzle conduit 460 having its inner end attached, as by weld 470 or the like, to second intermediate shell 350, spacer ring 466 encircling conduit 468 and first outer shell 420. An annular ring seal 472 is sealably attached to the outer end of both outer nozzle 468 and inner nozzle conduit 460. It will be noted that cavity or space 474 between inner nozzle 460 and outer nozzle 468 is an extension of and in fluid communication with cavity or space 450 between first intermediate shell 260 and second intermediate shell 350. Cavity or space 474 can be filled with the same material used to file space 450, such as, an insulating material. A nozzle section support flange 480 is attached to nozzle module 22, as by welding our the like, to top surface 436 of flange 430, the outer surface of shell 420 and lower surface 428 of flange 424. A flange bearing plate 482 is attached to the bottom of flange 480 and is adapted to engage or rest on pressure vessel support 14 which is embedded in the concrete of containment support wall 12. The vessel is supported at the heavy main nozzles in order to minimize the thermal motion of the connecting large main pipes. With respect to FIG. 4, there is illustrated an elevational partial cross section of nozzle course module 22 showing the shell layers without the nozzle penetration. To obtain a leaktight seal between nozzle course module 22 and shell course module 24, a pair of first and second circumferential "O"-ring channels 486 and 488 are provided in lower bearing surface 256 of nozzle module first inner bottom flange 254. Matching "O"-ring channels 490 and 492 are provided in first inner top flange 504 of shell course module 24 (FIG. 5). In order to properly align nozzle course module 22 with shell course module 24, a radial guide notch 494 is provided in lower bearing surface 256 of nozzle course first inner bottom flange 254, which engages radial guide 496 attached to top surface 506 of shell course module first inner top flange 504 (FIG. 5). Shell Course Module: With reference to FIG. 5, there is illustrated an elevational partial cross section of shell course module 24 showing the shell and flange structure in greater detail. Shell course module 24 comprises, basically, a first inner shell 510 having a top rim 502 on which is attached, as by welding or the like, first inner top flange 504 having an upper bearing surface 506 and a lower bearing surface 508. Shell course module 24 further comprises a first intermediate shell 510 having a top rim 512 on which is attached, as be welding or the like, first intermediate flange 514 having an upper bearing surface 516 and a lower bearing surface 518. Upper bearing surface 516 of first intermediate flange 514 is adapted to sealably engage lower bearing surface 508 of first inner top flange 504 using "O"-ring seal 520. A shell module first spacer bearing ring 524 having an upper bearing surface 526 and a lower bearing surface 528 is placed between first intermediate flange 514 and second intermediate flange 540 and is adapted to sealably engage bottom bearing surface 518 of first intermediate flange 514. Upper and lower circumferential seals 530 and 532 maintain a leaktight seal between the flanges and bearing ring surfaces Bottom shell course module 24 further comprises a second intermediate shell 536 having a top rim 538 on which is attached, as by welding or the like, second intermediate flange 540 having an upper bearing surface 542 and a lower bearing surface 544. Upper bearing surface 542 of second intermediate flange 540 is adapted to sealably engage lower bearing surface 528 of first bearing ring 524. Between first intermediate shell 510 and second intermediate shell 536 is radial spacer bearing plate 546 for maintaining radial spacing of shells 510 and 536 to define first intermediate space or cavity 550. This space is filled with a high temperature insulating material 552, such as, fiberglass or the like. Space 550 could also be filled with a fluid. A shell module second spacer bearing ring 558 having an upper bearing surface 560 and a lower bearing surface 562, is adapted to sealable engage lower bearing surface 544 of second intermediate flange 540. Upper and lower circumferential seals 564 and 566 in spacer ring 558 maintain leaktight seal between the flange and bearing ring surfaces. Shell course module 24 further comprises a first outer shell 570 having a top rim 572 on which is attached, as by welding or the like, first outer flange 574 having an upper bearing surface 576 and a lower bearing surface 578. Upper bearing surface 576 of first outer flange 574 is adapted to sealably engage lower bearing surface 562 of bearing ring 558. Lower bearing surface 578 of first outer flange 574 is adapted to engage bearing plate 580. Bearing plate 580, in turn, is adapted to engage bottom flange 648 of tendon skirt 30. Between first outer shell 570 and second intermediate shell 536 is second radial spacer bearing plate or ring 584 defining space or cavity 586. Space 586 can be filled with a fluid, such as, a low melting point metal in order to transmit pressure between shells 536 and 570 and also provide good bonding so that the outer vessel shells can be cooled from outside and thus carry larger pressure loads. With reference to FIGS. 6A and 6B, there is illustrated an horizontal cross-section of pressure vessel 10 taken at lines 6--6 of FIG. 1. This cross-section is first taken through the middle of nozzle section module 22 to the centerline of pressure vessel 10 (FIG. 6B) and then jogs down to a plane just above bottom tendon skirt 30 (FIG. 6A). With respect to FIG. 6A, tension or tendon members 32 are shown protected in each side by reinforcing flanges 676 attached to bottom flange 638 of outer tendon skirt 634 as by welding or the like. With reference to FIG. 6C, there is illustrated an enlarged view of the nozzle penetration taken at line 6C--6C of FIG. 6B. It will be noted that annular ring seal 472 is attached to inner nozzle conduit 472 by weld 476. Annular ring seal 472 in not attached to outer conduit 468 but is provided with an O-ring seal 478 to maintain a leaktight condition. Tendon Cage: With reference to FIG. 7 there is illustrated an elevational partial cross-section of multiple shell pressure vessel 10 in phantom dashed lines to more clearly show the configuration of the tendon cage which comprises upper skirt 28, lower skirt 30 and tendons 32. Upper tendon skirt 28 comprises a first and second layer of inner and outer tendon skirts 602 and 604, respectively, between which is a bond breaker 630, such as, aluminum foil. Inner and outer tendon skirts 602 and 604, comprise, respectively, first and second top flanges 606 and 608, first and second top rims 610 and 612, and first and second conical sides 614 and 616. Outer tendon skirt 604 is provided with a second bottom rim 618 having an integrally connected inwardly projecting bottom flange 620 having an upper surface adapted to engage first bottom rim 624 of inner tendon skirt 602. The bottom surface of flange 620 is adapted to engage the top of hydraulic rams or screw jacks 34. In a similar manner, bottom tendon skirt 30 comprises a pair of inner and outer bottom tendon skirts 632 and 634 comprising, respectively, first and second bottom flanges 636 and 638, first and second bottom rims 640 and 642, and first and second conical sides 644 and 646. A bond breaker 630, such as, aluminum foil, is disposed between skirts 632 and 634. Outer tendon skirt 634 is provided with a second top rim 648 having an integrally connected inwardly projecting top flange 650 adapted to engage the upper lip of rim 652 of inner tendon skirt 632. The upper surface of top flange 650 is adapted to engage bearing ring 580 (FIG. 5) which, in turn engages and bears against the lower bearing surface 578 (FIG. 5) of first outer flange 574 of shell course module 24. Upper tendon skirt 28 is connected to lower tendon skirt 30 by a plurality of tension or tendon members 32 comprising an upper tension cable 660 with corrosion insulation 674 contained in an upper insulating sleeve 662 and a lower tension cable 664 with corrosion insulation 674 contained in a lower insulating sleeve 666. A top tension member anchor 668 connects upper tension cable 660 to upper tendon skirt 28 while a bottom tension member anchor 670 connects lower tension cable 664 to lower tendon skirt 30. A tendon coupling 672 is used to connect upper tension cable 660 to lower tension cable 664. Tension cable coupling 672 is shown in greater detail in FIG. 4. It can be seen that by actuating hydraulic or screw jacks 34, tendon skirt 28 will travel upwardly and cause upper and lower tension cables 666 and 664 to be placed in tension resulting in top head module 20, nozzle section module 22 and shell course module 24 being placed in compression. In such compression, all bearing surfaces of flanges and spacer rings in those modules will be placed in compression and thus form a compression seal with each other. By using such a system, it can be seen that dismantling the vessel for inspection is greatly simplified. Flange Geometry: While vessel 10 is under pressure, caused by tension cables 660 and 664 being placed under tension, combined with the vessel service pressure, all of the various spacer rings and flanges of modules 20, 22 and 24 that are maintained under pressure may have a tendency to warp or twist and cause additional unwanted torsional forces on the various pressure vessel shells and their corresponding flanges which may be sufficient to break or seriously weaken the various pressure seals. These torsional forces can be neutralized by a special geometric configuration of the flanges and spacer rings. With reference to FIG. 8, there is illustrated an enlarged partial cross-section of the shell course module 24 of pressure vessel 10 showing the relationship between the tendon skirt, shell flanges and radial bearing plates to eliminate torsional forces on the flanges. For the purpose of simplifying the illustration, the cross-section shows only the first outer and second intermediate shell configurations. In the preferred configuration of FIG. 8, line 700 is located at the middle surface or centroid of tendon skirts 632 and 634. Point 702 defines the intersection between the centerline 704 of tension cable 664 and line 700 through the middle surface or centroid of skirts 632 and 634. Point 708 is the intersection point of horizontal line 710 through outer radial bearing plate 588 and vertical line 712 which pass through the center of vertical spacer bearing plate 580 Point 708 must be located on line 700 in order to result in zero torsion of the skirts. Radial spacers 588, 584 and 548 must be located so as to result in zero or minimum torsion of the shell flanges. The exact position can be calculated. For Example, consider a vessel consisting of "n" shell-layers. Then, with respect to FIG. 10A, consider the "free body" of the nth shell-layer with its vertical and radial bearing rings subjected to the vertical reactions P.sub.n and P.sub.n-1 and radial reactions Q.sub.n and Q.sub.n-1. The vertical reactions are known, they will cause the flange to deflect an angle "u" upward, as shown in FIG. 10B. The horizontal reactions are also known, they will cause the flange to deflect an angle "v" downward, as shown in FIG. 10C. Therefore, in order to reduce or eliminate the flange "rotation", "u" should equal "v" or EQU u-v=0 (Equation 1) or as close to zero as possible. Now, since reactions P.sub.n, P.sub.n-1, Q.sub.n, and Q.sub.n-1 are given for a given vessel pressure, and the locations of reactions P.sub.n and P.sub.n-1 is also given: e.sub.n, and e.sub.n-1, and the location of X.sub.n-1 of radial reaction Q.sub.n-1 is known also; then the only unknown value is X.sub.n, which is the location or vertical distance from rim to center of bearing ring. Now, this unknown X.sub.n can be uniquely determined from Equation 1 since we know that EQU u=f[P.sub.n e.sub.n -P.sub.n-1 e.sub.n-1 ] (Equation 2) and EQU v=g[Q.sub.n .PHI.(x.sub.n)-Q.sub.n-1 .PHI.(x.sub.n-1)] (Equation 3) where "f" and "g" are known coefficients and ".PHI." (Phi) is a function given in the well know reference "Theory of Plates and Shells", S. Timoshenko, McGraw-Hill Book Company, 1959. The function .PHI. (Phi) relates angular displacement "v" of the flange to vertical distance "x", or location of the radial spacer bearing ring from the top of the vessel shell The function ".PHI." (Phi) used in the present example is a simplified version of the function given in the above reference. A plot of function ".PHI." (Phi) versus "x" is shown in FIG. 10D. Coefficients "f" and "g" and function ".PHI." (Phi) depend on known values: Shell radius, shell thickness, modulus of elasticity and Poisson's ratio only. Therefore, by inserting the expressions (2) for "u" and (3) for "v" into Equation (1), it can be seen that the one and only unknown X.sub.n, that is, the location of radial bearing "n" can be determined. Radial bearing plates or spacer rings 588, 584 and 548 are located as calculated so that the flange and skirts will be loaded at their centroid, so they will not twist. Assembly Method: With reference to FIG. 9, there is illustrated a partial elevational cross-section of pressure vessel 10 showing how the individual pressure vessel shells are assembled. The assembly method is best illustrated by shell module 24 shown in exploded view in FIG. 9. A typical step in the step by step assembly of the vessel shell course is illustrated in FIG. 9. Prior to this step, a "package" consisting of shells 500, 510, insulation shell 552, and shell 536 with radial guide 584 welded to the outside thereof, has already been assembled. Then filler material (a solid at room temperature) in the form of sheets or a preformed shell 586 is attached to the exterior of shell 536. The filler material 586 can be a low melting point metal layered in sheets using shell 536 as a form or mold. The weight of this filler shell has been calculated, or determined so that, when the vessel is in operation, the (hot) filler will exert a given pressure onto the adjacent shells 536 and 570 so that these shells will carry exactly their calculated optimum pressure load. The next shell layer 570 with radial guide 588 and flange 574 welded onto it and the vertical shell module second spacer bearing ring 558 with seals 564, 566 positioned on it, is nested or telescoped on the already assembled package. There may be sufficient clearance between the inside of shell 570 and the outside of filler shell 586 when they are nested "cold". If not, shell 570 must be heated, causing it to expand, before it can be telescoped onto the already assembled package. With reference to FIG. 5, when all shells 500 to 570 have been assembled, then wire strands 802 are tightened and anchored at the bottom of flange 574. The finished module may be heated and vibrated in order to melt the fillers and get rid of air pockets. Additional filler may be let in and/or air let out through vent conduits or ducts 706 (FIG. 5). The finished module 24 is placed on bearing plate 580 which will be used to support the weight of all the pressure vessel shells and modules. A conduit 800 is provided at circumferential intervals in flanges 514, 540 and 574, which contain prestressing wire strands 802 that tie the shell layers together into a complete shell-course module 24. When the "nesting" is completed, the shells are then tied together by tightening the prestressing wires 802 and anchoring them at the base of flange 574. Then leaktight seals 490, 492 are provided at the top of module 24 where it interfaces with module 22. Later, all the modules are compressed together using upper and lower tendon skirts 28 and 30, and all the tendons 32 are tensioned, thus a leaktight seal is provided between all pressure vessel shells. Then the tendon sleeves 662 and 666 may be heated and corrosion insulation 674 (for example, in the form of a lead-tin alloy) may be introduced into sleeves 662 and 666. Since the pressure vessel shells are not attached to each other by bolts, welding or the like, it can be seen that pressure vessel module 24 can be readily disassembled and the telescoping shells removed from each other for inspection and repair of all welds and various portions of the vessel shells. The other modules are assembled in a similar way and placed on lower tendon skirt 30, on top of each other. Then top skirt 28 is put on top of modules 20 and all tendons are installed. Then, by tensioning all the tendons attached to upper and lower tendon skirts 28 and 30, a leaktight seal is provided between pressure vessel modules 20, 22 and 24. A radial band of insulating material 554 is provided about the periphery of flanges 44, 54, 244, 264, 504, and 514 to reduce heat losses and prevent overheating of tendons 34. Leak Detection System: to monitor for leaks, a plurality of leak detection conduits 706 are provided and disposed radially through the flanges. The conduits can be either capped and used intermittently for leak detection or they can be connected into a leak detection system through an additional conduit system (not shown) well known in the art. In certain circumstances, a few of the leak detection conduits can also be used, where they communicate with the spaces between shells, to act as filler conduits for fluids, such as a low melting point metal, that may be placed between shells as disclosed in U.S. Pat. No. 4,767,593. Testing: Prior to service, the vessel is tested by being brought up to operating pressure and temperature conditions or higher. Permanent strain gages which are attached to all critical points of each shell are continuously monitored. If shell strains substantially different from desired (or pre-calculated) values are found, then additional filler material may be pumped in and/or bled off from the shell interspaces, and tendons tightened or loosened by adjusting the ram positions, until the desired stress levels are obtained. Operation: For the present invention, the insulation is located inside the vessel or in the wall. In the present embodiment, the insulation is shown between the first and second intermediate shells and is thus protected from the fluid container in the vessel. The outer vessel shell layers, located exterior to the insulation layer, can be kept cool by cooling the outside surface of the vessel. This is due to the thermal bonding which exists between the steel shells and the metallic filler materials. Thus the vessel shells located outside or exterior to the insulating layer can carry a large pressure load because they are kept full. |
summary | ||
054385989 | claims | 1. A combined lower end fitting and debris filter for a nuclear fuel assembly, comprising a single piece cast lower end fitting wherein the upper horizontal portion that faces the fuel assembly has a plurality of interconnected ribs with a thickness of approximately 0.625 inch and a plurality of sections between said ribs with a thickness of approximately 0.080 inch that include a plurality of debris filtering coolant flow holes therethrough formed during the casting of said lower end fitting, where the coolant flow holes are tapered inwardly in the direction of coolant flow through the flow holes. |
043024190 | claims | 1. In a recombiner system in which first and second components of a gas mixture are combined in a reactor, the improvement comprising: a first component gas analyzer for providing an indication of the amount of said first component in an inlet gas stream upstream from the reactor; a gas flow passage from the first component gas analyzer to a downstream mixing point where an amount of said second component is introduced into the gas stream, the gas flow passage providing a delay time T for the gas stream; electronic control circuitry responsive to the first component indication to provide a second component signal indicative of the amount of said second component which must be introduced into the gas stream to provide a predetermined ratio of the first and second components; and second component flow rate control means responsive to the second component control signal for introducing a second component gas flow into the gas stream at the mixing point, the time required to change the second component flow in response to a change in the first component at the gas analyzer closely matching the delay time T. 2. The improvement in a recombiner system as claimed in claim 1 further comprising a second component gas analyzer for providing an indication of the amount of the second component in the gas stream, the first component indication serving as a remote set point for the second component indication in the electronic control circuitry. 3. The improvement in a recombiner system as claimed in claim 2 wherein the second component gas analyzer is downstream of the mixing point. 4. The improvement in a recombiner system as claimed in claim 1, 2 or 3 further comprising an excess component analyzer for providing an indication of one of the gas components in an outlet stream from the catalytic reactor, the electronic control circuitry providing a second component control signal to maintain the excess indication at a predetermined set point. 5. The improvement in a recombiner system as claimed in claim 4 wherein the first component is hydrogen, the second component is oxygen and the excess component is oxygen. 6. The improvement in a recombiner system as claimed in claims 1, 2 or 3 wherein the first component is hydrogen and the second component is oxygen. 7. The improvement in a recombiner system as claimed in claim 6 further comprising a moisture separator in the output stream for removing water from the gas stream. 8. The improvement in a recombiner system as claimed in claim 6 further comprising, in the catalytic reactor, a permeable layer of silver zeolite upstream of the catalyst. 9. The improvement in a recombiner system as claimed in claim 1 wherein the primary component of the inlet stream is an inert gas. 10. The improvement in a recombiner system as claimed in claim 1 wherein the primary component of the inlet stream is nitrogen. |
description | This application is a continuation application of PCT/US2010/033979, filed May 7, 2010, which claims priority to U.S. provisional 61/176,512, filed May 8, 2009, the entire contents of which are incorporated herein by reference. The present invention relates to nuclear reactors. More specifically, the invention relates to two-fluid molten-salt reactors. The background description provided herein is for the purpose of generally presenting the context of the disclosure and may not constitute prior art. Conventional light-water reactors (LWRs) operate on a once-through cycle by burning uranium enriched in a rare isotope U-235 relative to the more abundant U-238. The LWRs use water as coolant to carry away the heat of the fission reactions. This arrangement, however, (1) uses only about 1% of fuel potentially available in natural uranium; (2) generates plutonium and other higher actinides, primarily Pu-239 from neutron capture on U-238 plus two beta decays, which creates a risk for proliferation of nuclear weapons; and (3) produces high-level waste that is difficult to dispose of because of an awkward mixture of short-lived radioactivities (30-year and less) and long-lived radioactivities (24,000 years and more). Proposals have been made to convert from a “uranium economy” to a “plutonium economy” through the separation of fission products from the actinides for easier disposal, recycling of the plutonium and minor actinides as fuel to replace the once-through cycle, and enhanced breeding of the fissile Pu-239 from the fertile U-238 using solid fueled fast breeders cooled by liquid metals. These proposals, however, raise environmental, safety, and security concerns, as well as issues of high financial costs. Molten-salt reactors (MSRs) offer an attractive alternative to the nuclear option for power generation. Because the fuel as well as the coolant is in a liquid rather than a solid state, chemical separation of fission products from fissiles and fertiles can be done on-site to achieve virtually 100% burn-up. This obviates multiple back-and-forth transports of nuclear materials from nuclear power plants to reprocessing and prefabrication centers that otherwise occur with the conventional recycle strategy. Moreover, substituting Th-232 for U-238 as the fertile stock for breeding into U-233 rather than Pu-239 simplifies the problem of disposal of high-level nuclear waste, thereby greatly reducing both volume and time that such material needs to be stored in a repository before radiation drops below background levels. Neutron irradiation of Th-232 produces virtually no plutonium or heavier actinides, closing that particular path to proliferation of nuclear weapons. Moreover, if Th-232 is uninterruptedly irradiated over a period of one year or longer, there is sufficient U-232 generated along with the U-233 as to create a strong deterrent against nuclear weapons production. As part of its decay chain, U-232 has strong gamma emission that makes detection of its presence easy. Additionally, the strong gamma emission interferes with any electronic mechanisms associated with a weapon. The MSRs also have features that promote inherent passive safety. For example, the features include (1) thermal expansion of fuel out of a reactor core when fission reactions run too fast; (2) drainage of fuel into sub-critical holding tanks through melting of freeze plugs if the fuel salt gets extremely hot; (3) inward instead of outward blowing of leaking radioactive gases because of low vapor pressure of molten salts; and (4) immobilization of radioactive fuel or blanket through solidification of the molten salts if they should somehow escape from their primary or secondary containment vessels or piping. The MSRs, however, may raise issues of chemical corrosion of containers and separation of fission products from fissile and fertile elements dissolved in the fuel and blanket salts. Because many of the fission products from the former behave similarly to thorium in the latter, a simple approach is to keep separate the uranium/plutonium fuel and thorium breed-stock in a two-fluid scheme as part of the overall reactor design. Early systems used single fluid designs that are graphite moderated. Recent studies indicate that such designs may be unstable to unanticipated large excursions to high temperatures that enhance the capture of neutrons by U-233 relative to those by Th-232. Two-fluid MSRs, however, present significantly greater challenges for “plumbing” than single-fluid designs. This tension between chemical complexity/simplicity and plumbing simplicity/complexity has existed since the earliest discussions on building of a molten-salt breeder reactor. In a two-fluid MSR, a graphite core is heated by a fuel salt and cooled by a blanket salt to a temperature intermediate between these two salts. Proper design ensures that the graphite cannot become hot enough for the destabilizing effect to operate without first triggering either the dumping of the fuel salt out of the reactor vessel or the vaporization of portions of the fuel salt, which will cause the fuel salt to expand out of the core, thereby shutting down the reactor. In one form, the present invention features a reactor vessel includes a plenum and a reactor core with first and second sets of channels. A blanket salt flows through the first set of channels, and a fuel salt flows through the second set of channels. The plenum receives the blanket salt from the first set of channels. The blanket salt provides a breed-stock for a fission reaction in the fuel salt and transfers heat generated by the fission reaction without mixing with the fuel salt. Further features and advantages of the invention will become apparent from the following description and from the claims. The following description is merely exemplary in nature and is in no way intended to limit the present invention, its application, or uses. It should also be understood that steps within a method may be executed in different order without altering the principles of the invention. Referring now to FIGS. 1 and 2, a two-fluid molten salt reactor embodying the principles of the present invention is illustrated therein and designated as 100 (hereinafter reactor 100). The reactor 100 includes a large fluid pool of a blanket salt 102 and a reactor vessel 104 with a reactor core 105, all which are contained in an enclosure 101. The reactor core 105 may include a moderating core and may be made of metal, graphite, carbon-carbon composite, or some other suitable substance. Reactor cores with little carbon in them would have a near-fast or epithermal neutron spectrum. Reactor cores with considerable carbon in them would have a near-thermal neutron spectrum. The pool of blanket salt 102 surrounds and circulates through the reactor core 105. As described in greater detail below, the core 105 has respective channels through which the blanket salt and a fluid fuel salt flow without mixing with each other. A set of extension tubes extend from the channels in the core 105 and through a plenum such that the blanket salt flows from the pool through these extension tubes into the respective channels in the core 105. Conversely, the reactor vessel 104 also includes another set of extension tubes and plenums through which the fuel salt flows remain closed to the pool 102. Accordingly, the blanket salt does not mix with the fuel salt. In some implementations, the fuel salt may carry plutonium and minor actinides (in case of a Pu-239 burner) or uranium (in case of a U-233 or U-235 burner), or any combination thereof. In addition to keeping the blanket salt separate from the fuel salt, the combination of the reactor core 105 and the surrounding pool 102 allows the heat of the fission reaction in the fuel salt to be transferred to the blanket salt. Thus, the blanket salt plays a dual role as both breed-stock and coolant. In a particular arrangement shown in FIG. 2, fuel salt plenums 106-1, 106-2 (collectively fuel salt plenums 106) are located at the top and bottom of the reactor vessel 104. The fuel salt plenums 106 distribute fuel to, and collect fuel from, channels in the core 105 made of a moderating medium such as metal, graphite, carbon-carbon composite, or some other appropriate substance. The blanket salt, acting as both a coolant and a breed-stock for new fuel, flows into other channels of the core 105 from the pool 102 through a set of extension tubes 107 that thread through the upper fuel salt plenum 106-2. Note that the number of extension tubes 107 may be greater than or less than the number of extension tubes 107 shown in FIG. 2. That is, any suitable number of extension tubes 107 may be employed depending on the application of the reactor 100. The blanket salt is collected in its own blanket salt plenum 108. The blanket salt plenum 108 distributes this molten salt to a number of secondary heat exchangers 110. Although four secondary heat exchangers 110 are shown, any other suitable number of heat exchangers may be employed. The secondary heat exchangers 110 carry heat via inlet pipes 111 and exit pipes 113 to turbines that may be associated with generation of electricity. Alternatively or additionally, the secondary heat exchangers 110 carry heat via the pipes 111 and 113 to plants that use the heat to process various materials. After the secondary heat exchange, the blanket salt returns to the top of the pool 102 through an appropriate set of pipes 115. Pumps cause the fuel salt to flow through a set of pipes 117 into a pair of pump bowls 112 located outside of the pool 102 and through a set of pipes 127 from the pair of pump bowls 112. Although two pump bowls 112 are shown, the number of the pump bowls 112 may vary depending on the use of the reactor 100. Occasionally, the fuel salt may get extremely hot. To minimize risk of harm, a pair of frozen salt plugs 114 is provided at the bottom of the reactor 100. When the fuel salt gets extremely hot, the frozen salt plugs 114 melt and open alternate fuel salt paths 116 to emergency dump tanks. Although only two alternate fuel salt paths 116 are shown, the actual number of alternate fuel salt paths 116 employed may vary. Helium gas bubbles are passed through the system through a set of inlet pipes or lines 119 to capture volatile fission-product gases. The volatile fission-product gases are removed from an enclosed space above the pump bowls 112 by exit helium lines 121. A similar helium-gas-based system includes inlet lines 123 and exit lines 125 to purge gases from the top of the pool 102 in which the core 105 in immersed. The fuel salt can also be drained through a set of pipes 120 that lead from below the pump bowls 112 to a processing plant where the dissolved fission products are removed periodically, for example, every month. Although the pool 102 is shown in FIG. 1 as a rectangular parallelepiped, other shapes are possible as discussed below. Additionally, a facing of the completely enclosed pool 102 is resistant to chemical attack by molten salts. In some implementations, instead of the facing bearing full containment load, the facing may be backed by high-strength structural members. For example, the high-strength structural members may include reinforced concrete embedded with neutron absorbers. Turning now in particular to FIG. 2, the core 105 is arranged so that the fuel salt circuit is closed to the pool 102 while the blanket salt is open to the pool. A central graphite control rod 118 is shown in a half-inserted position for illustrative purposes. In some implementations, zero or more than one control rod may be employed. Further, channels containing injectable neutron-absorbent salt may be optionally employed as well. The tubes 107, made of metal alloy or carbon-carbon composites, or some other material, draw in the blanket salt from the pool 102. The tubes 107 thread through the top fuel salt plenum 106-2 and feed the blanket salt channels that are drilled or otherwise created in the core 105 that may be solid and may be made of moderating material. These channels open into the blanket salt plenum 108 which distributes the blanket salt (that is, the coolant and breed-stock) to a number of pipes 125 that lead to the secondary heat exchangers 110. Although four pipes leading to the four secondary heat exchangers 110 are shown, any suitable number may be employed. The fuel salt enters the bottom fuel salt plenum 106-1 through a set of pipes 127 and flows into respective channels in the core 105, possibly along serpentine paths to increase the Reynolds number of the flow, through a set of extension tubes 109 that extend through the blanket-salt plenum 108 and to the top fuel salt plenum 106-2. The fuel salt exits the top fuel salt plenum 106-2 through a set of pipes 129. Note that the fuel salt plenums 106 are optional. Instead, tubing from the fuel salt channels can connect directly to the fuel salt pump bowls 112. Although the core 105 is shown as a monolithic cylinder, in some implementations, the core 105 may be constructed of modular blocks. When the core 105 is constructed from modular blocks, the fuel salt plenums 106, if any, may feed and withdraw fuel salt individually from separate blocks, collectively from a complete set of blocks, or any combination thereof. Occasionally, cracks may develop in the core 105 because of radiation damage or external stresses. When cracks develop, the pressures of the operating state are arranged so that the blanket salt always pushes into the volume previously occupied by the fuel salt. This arrangement prevents runaway power surges from occurring because of leaks in the core 105. Many other safety/security features are intrinsic to the core 105 and pool 102 combination. Independent of the many possible choices for carrier salts and regardless of whether the system is used for plutonium/actinide burning or U-233/U-235 burning, a non-exhaustive list of the safety/security features follows. A large reservoir of the blanket salt dilutes the fuel salt to a sub-critical state when an event, for example, earthquakes or sabotage, causes the fuel salt to escape from the reactor core 105 into the pool 102. A neutron “reflector” and “moderator” role for the salt medium of the pool 102 significantly reduces critical mass and critical inventory of fissile material needed to power the reactor 100. A solvent for bred U-233 (in the form of a fluoride or chloride) sufficiently large in volume ensures impracticality of the extraction of U-233, or the precursor Pa-233, if attempted on a time scale much shorter than one year. A mechanical structure in the form of reinforced concrete or other strong building material impregnated with neutron absorbing elements backs up non-structural pool-facing made of an appropriate metallic alloy or carbon-based compounds and constrains large volume of corrosive molten salt in the pool 102. A dense liquid medium in which an immersed reactor core 105 is formally buoyant (unless ballast is added to it) offers possibilities for reducing gravitational loads and thermal stresses on a properly designed reactor vessel 104 and associated piping. A thermal reservoir with a large heat capacity can buffer anomalous temperature excursions of the core 105 and can generate back-up electric power when emergencies shut down electric power from the grid. An absorbing medium prevents most neutrons escaping from the core 105 into the pool 102 from hitting the pool walls and beyond, thereby improving the breeding ratio for new fuel in the blanket salt as well as minimizing creation of low-level structural waste. A core environment that promotes thermalization of fission neutrons, significantly lowering critical mass and critical inventory for fissiles relative to other designs, and adding to passive reactor safety. A larger ratio of Th-232 to U-233 present in the core of a two-fluid MSR compared to a single-fluid MSR makes resonance stabilization through Doppler broadening (enhancing neutron capture by Th-232 relative to U-233) more effective as a mechanism of passive safety. Additionally, the core environment decreases the power density and mean thermal energy of neutrons bombarding the graphite (if any) in the core 105, thereby increasing its lifetime against radiation damage. In the above arrangement, as well as those described below, the materials that touch the molten salt are typically made of Hastelloy N or carbon/carbon composites or some other material that is resistant to chemical corrosion by molten fluoride or chloride salts. Referring now to FIGS. 3a and 3b, there is shown another two-fluid molten-salt reactor 200 (reactor 200) in accordance with the invention. The reactor 200 includes a reactor vessel 201 that sits above a pedestal 202. The reactor vessel 200 is immersed in a pool 203 contained in an enclosure 207. The pedestal 202 has a tetrahedron (or other 3-dimensional shape) bottom to avoid the accumulation there of helium bubbles fed into the bottom of the pool through a set of pipes 204 that lead into a porous floor mat 205. The reactor 200 uses a pool of blanket salt to which the reactor vessel is open and to which the fuel salt is closed to simplify the compartmentalization of the two salts. Tiles that are resistant to molten salt corrosion provide facing for thick reinforced concrete walls, floor, and ceiling to enclose the pool and separate the pool from a set of pump bowls 206 and a pair of heat exchangers 208. A set of drives 206-1 for the propellers with long shafts extending through the respective bodies 206-2 of the pump bowls 206 circulate the fuel salt through the reactor. For clarity, the front face of the pool is left out of the drawing to show the reactor vessel 201 and associated plumbing inside the pool, which is otherwise sealed to prevent the escape of radioactive gases and radiation. This tomb-like structure adds a layer of containment against nuclear accidents. Optional graphite control rods enter the arms of the reactor support structure 209 from below; whereas shutdown rods drop from above during scrams. The drives for the shutdown rod are contained in the box above the pool that is part of the support structure 209, which also serves the purpose of holding down the reactor vessel that would otherwise be buoyant in the pool 203. A frozen salt plug normally blocks the downward passage of fuel salt leading into the reactor vessel through each of a set of pipes coming from the bottom of pump bowls 206 and into the front fuel-salt plenums. The four pump bowls 206 are shown for each segment of a reactor core that is assembled modularly to achieve criticality, but the number can be smaller or larger depending on desired power levels and other considerations. If the fuel salt gets too hot and melts the refrigerated plugs, the fuel salt drains into the emergency holding tank. A door to the pool at the left-hand side allows access to, and replacement of, the reactor vessel if necessary. A set of plenums 210 at the bottom of the reactor vessel 201 collect fuel salt from a set of four pipes 212 and distribute fuel salt to vertical fuel salt channels in a graphite reactor core 250 without mixing fuel and blanket salts. The fuel salt warms as it passes via serpentine paths through the reactor core 250 until the heated fuel salt exits from a plenum 213 at the top of the reactor vessel and circulates back to the four pump bowls 206 through a set of pipes 214. The fuel salt can also be drained through a set of pipes 218 that lead from below the pump bowls 206 to a processing plant where the dissolved fission products are removed every month. Fissiles are added as needed to keep the reactor at a safe operating temperature, displacing a bit of the helium gas at the top of a pump bowl. To purge the fuel salt of gaseous fission products (such as, for example, Xe-135), high pressure helium gas enters at the bottom of the pump bowls 206 through a set of pipes 220, bubbles through the reactor 200, exits at low pressure at the top of the pump bowls 206 through a set of pipes 222, and goes to a gas-processing unit where radioactive components are bottled for storage. A similar helium-gas-based system of exit lines 224 purges gases from the top of the pool in which the reactor vessel 201 is immersed. Referring in particular to FIG. 3b, the pair of secondary heat exchangers 208 is shown at the back of the reactor 200 in greater detail. Each secondary heat exchanger is provided with four inlet pipes 234 and four exit pipes 236 for the circulation of a fluid, such as, for example, a non-radioactive tertiary salt. Accordingly, the pipes 234 and 236 for the secondary heat exchanger 208 on the left of FIG. 3b lead to the left, and the pipes for the pipes for the secondary heat exchanger 208 on the right of FIG. 3b lead to the right. A set of four motors 240 attached to the backs of the heat exchangers 208 pull the pool salt through the reactor vessel 201 and the heat exchangers 208, and, after secondary heat exchange with the heat exchangers 208, propel the returning blanket salt to the top of the pool through a set of pipes 237. Again, the number pipes and motors are merely illustrative. Cool pool salt is drawn into the reactor core 250 as blanket salt through horizontal channels in the reactor core. Within the pool, thermal convection mixes the blanket salt. The blanket salt receives heat from the fuel salt in the vessel, collects in a back plenum 254 (see FIG. 4) before being distributed by a set of sixteen pipes into the secondary heat exchangers 208 that transfers the thermal power to the tertiary salt. The circulating tertiary salt enters the secondary heat exchangers 208 through the inlet pipes 234 and exit through the pipes 236 to heat a working gas or fluid that drives turbines generating electricity in a structure. The number of pipes (sixteen inlet pipes and exit pipes) and heat exchangers are again chosen for purposes of illustration; these numbers may be varied according to different power requirements and specifications. Within the reactor 200 (as well as the reactor 100) neutron irradiation from the reactor transforms the Th-232 in the pool salt into U-233. At regular intervals, the pool salt undergoes fluorination for the removal of U-232, U-233, U-234, U-235 as UF6. Other chemical or physical processes can be substituted for the extraction of the bred uranium. Neutron-induced fission of the bred U-233 produces small amounts of gases above the pool. For the reactor 200, an entrance pipe 242 and the exit pipes 224 provide helium lines to purge this gas of radioactive vapor. Referring now to FIG. 4, the reactor vessel 201 is shown in greater detail. Ducts or channels within the reactor core 250 carry the blanket salt entering from a set of slots 252 from the front of the reactor vessel 201 to the plenum 254 at the back of the reactor vessel. The plenum 210 at the bottom of the reactor vessel 201 feeds a set of upward ducts or channels 257 in the reactor core 250 with the fuel salt; a slab 258 adjacent to the plenum 210 directs the fuel salt from a set of downward ducts or channels 259 in the reactor core 250 to another set of upward ducts or channels 261 in the reactor core; and another slab 260 adjacent to the slab 258 directs the fuel salt from another set of downward ducts or channels 263 in the reactor core 250 to another set of upward ducts or channels 265 in the reactor core. The plenum 210 opens to the first fuel-salt ducts of the entire stack of fuel-salt panels and is thick enough to accommodate pipes of a large inner diameter entering from the front. The slabs 258 and 260 are solid except for the connecting troughs. The complementary top plenum 213 (FIG. 3a) directs the fuel salt from the set of upward ducts or channels 257 to the set of downward ducts or channels 259; a top slab adjacent to the plenum 213 in the middle directs the fuel salt from the set of upward ducts or channels 261 to the set of downward ducts or channels 263; and yet another top slab near the back of the reactor vessel 201 directs the fuel salt from the set of upward ducts or channels 265 into exit pipes at the top. As described elsewhere, the specific number of ducts or channels and plenums are for illustrative purposes; other number of ducts or channels and plenums may be employed for a particular application. FIG. 5a is a top view of a module 300 for an emergency dump tank that can be employed with the aforementioned reactors in particular implementations. The dump tank has a sufficient number of modules 300 to hold all the fuel salt in the system. An entrance plenum is at the top of the module 300. Fuel salt resides in a set of ducts 302, and cooling air flows through the horizontal channels 304. FIG. 5b is a bottom view of the module 300. The lowest layer has five cross canals (although the number of cross channels may be greater than or less than five) that connect the bottoms of the salt ducts 302 to make the fuel salt settle to a common level in the dump tank. A plate resides under the canal system, below which is another enclosed space containing flowing air to cool the salt in the cross canals and the bottom of the ducts 302. In some implementations, the dump tank with modules 300 removes decay heat from radioactive fission products in the fuel salt by air-cooling. Since air is an omnipresent substance, as long as emergency electricity is available to drive fans that are redundant in their overall capacity, dumped fuel salt cannot overheat. For the dump tank, the same principle of crossed channels are employed as for the reactor vessel 201 and the secondary heat exchangers 208 to transfer heat from the hot substance (stationary fuel salt in vertical channels) to the coolant (flowing air in adjacent horizontal channels) in the dump tank. Because the fuel salt is stationary, there are enough channels to hold all the fuel salt in the system, and each channel has a narrow enough width to remove through thermal conduction the decay heat generated in its volume of fuel salt without overheating the container. The fans operate at variable speed so that the fuel salt is kept in a molten state, neither over-heating nor over-cooling, which makes it easier to transfer the fuel salt back to the reactor when the emergency is over. Within the dump tank the fuel salt is very subcritical because of the geometry of the dump tank and its lack of strong moderators. In the unlikely event that all emergency cooling systems fail, say, through an act of deliberate sabotage, the system operates at a low enough peak power so that the associated decay heat (at maximum, of about 6.5% of peak power) can be radiated away by blackbody thermal radiation from the exterior surface of a double-shelled dome, after taking into account the passage of time required to heat the dome and its interior to a maximum acceptable temperature of about 400° C., below which the reinforced concrete will recover about 90% of its original strength after a year. These measures make the entire system inherently safe, that is, no conceivable accident or act of deliberate sabotage can lead to the release of massive amounts of radioactivity into the surroundings. The size of the pool can be configured relative to the operating power of the reactor so that no chemical processing of the blanket salt, apart from helium bubbling to remove Xe-135 and other noble fission gases, and annual fluoridation to recover bred U-233, need ever occur for the anticipated lifetime of the plant (approximately 60 years). In particular, the pool is large enough so that protactinium-233, the immediate beta-decay product of Th-233 arising from neutron capture by Th-232, exists in dilute enough concentrations in the pool to reduce the probability (mean less than about V %) of capturing another neutron before decaying to U-233. Exposure of the blanket salt to neutron irradiation for a about year before uranium extraction, guarantees sufficient levels of the co-production of U-232. In its decay chain, U-232 is a gamma-emitter and provides a strong deterrent against nuclear weapons proliferation in the fuel cycle. These measures in a two-fluid molten-salt reactor therefore give a proliferation resistant method for the separate chemical processing of the fuel salt (carried out, for example, monthly to remove fission products) and the blanket salt (carried out, for example, yearly to extract the bred uranium). In the process, a breeding ratio greater than unity and a strategy for achieving sustainable nuclear energy generation for millennia is produced. In a particular implementation, the reactor vessel 201 is made of carbon-carbon composite with 140 fuel salt channels of approximate inner dimensions 0.5 cm×45 cm×240 cm and 280 blanket salt channels of approximate inner dimensions 0.7 cm×45.2 cm×240 cm. In this implementation, the fuel salt containing U-233 as fuel (at a molar concentration of about 2.5%) is pumped through its channels at a mean speed of about 1.45 m/s and the blanket salt containing Th-232 (at a molar concentration of 22%) is pumped through its channels at a mean speed of about 2.22 m/s to achieve a thermal operating power of about 400 MWt. Depending on the thermal properties of the carbon/carbon composite used to construct the reactor vessel, the resulting temperatures within the reactor vessel is given approximately by the following table when we use eutectic NaF—BeF2 as the carrier salt for fuel and blanket: C/CC/CC/CBlanket/FuelFuel(hottest,(next to(betwBlanketpoolsaltsaltnext toblankettwosaltsalt(enter)(exit)fuel)facing fuel)blankets)(exit)(enter)1,113°1,087°1,033°873°717°750°675°C.C.C.C.C.C.C. The pressures within the channels are those given by hydrostatic equilibrium, that is, by the balance against the gravitational field of the Earth, after taking into account the mean pressure gradient needed to drive the turbulent molten salts through their channels:|∇P|=f/Deρv2. In the above, f is the friction coefficient, ρ is the density of the molten salt, v is its mean speed in a channel, and De is the equivalent diameter of a channel with a rectangular cross section when referenced to a circular pipe. For a rectangle with a long side a and a short side b, De is given to within 2% accuracy by the formula, D e = [ 2 3 + 11 12 α ( 1 - α ) ] D h . where α and Dh are, respectively, the aspect ratio and hydraulic diameter: α ≡ b a , D h ≡ 2 ab a + b . For b=0.5 cm and a=45 cm, De=0.6693 cm≡Df, the equivalent diameter of fuel salt channels. For b=0.7 cm and a=45.2 cm, De=0.9384 cm≡Db, the equivalent diameter of blanket salt channels.The friction factor f is obtained empirically from the Reynolds number of the flow, Re ≡ ρ vD e μ ,where μ is the coefficient of shear viscosity of the molten salt. The correlation between f and Re used (via logarithmic interpolation) is summarized by the table: Ref40000.0099492.3 × 1040.0062611.1 × 1050.0044151.1 × 1060.0028662.0 × 1060.0025963.2 × 1060.002403 There is enough pressure head from the pool salt that sits above the ceiling of the reactor vessel so that the pressures of the blanket salt are everywhere higher than the pressures of the adjacent fuel salt. This condition ensures that if leaks develop in the reactor vessel, the blanket salt will push into the fuel salt channels and displace fuel salt out of the reactor, shutting down the fission reactions. The opposite occurrence, that is, fuel salt pushing into blanket channels, would lead to a dangerous supercritical situation. The use of the static pressure head arising from the gravitational field of the Earth to obtain automatic sub-criticality is another example of the passive safety mechanisms in the aforementioned reactors 100 and 200. The turbulent heat transfer in the pipes and ducts can be determined empirically. The basic quantity is the Nusselt number that measures the efficiency of (forced) turbulent convection relative to heat conduction that carries energy from the fluid (molten salt) at the center of a channel to the material walls bounding it. The Nusselt number Nu is related to the Reynolds number Re of the flow and the Prandtl number Pr of the fluid, Pr ≡ μ c P K ,where cP is the specific heat capacity of the fluid at constant pressure P, and K is its thermal conductivity. The empirical correlation among Nu, Re, and Pr, used by us has the expression (see Table 5.11 of Rohsenow et al. 1988): Nu = ( f / 2 ) ( Re - 1000 ) Pr 1 + 12.7 ( f / 2 ) 1 / 2 ( Pr 2 / 3 - 1 ) ,where f is the friction factor given in terms of Re earlier. Once the Nusselt number is known, the mean temperature difference between the fluid (molten salt) and its bounding walls can be computed through the formula: Δ T = ( / V ) D e 2 2 n hcw NuK ,where is the total power to be transferred in all channels of the fluid in question with a total volume V of channels, and nhcw is the effective number of heat-carrying walls in each channel. For example, for fuel salt when operating at peak power, then =400 MWt, V=140 (0.005 m)(0.45 m)(2.4 m), and nhcw=2 because the heat generated by the fuel salt is carried to two walls that interface each fuel channel with surrounding blanket salt channels. On the other hand, blanket salt channels have only one fuel salt channel adjacent to them, so nhcw is 1 for them, except that there are slight corrections to this number of unity because of “influence factors” (see Table 5.28 of Rohsenow et al. 1988). The values for ρ, cP, μ, K for the appropriate molten-salt mixtures can be found as a function of temperature T in standard databases. Finally, the heat is carried from a bounding wall of the fuel salt channel to a blanket salt channel by thermal conduction in the carbon-carbon (C/C) composite. A temperature gradient in the C/C composite is given by the application of Fourier's law: | ⅆ T ⅆ x | = ( / Nac ) 2 K eff ,where N=140 is the number of fuel-salt channels, a=0.45 m and c=2.4 m are the effective dimensions of the faces between fuel-salt and blanket-salt channels, and Keff is the effective thermal conductivity of the C/C composite. The material properties of C/C composites are not well-known as functions of the temperature and depend on the quality of the carbon fibers and carbon matrix used to make the C/C composite fabric that is the starting basis for the manufacture of reactor vessels, heat exchangers, as well as other components. A cost effective combination uses P30 carbon fibers bonded together with coal-tar pitch as the matrix (Ponslet et al. 2000). For the present calculations, the fit of the empirical law deduced from measurements of this material for a radiator part in the Earth Orbiter 1 spacecraft is given by the formula:Keff=169(T/573K)−1/2Wm−1K−1. Similar calculations as performed for the reactor vessel when applied to the secondary heat exchanger yields the following estimates for the temperatures when the tertiary salt is chosen to be (natural) LiF—NaF—KF in the approximate molar proportions 46.5%:11.5%:42%: InletOutletMolten salt intemperaturetemperatureBounding C/Cheat exchanger(heat exchanger)(heat exchanger)temperatureNaF—BeF2750° C.675° C.634° C.LiF—NaF—KF520° C.538° C.580° C. As an example of the reactions that may occur in the above described reactors, the critical balance equation that expresses the chain reaction that occurs when there is barely a critical mass in the core of a reactor burning U-233 s fuel is: Υ p + [ n 232 σ _ cap ( 232 ) + n 0 σ _ cap ( o ) ] D 3 = ( v c - 1 ) n 233 σ _ fis ( 233 ) D 3 . In the above equation, n233, n232, and no are, respectively, the space averaged number densities of U-233, Th-232, and all other nuclides in the reactor core (associated with the fuel salt, carbon/carbon composite, fission products, etc.); σfis(233), σcap(232), and σcap(o) are the associated mean fission, neutron-capture cross sections, D≅2.4 m is the length associated with the sides of the cubical reactor core, and γp is the mean transmission factor from the core to the pool. The quantity νc is the corrected number of neutrons released per fission of U-233 and equals, not the raw average value 2.49, but approximately 2.29 after the parasitic captures by the other isotopes of uranium and actinides are accounted for that are inevitably made as a byproduct of the neutron irradiation of Th-232 and U-233. Mean cross-sections are computed as flux-weighted averages. Let F(x) be the dimensionless distribution function of neutrons with energy ε, measured in dimensionless form by its ratio with (2/3 times) the thermal energy, kT, x ≡ ɛ kT ,where k is the Boltzmann constant, and T is the temperature of the ambient moderating medium. F(x) is normalized according to neutron number density: ∫ 0 ∞ F ( x ) x 1 / 2 ⅆ x = 1. Then the dimensionless neutron flux is given as a number,x1/2≡∫0∞F(x)xdx, which is larger than 2/√{square root over (π)}=1.128 if the distribution function has a long tail of (epithermal) neutrons with energies above thermal values (see FIG. 6 for a computed example that does not take account of nuclear resonances). In terms of the dimensionless neutron distribution function, the mean of an energy dependent cross-section ρ(ε) is given by the definition: σ _ ≡ 1 〈 x 1 / 2 〉 ∫ 0 ∞ σ ( xkT ) F ( x ) x ⅆ x . Lumped mean cross sections such as σcap(o) are computed as sums over the members i of the group: σ _ cap ( o ) = 1 n ∑ i n i σ _ cap ( i ) , n ≡ ∑ i n i . FIG. 6 is an example of a neutron distribution function when nuclear resonances are ignored for a reactor that burns U-233 and breeds for the same from Th-232, with x2F(x) plotted on a linear scale against x on an exponential scale. Because elastic collisions with nuclei in the pool salt act to partially “reflect” neutrons back into the core, the transmission factor can be appreciably smaller than unity. In the diffusion approximation for a large pool, the following expression can be derived: Υ p = 2 ( σ _ abs 3 σ R ) p 1 / 2 ,where the mean absorption cross-section of nuclides in the pool equals σ _ abs = 1 n ∑ i n i σ _ cap ( i ) , n ≡ ∑ i n i ,and the sum over i now includes all species of atomic nuclei in the pool. The subscript R in σR refers to a “Rosseland” mean cross-section that is defined as a transmission mean: 1 σ R ≡ 1 n ∑ i n i ∫ 0 ∞ F ( x ) x ⅆ x [ σ sca ( i ) + σ cap ( i ) ] . For a pool salt consisting of a 22% molar concentration of Th-232 in eutectic NaF—BeF2, γp=0.188, appreciably smaller than unity because the elastic scattering cross sections of Be-9, F-19, Na-23, and even Th-232 are large compared to their neutron-capture cross sections. Thus, the presence of the pool salt yields an appreciable reduction of the critical mass of the fuel needed in the reactor core to sustain a chain reaction. If there is a loss because of a coolant accident, that is, if the blanket salt were to drain from the pool for some unexpected reason, the increase of γp to a value close to 2/√{square root over (3)}=1.155 makes the resulting configuration sub-critical, that is, the reactor would automatically shut down even without operator intervention. The average neutron excess (νc−1)=1.29 given per fission of U-233 not needed to sustain the chain reaction in the core is split by three processes: escape to the pool, conversion of Th-232 to U-233 in the core, and parasitic captures by atomic nuclei in the core other than Th-232 and U-233. These three processes occur in the dimensionless rate ratios: Υ p : 1 3 n 232 σ _ cap ( 232 ) D : 1 3 n o σ _ cap ( o ) D . Requiring that an overall multiplicative factor makes the three individual terms sum to (νc−1)=1.29, we are able to compute how many neutrons escape to the pool and how many nuclei of U-233 are made from Th-232 in the core per fission of U-233. When the pool is made large enough, almost every neutron that enters the pool is captured within the pool, rather than is absorbed by the outer pool walls, so the corresponding ratio of:n232 σ232:no σcap(o)for the conditions in the pool yields how the neutrons that enter the pool are split into conversion of Th-232 into U-233 or are wasted in parasitic captures. Summing the numbers of U-233 created in the core and in the pool then yields the breeding ratio. Small corrections are made to account for the number of Pa-233 in steady state that capture an additional neutron before decaying into U-233, and for the incomplete removal of Xe-135 by the off-gas helium bubbling system. The latter requires experimental data before it can be estimated very accurately, but it is believed (Scott & Eatherly (1970)) that helium bubbles that occupy 0.5% of the volume of the fuel and blanket salts can keep the parasitic captures of Xe-135 to less than a 0.5% effect on the breeding ratio. The resulting breeding ratio for a U-233 burner/Th-232 breeder is approximately 1.07. This value can be improved somewhat if instead of eutectic NaF—BeF2, we use as the carrier for the fuel salt, eutectic 7LiF—BeF2, where the minor isotope 6Li has been removed to the 0.005% level. On the other hand, if there are contaminants such as boron in the carbon/carbon composite used for the reactor vessel, they could eat into the theoretical breeding ratios. As long as the contamination is not so severe as to prevent even the chain reaction at the maximum allowable fuel concentration, operation of the reactor will quickly burn out the contaminants, with their large cross-sections for neutron capture transforming them to relatively benign nuclei (for example, 10B plus neutron giving 7Li plus alpha). The continued production of minor actinides when one uses Pu-239 from spent LWR fuel implies that the conversion factor for Pu-239 into U-233 from breeding Th-232 is not as good. Calculations indicate that a conversion factor of 0.92 is perhaps as much as one can reasonably expect. The exact value for the conversion ratio is hard to pinpoint inasmuch as it is dependent on the nature of the light-water reactor from which the spent fuel is derived, as well as how long that spent fuel is stored before it is reprocessed for burning in a MSR actinide burner. Nevertheless, it is believed that conversion ratios are high enough so that a complete transformation to a Th-232/U-2333 fuel cycle can be made before 2050 if the construction of two-fluid molten-salt reactors is put on a fast track worldwide. An advantage of a turbulent liquid-based fuel is that an inhomogeneous mixture of feedstock from a variety of sources (mostly LWRs) is automatically blended into a uniform fuel that would burn without hot spots or excessively large local neutron fluxes. Such hot spots are much harder to prevent with fuel that must be fabricated in a solid form, yielding yet another superiority of MSRs over conventional reactors. The following references are incorporated herein by reference in their entirety: MacPherson, H. G. 1985, The Molten Salt Adventure, Nuclear Science and Engineering, 90, 374-380. Matheiu, L., Heuer, D., Brissot, R., Le Brun, C., Liatard, E., Loiseaux, J.-M., Meplan, O., Merle-Lucotte, Nuttin, A., Wilson, J. 2005, The Thorium Molten Salt Reactor Moving On from the MSBR, arXiv:nucl-ex/050600v1. Rosenthal, M. W., Briggs, R. B., Kasten, P. R. 1967, Semiannual Progress Report for Period Ending Aug. 31, 1967, ORNL-4191, Atomic Energy Commission (USA). Ponslet, E., Biehl, F., Romero, E. 2000, Carbon-Carbon Composite Closeout Frames for Space Qualified, Stable, High Thermal Conductivity Support Structures, Cytec Corp. Report 102021-0001. Rohsenow, W. M., Hartnett, J. P., and Cho, Y. I. (editors) 1998, Handbook of Heat Transfer (New York: McGraw-Hill). Scott, D., Eatherly, W. P. 1970, Graphite and Xenon Behavior and Their Influence on Molten Salt Reactor Design, Nuclear Science and Technology, 8, 179-189. The broad teachings of the disclosure can be implemented in a variety of forms. Therefore, while this disclosure includes particular examples, the true scope of the disclosure should not be so limited since modifications will become apparent from the following claims. |
|
050135198 | claims | 1. An autonomous, decentralized fast breeder reactor system comprising: a single main vessel of a nuclear reactor; a plurality of unit-type nuclear reactor subsystems disposed in said main vessel and each having a small-scale faster breeder reactor core; a plurality of steam generator subsystems disposed in said main vessel; a first coolant circulating naturally through the interior of said main vessel; a second coolant circulating naturally through the interior of each unit-type nuclear reactor subsystem; and means for causing a heat transfer to take place between each unit-type nuclear reactor subsystem and each steam generator subsystem by said first coolant circulating naturally through the interior of said main vessel and undergoing a heat exchange with said second coolant circulating naturally through the interior of each unit-type nuclear reactor subsystem. a turbine system for introducing generated steam from a steam generator subsystem, and a heat accumulating pool for cooling condensate of a turbine. 2. The system according to claim 1, wherein said unit-type nuclear reactor subsystems are arranged on an inner peripheral portion of said main vessel, and said steam generator subsystems are arranged at a central portion of said main vessel. 3. An autonomous, decentralized fast breeder reactor system comprising: 4. The system according to claim 3, further comprising emergency cooling means in which piping from said heat accumulating pool is connected to a central portion of a fast breeder reactor. 5. An autonomous, decentralized fast breeder reactor system, comprising an autonomous, decentralized fast breeder reactor, a turbine system for introducing generated steam from said fast breeder reactor, a heat accumulating pool for cooling condensate of a turbine, and an industrial robot for controlling said fast breeder reactor, wherein said autonomous fast breeder reactor system is located underground. |
abstract | The disclosed systems and methods for Image-Guided Radiation Therapy (IGRT), utilizes an iterative approach which adjusts a treatment plan based on inter- or intra-fraction images to improve the accuracy of the radiation delivered during the overall treatment. The prescribed dose of radiotherapeutic radiation is mapped onto the patient's anatomy using an image acquired of the region, which is to be the target for radiotherapeutic radiation. Following beam-angle-optimization, fluence optimization and segmentation, the efficiency of delivery of each segment is determined using an objective function, and the segments ranked according to their efficiency. The plan proceeds with the choice of the most efficient segment (or segments) to be delivered first. When this radiation has been delivered, having been tracked to establish its distribution, this delivered distribution can be subtracted from the original prescribed dose and the process repeated so that the delivered radiation gradually converges on the original prescribed dose. |
|
abstract | Performance of a flux in a soldering process is assessed by monitoring the activity of the flux via its electrical conductance measured using a probe having interdigitated metallic traces and a temperature sensor. The measured conductance-temperature time profile provides information useful for selecting a suitable flux formulation and soldering conditions for a given application, for determining the cause of soldering process problems, and for developing improved flux formulations. |
|
claims | 1. A method, comprising the steps of:determining a region of an upcoming catastrophic earthquake through at least one of long-term, intermediate-term and short-term earthquake predictions;installing, in at least one location suitable for triggering the earthquake based on the determined region, an earthquake artificial triggering system that is based on impact generation;choosing a time at which the earthquake is to be triggered, where the chosen time is after the installing time of the earthquake artificial triggering system and prior to an initial and original natural occurrence time of said earthquake at its epicenter within the determined region;ordering evacuation of people prior to the chosen time; andactivating, at the chosen time, the earthquake artificial triggering system to induce the earthquake to start immediately following the activation of the earthquake artificial triggering system;wherein said installing the earthquake artificial triggering system and said activating the earthquake artificial triggering system including placing a plurality of impact generating cells at a plurality of positions for adaptably determining an activation scheme of the plurality of impact generating cells includes:activating the impact generating cells in a time sequence and ordering their impacting power from lowest to highest until the earthquake is triggered; andactivating the impact generating cells with a timing scheme and arranging the impact generating cells with an impact magnitude relation that cause individual shockwaves together to form a constructive superposition onto a focus point located in underground geological structures that accumulated an elastic energy leading to the earthquake. 2. The method of claim 1, wherein the activating step includes causing the earthquake artificial triggering system to generate at least one impact to underground geological structures that accumulated an elastic energy leading to the earthquake. 3. The method of claim 2, wherein said impact is caused by at least one explosion. 4. The method of claim 1, further comprising, prior to the chosen time, performing at least one of:evacuating animals;reinforcing buildings and facilities;reducing water levels in reservoirs;securing inflammable, explosive and hazard materials;shutting down unnecessary equipment; andenhancing emergency response capabilities and measures. 5. The method of claim 1, wherein said choosing a time includes choosing a time that makes use of a constructive force of at least one of tide, gravity of the moon and sun, thermal expansion and other natural forces. 6. The method of claim 1, wherein said step of installing the earthquake artificial triggering system in at least one location suitable for triggering the earthquake based on the determined region further includes choosing the location for triggering the earthquake by at least one of:staying away from dense population;staying away from critical facilities;being within the determined region of the upcoming catastrophic earthquake;being close to the determined region of the upcoming catastrophic earthquake;being at a predicted epicenter;being close to a predicted epicenter; andbeing close to a predicted weak point in the underground geological structures for initial seismic energy release. 7. The method of claim 1 further includes, during and after the activating step, a step monitoring a total released energy of a triggering impact and the earthquakes. 8. The method of claim 1 further includes reducing at least one of impacting power and power density of an earthquake energy releasing process, comprising at least one of the steps of:inducing the earthquake to a series of sub-quakes through a plurality of locations of triggering and sequential triggering; andinducing the earthquake at the chosen time, wherein the chosen time is earlier than the original natural occurrence time of the earthquake. 9. A method, comprising the steps of:determining a region of an upcoming catastrophic earthquake through at least one of long-term, intermediate-term and short-term earthquake predictions;installing, in at least one location suitable for triggering the earthquake based on the determined region, an earthquake artificial triggering system that is based on impact generation, prior to an initial and original natural occurrence time of the earthquake at its epicenter within the determined region;predicting a time window of an earthquake occurrence in reference to its epicenter within the determined region through at least one of the long-term, intermediate-term and short-term earthquake predictions and an imminent prediction;announcing the predicted time window of occurrence of the earthquake ahead of time to all people who need to know;evacuating the people prior to the predicted time window; andif within the time window the predicted earthquake occurred, refraining from activating the earthquake artificial triggering system;if at the end of the time window the predicted earthquake has not occurred, activating the earthquake artificial triggering system to induce the earthquake to start immediately following the activating action;wherein said installing the earthquake artificial triggering system and said activating the earthquake artificial triggering system including placing a plurality of impact generating cells at a plurality of positions for adaptably determining an activation scheme of the plurality of impact generating cells includes:if at end of the time window the predicted earthquake has not occurred, activating the impact generating cells in a time sequence and ordering their impacting power from lowest to highest until the earthquake is triggered; andif at end of the time window the predicted earthquake has not occurred, activating the impact generating cells with a timing scheme and arranging the impact generating cells with an impact magnitude relation that cause individual shockwaves together to form a constructive superposition onto a focus point located in underground geological structures that accumulated an elastic energy leading to the earthquake. 10. A system for artificially triggering an upcoming catastrophic earthquake to occur at a known time so that evacuation can be carried out prior to the known time, comprising:at least two impact generating cells for generating at least one burst of shockwaves to underground geological structures that accumulated an elastic energy leading to the earthquake;an array of sensors for sensing seismic parameters before, during and after activating at least one out of the at least two impact generating cells;a controller for signaling activation of at least one out of the at least two impact generating cells, and for receiving and processing sensor signals from the array of sensors; andat least one signal link coupling the at least two impact generating cells and the array of sensors with the controller, for conveying control and the sensing signals;whereby the system is operable to activate at least one out of the at least two impact generating cells to create shockwaves for inducing the upcoming catastrophic earthquake to occur at a time that is under control of an operator of the controller and is prior to a time of initial and original natural occurrence of said earthquake in reference to its epicenter; andwherein said at least two impact generating cells are placed at a plurality of positions for adaptably determining an activation scheme of the at least two impact generating cells includes at least one of:activating the impact generating cells in a time sequence and ordering their impacting power from lowest to highest until the earthquake is triggered; andactivating the impact generating cells with a timing scheme and arranging the impact generating cells with an impact magnitude relation that cause individual shockwaves together to form a constructive superposition onto a focus point located in underground geological structures that accumulated an elastic energy leading to the earthquake. 11. The system of claim 10, wherein said impact generating cell consists of an explosion device. 12. The system of claim 11, wherein said explosion device is a nuclear explosion device. 13. The system of claim 10, wherein said impact generating cell is installed underground. 14. The system of claim 10, wherein said focus point is chosen at an estimated weak point of the underground geological structures that accumulate the elastic energy leading to the earthquake. |
|
abstract | A fusion device produces fusion of neutral atoms and ions in an “aneutronic fusion” manner without neutrons as products utilizes strong ion-neutral coupling at high neutral densities. Ions and neutrals rotate together in a cylindrical chamber due to frequent collisions. High magnetic forces make the attainment of high rotation energy possible; the magnetic field in a medium can be set at very high values because of the absence of magnetic charges. The repeated acceleration by strong magnetic forces in the azimuthal direction makes possible very high ion velocity. Fusion takes place mainly between neutral particles. This approach can be applied to fusion with neutrons as well. Conventional fusion schemes and neutron sources can be realized using the principles described above in the generation of neutrals of high energies and densities. |
|
summary | ||
description | The present invention generally relates to locking tubes in position relative to one another, in particular nuclear reactor thermocouple pipes. More specifically, according to a first aspect, the invention relates to an assembly of the type comprising: a plurality of tubes having respective segments substantially parallel to one another; a device for locking the tubes in position relative to one another. Pressurized water nuclear reactors include, inside a vessel, the core of the nuclear reactor made up of prismatic fuel assemblies with their vertical axis and, above the core, upper inner equipment in particular including a set of vertical guide-tubes making it possible to guide control bars made up of bundles of rods placed parallel to one another and containing a material absorbing neutrons. To control reactors by adjusting the reactivity of the core, the absorbent rod clusters are moved vertically, during the operation of the reactor, such that the absorbent rods are introduced over a greater or lesser height within some fuel assemblies of the core. The upper inner equipment generally includes an upper plate, also called support plate, and a lower plate, making up the upper plate of the core of the nuclear reactor bearing on the upper end of the fuel assemblies, when the inner upper equipment is in the usage position in the core of the reactor. The vertical guide tubes guiding the control rods are connected to the support plate and the lower plate, which each include a first part intercalated between the support plate and the lower plate and a second part fixed above said support plate. Spacer columns positioned between the support plate and the lower plate, parallel to the guide tubes, make it possible to maintain these plates and ensure the rigidity of the upper inner equipment. The upper inner equipment also comprises instrumentation columns, such as cylindrical thermocouple columns. In each of the thermocouple columns, a thermocouple assembly is positioned making it possible to measure the temperature of the coolant at the outlet of a preselected set of fuel assemblies of the core of the reactor. There are generally two or four thermocouple columns. Each thermocouple column is not fixed on the support plate of the upper inner equipment, but is guided by a spindle extending vertically above this plate and which penetrates the thermocouple column. However, each thermocouple column is secured to the cover of the vessel of the reactor only by upper sealing means, positioned between a tubing of an adapter fixed in an opening of the cover and the upper part of the column. Several thermocouples are therefore positioned in the column and leave that column through peripheral openings, arranged at the base of the column so as each to join a branch passing through the support plate. To that end, each branch is provided with a guide tube positioned aligned with an orifice arranged in the support plate substantially overhanging a zone where the temperature measurement must be done. The thermocouple is inserted into an upper guide pipe supported by the thermocouple column and by a lower guide pipe positioned in the tube of the corresponding branch. The sensitive measuring end of the thermocouple is positioned at the predetermined measuring zone. Some of the thermocouples are intended to measure the temperature of the coolant of the reactor, at the outlet of the core, below the upper plate of the core, near the upper end-piece of the fuel assemblies. The thermocouples inserted into the pipes are subjected to high temperature and pressure stresses, such that some of them may have operating defects after a certain residence time in the back of the reactor. Thus, it is no longer possible to have a reliable and representative image of the actual temperature of the coolant of the reactor core. It is therefore necessary to replace these thermocouples and/or these pipes during scheduled upkeep operations of the nuclear reactor. These pipes are replaced after the reactor has been stopped and cooled and after the cover has been disassembled. The pipes are generally connected to each other by locking devices. These devices make it possible to lock the pipes in position relative to one another, so as to avoid any contact between the pipes. Such contacts may lead to piercing of the pipes. The known locking devices are staples welded on the pipes. To replace the defective pipe, it is necessary to place the staples. This placement is a long and complex operation. It may destroy other pipes connected by the staples to the defective pipe. In this context, an object of the invention is to provide an assembly making it possible to facilitate such a replacement operation. To that end, the invention provides an assembly of the aforementioned type, characterized in that the locking device includes: at least one first arm; a locking axle having a plurality of bearing surfaces; a link connecting the locking axle to the first arm, the locking axle being movable relative to the first arm between a locking position, in which the segments of the tubes are each pinched between one of said bearing surfaces and the first arm, and a released position, in which the segments of the tubes are free relative to the first arm. It is thus easy to free the tubes from one another, for example to replace one of the tubes. This operation does not destroy the tubes. The placement of the locking device is quick and easy, as is the release of the tubes. The tubes are for example thermocouple pipes of a nuclear reactor. However, the tubes may be of any other type: fluid circulation tubes, electrical wire passage tubes, etc. The invention may apply to nuclear power plant tubes or tubes belonging to any other industrial facility. The locking axle has any number of bearing surfaces: 2, 3, 4 or more than 4 bearing surfaces. All of the bearing surfaces are not necessarily used. The number of bearing surfaces used depends on the configuration of the tubes to be locked. The tubes are generally not parallel to one another over their entire lengths. However, the tubes that are locked by the same locking device all extend in the same direction. Each tube can have several segments locked in position by several locking devices, relative to the same set of tubes or different sets of tubes. The fact that the segments of the tubes are each pinched between a bearing surface and the first arm here means that the bearing surface and the first arm exert pressure on the segment in two opposite directions. These pressures are applied to two zones of the segment opposite one another along the periphery of the segment. The pressures are sufficient to keep the tube in position relative to the locking axle and the first arm, despite stresses applied to the tube segment. In the case of nuclear reactor thermocouple pipes, the stresses result from temperature and pressure conditions within the vessel of the reactor, the circulation of the primary fluid in the vessel, etc. In any case, the pressures are computed to prevent the tubes from coming into contact with one another, at the segments engaged in the locking device or away from those segments. Advantageously, the locking device comprises a second arm parallel to the first arm and connected to the first arm, and, in the locking position of the locking axle, the segments of a first set of tubes are pinched between the first arm and the locking axle, and the segments of a second set of tubes are pinched between the second arm and the locking axle. It is thus possible to lock a larger number of tubes with the same locking device. The first and second arms are typically parallel to one another, and have respective ends secured to one another by a wall. The locking axle extends between the first and second arms and is connected to the wall. Alternatively, the locking device comprises a single arm. Advantageously, the connection of the locking axle to the first arm is a pivot link around the pivot axis. It is thus easy to move the locking axle between its locking position and its released position. For example, when the locking device includes two arms, moving the locking axle between its locking position and its released position corresponds to a rotation around the pivot axis by an angle comprised between 45° and 135°, preferably comprised between 70° and 110°, and for example equal to 90°. The locking axle for example has first and second surfaces turned toward the first arm in the locking position and the released position, respectively. The first surface bears the bearing surfaces. Relative to the first arm, these bearing surfaces have a first separation substantially corresponding to the diameter of the tube segments. In the released position, zones of the second surface are situated along the tube segments. Relative to the first arm, these zones have a second separation larger than the first. Thus, in the released position, the tube segments are not pinched between the locking axle and the first arm and can be removed. In one example embodiment, the locking axle has a rectangular section. The first surface corresponds to the small side of the rectangular section, and the second surface to the large side. Alternatively, the bearing surfaces are depressions in the first surface, and said zones of the second surface are deeper depressions than the bearing surfaces. The locking axle then has a circular or square section. Alternatively, the link connecting the locking axle to the first arm is not a pivot link. For example, the locking axle moves between its locking position and its released position by translation relative to the first arm. Advantageously, the locking axle and the first arm have elongated shapes along the pivot axis, the locking axle extending along the pivot axis. In other words, the locking axle pivots around itself. This arrangement is particularly convenient and compact. Alternatively, the pivot axis is perpendicular to the first arm and the locking axle. Advantageously, the locking axle comprises a deformable hollow sleeve defining the bearing surfaces and a lever engaged inside the sleeve, the lever being movable relative to the sleeve between a first position in which the bearing surfaces are in retracted positions relatively further from the first arm, and a second position in which the bearing surfaces are in protruding positions relatively closer to the arm. The bearing surfaces go from their retracted position to their protruding position by deformation of the sleeve, under the effect of the movement of the lever. Advantageously, at a first end, the locking axle has a relief provided to cooperate with a tool for rotating the locking axle. This relief allows easy movement of the locking axle between its locking position and its released position. This relief can be a hollow or protruding cavity. For example, it has a hexagonal shape, or any other shape suitable for being rotated. Advantageously, the bearing surfaces are depressions hollowed along the locking axle. These depressions make it possible to wedge each tube along the locking axle. They have a depth allowing the tube not to slide outside the depression, in light of the pressure applied to the tube by the locking axle and the first arm. They are spaced apart from one another along the locking axle, preferably regularly spaced apart from one another. The spacing is chosen so that the tubes cannot touch one another under the effect of the stresses applied to them. The depressions have a shape allowing the tube segments to be received, in the locking position, at the bottom of the depressions. For example, the depressions are each in the form of a slot, opening at both of its opposite ends. Considered in cross-section, the slot is defined by a bowed bottom. In the locking position, the slot is parallel to the tube segments. Alternatively, the locking axle bears a plurality of protruding reliefs, the bearing surface being defined between two consecutive reliefs. Advantageously, the locking axle is crimped on the first arm in the locking position. Thus, the locking axle is locked relative to the first arm and cannot return to the released position. Furthermore, when the tube segment must be removed from the locking device, the crimping can be eliminated more easily than if the locking axle was welded to the first arm. Typically, a segment of the locking axle outwardly bears a plurality of splines. The first arm bears a wall, in which an orifice is arranged that is crossed through by said segment of the locking axle. The wall bears a collar surrounding the cannulated segment. The crimping is obtained by folding the collar down on the splines. Other anti-rotation locking systems could be used in place of crimping: a key, a folding washer or a set screw. Advantageously, the first arm and the locking axle define a rectilinear aperture between them in which the tube segments are received, the first arm bearing a wall closing a first end of said aperture and defining a bearing for guiding the rotation of the locking axle. Such an arrangement is particularly compact and convenient. Advantageously, the first arm and the locking axle pinch the tube segments between then in a pinching direction, the locking device having, at a second end of the aperture opposite the first, a removable link connecting the locking axle to the first arm preventing a mutual separation between the locking axle and the first arm in the pinching direction in the locking position of the locking axle. Thus, the separation between the locking axle and the first arm is limited, at the two ends of the aperture. The pinching force of the tube segments is guaranteed. The tubes therefore cannot move in the aperture. The link is established in the locking position of the locking axle, but not in the released position. For example, one of the locking axle and the first arm includes a tenon, engaged in a mortise arranged on the other of the locking axle and first arm when the locking axle is in the locked position. The tenon and the mortise do not cooperate in the released position. Alternatively, the removable link is obtained by snapping the locking axle and the first arm to one another, or by any other means. Advantageously, the removable link closes the aperture at its second end in the locking position of the locking axle and frees the second end in the released position of the locking axle. Thus, the tube segments cannot leave the aperture in the locking position. According to a second aspect, the invention provides a method for maintaining an assembly having the above features, the method comprising the following steps: placing the locking axle of the locking device in the released position; removing the locking device; performing maintenance on the defective tube; engaging the tube segments between the locking axle and the first arm of a locking device; placing the locking axle in the locking position. The same locking device that was initially removed can be put back into place. However, another locking device can also be placed, of the same type as the original locking device, for example a new device. In the following description, the locking device will be described as it applies to locking several thermocouple pipes of a pressurized water nuclear reactor in position. FIG. 1 diagrammatically shows a vessel of a pressurized water nuclear reactor, designated by reference 1. Traditionally, positioned inside the vessel 1 of the nuclear reactor is the core 2, made up of fuel assemblies 3 juxtaposed such that the longitudinal axis of the fuel assemblies is vertical. The core 2 of the reactor is positioned inside the lower inner equipment, designated by general reference 4, and which in particular includes the partition 5 of the core. The nuclear reactor also comprises upper inner equipment, designated by general reference 6, which rests on the upper plate of the assemblies of the core, by means of an upper core plate 7. As shown in FIG. 1, the upper inner equipment 6 includes a support plate 8 for guide tubes, which will be referred to hereinafter as the support plate 8. This support plate 8 extends parallel to the upper core plate 7 making up the lower part of the upper inner equipment 6 and that is made so as to fasten the upper inner equipment 6 to the inside of the vessel 1. The upper inner equipment 6 includes guide tubes, designated by general reference 9, which are made up, each above the support plate 8, of an upper part 9a with a circular section, and, between the support plate 8 of the upper inner equipment 6 and the upper core plate 7, a lower part 9b generally with a substantially square section with rounded corners. Each of the parts 9a and 9b constitutes a guide tube 9 for the upper inner equipment 6 allowing the vertical movement of a cluster for adjusting the reactivity in the core of the nuclear reactor, connected to a suspension and movement rod, the vertical movement of which is done by a mechanism, not shown, situated above the cover 1a of the vessel 1. In addition to the lower parts 9b of the guide tubes 9, spacer columns 10 seeing to the maintenance and separation of the upper core plate 7 and the support plate 8 are placed between the support plate 8 of the upper inner equipment 6 and the upper core plate 7. FIG. 2 diagrammatically shows a perspective view of the upper face of the support plate 8 that bears the upper parts 9a of the guide tubes 9 and in the example embodiment shown in this figure, two thermocouple columns 20 that extend parallel to the guide tubes 9, above the support plate 8. Traditionally, a set of upper guide pipes 21 is positioned in each of the thermocouple columns 20, each for a thermocouple (not shown) making it possible to measure the temperature of the coolant at the outlet of a preselected assembly set of the core of the nuclear reactor. As shown in FIG. 2, several upper guide pipes 21 are positioned in a column 20 and leave that column through peripheral openings arranged above the base of the thermocouple column 20 so as each to join a branch designated by general reference 30 and intended for the passage of a thermocouple through the support plate 8. In this figure, a limited quantity of the upper pipe 21 has been shown so as not to overload the figure. However, in reality, there are a large number of upper pipes 21, which must be kept in position relative to one another to avoid any interference between these pipes. According to an embodiment of the invention, the pipes are kept in position by locking devices 40 of the type shown in FIGS. 3 and 4. The device 40 is provided to lock a plurality of tubes in position having respective segments 42 substantially parallel to one another. The device 40 comprises: at least one first arm 44; preferably a second arm 46; a locking axle 48 having a plurality of bearing surfaces 50; a link 52 connecting the locking axle 48 to the first arm 44. In the illustrated example, the link 52 is a pivot link around a pivot axis X shown in the figures. The first and second arms 44 and 46 are part of a U-shaped fork 54. They are connected to one another by a central wall 56. The wall 56 has an orifice 58 crossed through by the locking axle 48. The orifice 58 forms a guide bearing for the locking axle. The first and second arms 44 and 46 are elongated parallel to the axis X. They are each in the form of a flat strip, defined by two large opposite faces 60 and 62. The large faces 60 of the first and second arms 44 and 46 are parallel to one another and turned toward one another. They are symmetrical to one another relative to the pivot axis X. The wall 56 secures the respective first ends of the first and second arms 44 and 46 to one another. It is substantially perpendicular to the pivot axis X. The second ends of the first and second arms 44 and 46, opposite the wall 56, are free. They are not directly connected to one another. The locking axle 48 extends along the pivot axis X. It is engaged between the first and second arms 44 and 46. Two rectilinear apertures 63 are thus defined between the locking axle 48 and the first and second arms 44 and 46, in which the tube segments 42 are received. The wall 56 closes the first axial ends of the apertures 63. Perpendicular to the pivot axis, the locking axle 48 has a rectangular section. It is thus defined by two first axial surfaces 64 parallel to and opposite one another, and two second axial surfaces 66 substantially perpendicular to the first axial surfaces 64. The first axial surfaces 64 define the small sides of the rectangular section. The second axial surfaces 66 define the large sides of the rectangular section. The first axial surfaces 64 bear the bearing surfaces 50. The bearing surfaces 50 are depressions hollowed along the first axial surfaces 64. They are regularly spaced axially apart from one another. The depressions are slots hollowed in the first axial surfaces, elongated perpendicular to the pivot axis X. They each extend over the entire width of the first axial surface 64, and emerge at the two opposite ends. Considered in cross-section, each depression has a bowed section. The second axial surfaces 66 are planar and do not have depressions. The locking axle 48 has an end segment 68 protruding relative to the wall 56, past the first and second arms 44 and 46. The segment 68 includes a hollow relief 70 provided to cooperate with a tool for rotating the locking axle. The hollow relief 70 is hollowed axially and emerges at an end surface of the locking axle substantially perpendicular to the axis X. Furthermore, the end segment 68 outwardly bears splines 72, for crimping of the locking axle on the fork 54. To that end, the wall 56 bears, on a face turned opposite the arms 44 and 46, a collar 74 that surrounds the end part 68. The crimping is done by folding the collar 74 against the splines 72, such that the collar 74 is deformed and hugs the shape of the splines 72. Alternatively, the splines can be replaced by a thread. In this case, the crimping is done by a screwing torque on the thread or by forced engagement of the collar on the thread. The locking axle 48 bears a hammer 76 at its axial end opposite the segment 68. The hammer 76 includes two wings 78, diametrically opposite around the axis X, and extending radially from the locking axle 48. Each wing 78 includes a mortise 80. The first and second arms 44 and 46 each have, at their free end 82 opposite the wall 56, a tenon 84, provided to cooperate with the mortise 80, as described below. The locking axle 48 is rotatable around the axis X relative to the first and second arms 44 and 46, between a locking position, in which the segments 42 are each pinched between one of the bearing surfaces 50 of the first or second arms 44 or 46, and a released position, in which the segments 42 are free relative to the first and second arms 44, 46. The released position is shown in FIG. 3, and the locked position is shown in FIG. 4. In the released position, the second surfaces 66 are turned toward the large faces 60 of the first and second arms 44 and 46. The wings 78 are oriented parallel to the large faces 60. The apertures 63 are therefore not closed off at their ends opposite the wall 56. The mortises 80 are not engaged with the tenons 84. It is therefore possible to insert the segments 42 in the apertures 63 through the openings of those apertures. In the locking position, the first surfaces 64 are turned toward the large faces 60 of the first and second arms 44 and 46. The wings 78 are oriented perpendicular to the large faces 60. The wings 78 close off the apertures 63 at the ends opposite the wall 56. The bearing surfaces 50 are oriented substantially parallel to the segments 42. Each segment 42 bears on one side against the bottom of a bearing surface, over the entire width of the bearing surface. It bears on the opposite side against the large face 60 of the first or second arms 44, 46, over the entire width of the large face. Each segment 42 is thus pinched in a pinching direction substantially perpendicular to the pivot axis X, between the bearing surface 50 and the large face 60. The mortises 80 are engaged with the tenons 84. The tenons and mortises cooperate to prevent the first and second arms from moving away from the locking axle 48 in the pinching direction. The maintenance method for pipes locked in position by a locking device 40 of the type described above will now be outlined. Consider a starting situation in which the locking axle 48 is in the locking position, and is crimped on the fork 54. The collar 74 is first eliminated, so as to interrupt the crimping and release the locking axle 48. It is for example cut by milling. In the event the splines are replaced by a thread, the collar is then crimped by exerting an unscrewing torque. It can be reused for several crimping/uncrimping operations on the thread. The method next comprises the following steps: placing the locking axle 48 of the locking device 40 in the released position; removing the locking device 40; performing the maintenance on the defective pipe; engaging the segments 42 of the pipe between the locking axle 48 of the first and second arms 46, 48 of a new locking device 40; placing the locking axle 48 of the new locking device 40 in the locking position. The locking axle 48 is moved toward its released position using a tool such as a key (not shown), engaged in the cavity 70. The key is engaged and turned by an operator. Then, the locking device 40 is pulled axially, so as to remove the segments 42 from the apertures 63. It is next possible to replace or repair the defective pipe. A new locking device 40 is next placed. Its locking axle is initially in the released position. It is moved axially so as to cause the segments 42 to penetrate the apertures 63. The locking axle 48 is then moved toward its locking position using a tool such as a key (not shown), engaged in the cavity 70. The key is engaged and turned by an operator. The segments 42 are then pinched and are locked in position relative to one another. Another embodiment of the invention will now be described, in reference to FIG. 5. Only the differences between the embodiment of FIG. 5 and that of FIGS. 3 and 4 will be outlined below. Identical elements or elements performing the same function will be designated using the same references. In FIG. 5, the locking axle 48 includes a deformable sleeve 90 and a sliding lever 92 engaged in said sleeve 90. The sleeve 90 is hollow, and extends along the axis X. The bearing surfaces 50 are formed on the sleeve 90. The sleeve 90 is rigidly fixed to the arms 44 and 46. The lever 92 also extends along the axis X, and is movable axially relative to the sleeve 90 and the arms 44, 46 between a first position, in which the bearing surfaces 50 are retracted, and a second position, in which the bearing surfaces 50 are protruding. The retracted positions of the bearing surfaces 50 are shown in solid lines in FIG. 5, and the protruding positions are shown in broken lines. In their retracted positions, the bearing surfaces 50 are relatively further from the arms 44 and 46. In their protruding positions, the bearing surfaces 50 are relatively closer to the arms 44 and 46. For example, the sleeve 90 has, in the retracted position of the bearing surfaces 50, a tubular shape, with a circular, square or rectangular base. In the protruding position, the bearing surfaces 50 protrude relative to said tubular shape, toward the arms 44 and 46. The bearing surfaces go from their retracted position to their protruding position by deformation of the sleeve 90, under the effect of the movement of the lever 92. The deformation is an elastic deformation. The locking axle 48 is therefore in its locking position when the bearing surfaces 50 are in their protruding positions, said bearing surfaces 50 then pinching the segments 42 of the tubes against the arms 44 and 46. The locking axle 48 is in its released position when the bearing surfaces 50 are in their retracted positions, the tubes then being free relative to the arms 44 and 46. |
|
summary | ||
claims | 1. A nuclear power plant steel detecting device, comprising:a gas mixing tube;a hydrogen filling barrel;an autoclave;an electrochemical corrosion potential (ECP) analyzer; andan electrochemical alternative-current (AC) impedance analyzer;said gas mixing tube having a plurality of gas cylinders and a plurality of fine-tuning control valves,said gas mixing tube having a gas inlet tube between said gas mixing tube and said hydrogen filling barrel to provide gas to said hydrogen filling barrel through said gas inlet tube,said plurality of gas cylinders comprising a hydrogen gas cylinder, an oxygen gas cylinder, and a nitrogen gas cylinder,each of said gas cylinders having a corresponding one of said fine-tuning control valves at an end to control gas amount flown through and connected with said gas inlet tube through the corresponding one of said fine-tuning control valves,said hydrogen filling barrel obtaining a hydrogen water solution,said hydrogen filling barrel having an enclosed space obtained therewithin,said hydrogen filling barrel having a depressurizing valve group on a top end of the barrel connected with said enclosed space,said depressurizing valve group comprising a first depressurizing valve, a second depressurizing valve, and a third depressurizing valve,said first depressurizing valve, said second depressurizing valve, and said third depressurizing valve being respectively connected with said gas inlet tube, a first air-releasing tube, and a second air-releasing tube,said hydrogen filling barrel having a water level monitor meter,said water level monitor meter having an upper valve and a lower valve,said upper valve and said lower valve being separately connected with said enclosed space,said hydrogen filling barrel having an outlet valve at an end connected with said enclosed space,said outlet valve being connected with a gas-liquid inlet tube to drain said hydrogen water solution in said enclosed space through said outlet valve and to provide said hydrogen water solution to said autoclave through said gas-liquid inlet tube,said autoclave simulating an environment of nuclear power plant water chemistry,said autoclave being sealed by a top cover having an insulated joint,said autoclave having a containing space therewithin,said containing space being able to be enclosed,said containing space being connected with said gas-liquid inlet tube and said second air-releasing tube,said autoclave having wires therewithin spot-welded with to-be-detected steel materials,said wires penetrating through said insulated joint to reach out of said top cover of said autoclave and connected with said ECP analyzer and said electrochemical AC impedance analyzer,reactants in said autoclave being cycled and drained out to said hydrogen filling barrel through said second air-releasing tube,said ECP analyzer having said to-be-detected steel materials as working electrodes to obtain ECPs of said to-be-detected steel materials,said electrochemical AC impedance analyzer providing a plurality of AC signals having different frequencies to obtain characteristics of impedances of said to-be-detected steel materials to obtain coating conditions of said to-be-detected steel materials under said environment of nuclear power plant water chemistry to obtain safety of coated films of said to-be-detected steel materials,wherein said nuclear power plant steel detecting device obtains a detecting circuit with the above comprised components to simulate a boiling water reactor (BWR) under hydrogen water chemistry (HWC) to obtain effect of preventing intergranular stress corrosion cracking (IGSCC) of said BWR by coating internal components of said BWR with precious metal film. 2. The nuclear power plant steel detecting device according to claim 1, wherein said hydrogen filling barrel is made of SS316 stainless steel welded with an end plate. 3. The nuclear power plant steel detecting device according to claim 1, wherein said gas inlet tube has a switching valve to control switching on and off of channel. 4. The nuclear power plant steel detecting device according to claim 1,wherein said nitrogen gas cylinder transfers nitrogen to said hydrogen filling barrel with fine tuning to blow out dissolved oxygen; andwherein hydrogen, oxygen and nitrogen are added into water by using said plurality of gas cylinders to obtain a concentration of dissolved oxygen and a concentration of dissolved hydrogen in water to simulate said environment of nuclear power plant water chemistry. 5. The nuclear power plant steel detecting device according to claim 1, wherein said wires are stainless wires tightly covered with a shrinkable insulated tube through high-temperature heating. 6. The nuclear power plant steel detecting device according to claim 1, wherein said ECP analyzer processes a detection through a two-electrode method. 7. The nuclear power plant steel detecting device according to claim 1, wherein said ECP analyzer uses a potentiometer to detect potentials on a surface of each of said to-be-detected steel materials through two reversible half-cell reactions to obtain a mixed potential to obtain a surface ECP value of each of said to-be-detected steel materials. 8. The nuclear power plant steel detecting device according to claim 1,wherein a positive electrode of said ECP analyzer is connected with one of said to-be-detected steel materials as a working electrode;wherein a negative electrode of said ECP analyzer is connected with a reference electrode;wherein a high-impedance potential meter and a multi-channel scanner contained within said ECP analyzer are connected to said wires; andwherein said ECP analyzer automatically detects an ECP value of said one of said to-be-detected steel materials and records potential changes of said one of said to-be-detected steel materials to obtain a potential value of said one of said to-be-detected steel materials. 9. The nuclear power plant steel detecting device according to claim 1, further comprising:a control device connected with said autoclave to detect temperature and pressure of said detecting circuit to provide safety,said control device comprising a temperature controller and a pressure detector. 10. The nuclear power plant steel detecting device according to claim 9,wherein said temperature controller automatically switches off a heating power supply at a first alarm setting of 300° C.; andwherein said detecting circuit is automatically turned off at a second alarm setting of 310° C. 11. The nuclear power plant steel detecting device according to claim 9,wherein said pressure detector automatically switches off said detecting circuit and opens one depressurizing valve to release vapor at a third alarm setting of 1250 Psi; andwherein said pressure detector automatically opens two depressurizing valve to release vapor at a fourth alarm setting of 1300 Psi. 12. The nuclear power plant steel detecting device according to claim 1, further comprising a hydrogen explosion-proof barrel connected with said first air-releasing tube and detecting concentration of leaked hydrogen in said detecting circuit and automatically alarming to prevent explosion when said concentration of leaked hydrogen is bigger than a preset value. 13. The nuclear power plant steel detecting device according to claim 12,wherein said hydrogen explosion-proof barrel is filled with water to a ¾ level; andwherein an end of said first air-releasing tube is connected with a one-way outlet valve and inserted into said water to safely release extra gas out of water surface through a seal principle. 14. The nuclear power plant steel detecting device according to claim 1, wherein said environment of nuclear power plant water chemistry in said autoclave has a pressure of 1050 Psi and a temperature of 288° C. 15. The nuclear power plant steel detecting device according to claim 1, wherein water in said autoclave has a dissolved oxygen amount between 200 and 400 ppb, a dissolved hydrogen amount between 0.1 and 2 ppm, an electrical conductivity smaller than 0.1 μS/cm and a pH value between 6.5 and 7.3. 16. The nuclear power plant steel detecting device according to claim 1, wherein said to-be-detected steel materials are made of stainless steel. 17. The nuclear power plant steel detecting device according to claim 1, wherein said ECPs of said to-be-detected steel materials are controlled to be not bigger than −0.23V on comparing to a voltage of a standard hydrogen electrode. 18. The nuclear power plant steel detecting device according to claim 1, wherein said to-be-detected steel materials comprise an uncoated steel, a Pd-coated steel, a Pt-coated steel, and an Rh-coated steel. 19. A nuclear power plant steel detecting device, comprising:a gas mixing tube;a hydrogen filling barrel;an autoclave;an electrochemical corrosion potential (ECP) analyzer; andan electrochemical alternative-current (AC) impedance analyzer;said gas mixing tube having a plurality of gas cylinders and a plurality of fine-tuning control valves,said gas mixing tube having a gas inlet tube between said gas mixing tube and said hydrogen filling barrel to provide gas to said hydrogen filling barrel through said gas inlet tube,said plurality of gas cylinders comprising a hydrogen gas cylinder, an oxygen gas cylinder, and a nitrogen gas cylinder,each of said gas cylinders having a corresponding one of said fine-tuning control valves at an end to control gas amount flown through and connected with said gas inlet tube through the corresponding one of said fine-tuning control valves,said hydrogen filling barrel obtaining a hydrogen water solution,said hydrogen filling barrel having an enclosed space obtained therewithin,said hydrogen filling barrel having a depressurizing valve group on a top end of the barrel connected with said enclosed space,said depressurizing valve group comprising a first depressurizing valve, a second depressurizing valve, and a third depressurizing valve,said first depressurizing valve, said second depressurizing valve, and said third depressurizing valve being respectively connected with said gas inlet tube, a first air-releasing tube, and a second air-releasing tube,said hydrogen filling barrel having a water level monitor meter,said water level monitor meter having an upper valve and a lower valve,said upper valve and said lower valve being separately connected with said enclosed space,said hydrogen filling barrel having an outlet valve at an end connected with said enclosed space,said outlet valve being connected with a gas-liquid inlet tube to drain said hydrogen water solution in said enclosed space through said outlet valve and to provide said hydrogen water solution to said autoclave through said gas-liquid inlet tube,said autoclave simulating an environment of nuclear power plant water chemistry,said autoclave being sealed by a top cover having an insulated joint,said autoclave having a containing space therewithin,said containing space being able to be enclosed,said containing space being connected with said gas-liquid inlet tube and said second air-releasing tube,said autoclave having wires therewithin spot-welded with to-be-detected steel materials,said wires penetrating through said insulated joint to reach out of said top cover of said autoclave and connected with said ECP analyzer and said electrochemical AC impedance analyzer,said environment of nuclear power plant water chemistry in said autoclave having a pressure of 1050 Psi and a temperature of 288° C.,water in said autoclave having a dissolved oxygen amount between 200 and 400 ppb, a dissolved hydrogen amount between 0.1 and 2 ppm, an electrical conductivity smaller than 0.1 μS/cm and a pH value between 6.5 and 7.3,reactants in said autoclave being cycled and drained out to said hydrogen filling barrel through said second air-releasing tube,said ECP analyzer having said to-be-detected steel materials as working electrodes to obtain ECPs of said to-be-detected steel materials,said to-be-detected steel materials being made of stainless steel,said ECPs of said to-be-detected steel materials being controlled to be not bigger than −0.23V on comparing to a voltage of a standard hydrogen electrode,said to-be-detected steel materials comprising an uncoated steel, a Pd-coated steel, a Pt-coated steel and an Rh-coated steel,said electrochemical AC impedance analyzer providing a plurality of AC signals having different frequencies to obtain characteristics of impedances of said to-be-detected steel materials to obtain coating conditions of said to-be-detected steel materials under said environment of nuclear power plant water chemistry to obtain safety of coated films of said to-be-detected steel materials,wherein said nuclear power plant steel detecting device obtains a detecting circuit with the above comprised components to simulate a boiling water reactor (BWR) under hydrogen water chemistry (HWC) to obtain effect of preventing intergranular stress corrosion cracking (IGSCC) of said BWR by coating internal components of said BWR with precious metal film. 20. The nuclear power plant steel detecting device according to claim 19, further comprising:a control device connected with said autoclave to detect temperature and pressure of said detecting circuit to provide safety, said control device comprising a temperature controller and a pressure detector;wherein said temperature controller automatically switches off a heating power supply at a first alarm setting of 300° C.;wherein said detecting circuit is automatically turned off at a second alarm setting of 310° C.wherein said pressure detector automatically switches off said detecting circuit and opens one depressurizing valve to release vapor at a third alarm setting of 1250 Psi; andwherein said pressure detector automatically opens two depressurizing valve to release vapor at a fourth alarm setting of 1300 Psi. |
|
summary | ||
claims | 1. A control rod operation monitoring method of monitoring a plurality of control rods inserted into a core,wherein a plurality of neutron detector assemblies, each including a plurality of neutron detectors arranged in an axial direction of the core, are disposed adjacent to each of the control rods, including insertion selection control rods selected from the plurality of control rods for insertion into the core,wherein first neutron detectors, of the plurality of neutron detectors, are respectively arranged at first positions in each said neutron detector assembly and a plurality of second detectors, of the plurality of neutron detectors, are respectively arranged at second positions in each said neutron detector assembly,wherein each said first position in each said neutron detector assembly is closest to a control rod insertion end of the core, andwherein each said second position in each said neutron detector assembly is different in the axial position from the first position, the method comprising:for each one of the plurality of insertion selection control rods, calculating a first average neutron flux of neutron fluxes measured from each of said first neutron detectors in each of said neutron detector assemblies disposed adjacent to the one insertion selection control rod;for each one of the plurality of insertion selection control rods, calculating a second average neutron flux of neutron fluxes measured from each of said second neutron detectors in each of said neutron detector assemblies disposed adjacent to the one insertion selection control rod;for each one of the plurality of insertion selection control rods, calculating a first neutron flux ratio, each said first neutron flux ratio being a ratio of the second average neutron flux with respect to said first average neutron flux; andupon determining the first neutron flux ratio of one of the insertion selection control rods exceeds a predetermined neutron flux ratio, generating a rod insertion block signal for the one insertion selection control rod. 2. The control rod operation monitoring method according to claim 1, comprising: averaging neutron fluxes measured by the neutron detectors located at the first positions of each of all the neutron detector assemblies arranged in the core; averaging neutron fluxes measured by the neutron detector located at the second positions of each of all the neutron detector assemblies; calculating a second neutron flux ratio which is a ratio of an average of the neutron fluxes at the second positions of all the neutron detector assemblies with respect to an average of the neutron fluxes at the first positions of all the neutron detector assemblies; and generating rod insertion block signals for all control rods including the ones of the insertion selection control rods in the core when the second neutron flux ratio exceeds a set neutron flux ratio. 3. The control rod operation monitoring method according to claim 2, wherein all the control rods including the insertion selection ones of the control rods are blocked from being inserted into the core by the rod insertion block signal when the second neutron flux ratio exceeds the set neutron flux ratio. 4. The control rod operation monitoring method according to claim 1, wherein the one insertion selection control rod is blocked from being inserted into the core by the rod insertion block signal. 5. The control rod operation monitoring method according to claim 4, wherein after the one insertion selection control rod is blocked from being inserted into the core, all the control rods are urgently inserted into the core. 6. The control rod operation monitoring method according to claim 1, wherein all the control rods are urgently inserted into the core by the rod insertion block signal. |
|
060552956 | claims | 1. A method for automatically setting a collimator of an x-ray imaging system during image acquisition, comprising the steps of: (a) receiving scout images at an imaging station; (b) automatically detecting the location of body regions in one of said images by dividing said image into regions based on negative curvature extrema of multi-directional pixel intensity line profiles obtained from said image; and (c) automatically generating settings for said collimator based on the detected location of said body regions, said settings for adjusting said collimator automatically to substantially cover non-body regions. determining global features corresponding to each of said regions; and classifying each of said regions as one of said body and non-body regions using said global features. obtaining multi-directional pixel intensity line profiles from said image; detecting negative curvature local extrema from said pixel intensity line profiles; combining said negative curvature extrema to produce a negative curvature image; and dividing said negative curvature image into a plurality of regions. intensifying well aligned curvature pixels in said negative curvatures of pixel intensity line profiles; determining an adaptive threshold value to identify pixels which represent noise in said negative curvatures of pixel intensity line profiles; and removing pixels from said negative curvatures of pixel intensity line profiles which have been identified as noise. determining features along lines in chosen directions; propagating features within each of said regions; and propagating features across said regions. providing a hierarchical decision tree constructed from a collection of training pixels, each of said pixels having said features and labeled as one of said body region and said non-body region; and classifying each pixel according to said feature values, as one of said body regions and said non-body regions using said decision tree. receiving scout images at all of said imaging stations and repeat steps (b)-(c) for a selected one of said images at each of said imaging stations; saving said settings obtained at said stations; and adjusting said collimator at each of said stations according to said corresponding saved setting during the diagnostic image acquisition. (a) receiving scout images at an imaging station; (b) dividing one of said images into regions based on negative curvature extrema of multi-directional pixel intensity line profiles obtained from said one image, (c) determining global features corresponding to each of said regions; and (d) classifying each of said regions as one of a body region and non-body region using said global features. obtaining multi-directional pixel intensity line profiles from said image; detecting negative curvature local extrema from said pixel intensity line profiles; combining said negative curvature extrema to produce a negative curvature image; and dividing said negative curvature image into a plurality of regions. intensifying aligned curvature pixels in said negative curvatures of pixel intensity line profiles; determining an adaptive threshold value to identify pixels which represent noise in said negative curvatures of pixel intensity line profiles; and removing pixels from said negative curvatures of pixel intensity line profiles which have been identified as noise. determining features along lines in chosen directions; propagating features within each of said regions; and propagating features across said regions. providing a hierarchical decision tree constructed from a collection of training pixels, each of said pixels having said features and labeled as one of said body region and said non-body region; and classifying each of said pixels according to said features, as one of said body regions and said non-body regions using said decision tree. an x-ray source for producing x-ray radiation; an adjustable collimator for substantially preventing x-ray radiation exposure of non-body regions while substantially allowing x-ray radiation exposure of body regions; an image intensifier for receiving the x-ray radiation after it passes through an area of interest and converting the received x-ray radiation into an x-ray image which can be recorded; recording media for recording said x-ray image; and automatic collimation means for automatically adjusting said collimator during image acquisition, said automatic collimation means including: region boundary estimation means for dividing said image into regions based on negative curvature extrema of multi-directional pixel intensity line profiles obtained from said image; feature computation means for determining global features corresponding to each of said regions; classifying means for assigning each of said regions as one of said body and non-body regions using said global features; and means for generating settings for said collimator based on said classification to substantially cover said non-body regions. means for detecting negative curvature local extrema from said pixel intensity line profiles; means for combining said negative curvature pixel extrema to produce a negative curvature image; and means for dividing said negative curvature image into a plurality of regions. means for constructing a hierarchical decision tree from a collection of training pixels, each of said pixels having said features and labeled as one of said body region and said non-body region; and means for classifying said pixels according to its feature values, as one of said body regions and said non-body regions using said decision tree. 2. The method according to claim 1, wherein the step of automatically detecting includes the steps of: 3. The method according to claim 2, wherein said step of dividing includes the steps of; 4. The method according to claim 3, wherein said step of dividing further includes the step of removing noise from said negative curvature extrema pixels prior to said step of combining. 5. The method according to claim 2, wherein said step of detecting includes the step of removing noise from said negative curvatures of pixel intensity profiles obtained from said image. 6. The method according to claim 5, wherein said step of removing noise includes the steps of: 7. The method according to claim 2, wherein said features include minimum intensity variations per pixel along chosen directions inside each of said regions, median intensity in each of said regions, and the serial number of said imaging station. 8. The method according to claim 2, wherein said step of determining includes the steps of: 9. The method according to claim 2, wherein said step of classifying includes the steps of: 10. The method according to claim 2, wherein said step of classifying includes the step of removing noise from said classified regions prior to said step of generating. 11. The method according to claim 1, wherein said imaging station is one of a plurality of imaging stations and further comprising the steps of: 12. A method for automatically segmenting an x-ray image into body regions and non-body regions, comprising the steps of: 13. The method according to claim 12, wherein said step of dividing includes the steps of; 14. The method according to claim 13, wherein said step of dividing further includes the step of removing noise from said negative curvature extrema pixels prior to said step of combining. 15. The method according to claim 13, wherein said step of determining includes the step of removing noise from said negative curvatures of pixel intensity profiles obtained from said image. 16. The method according to claim 15, wherein said step of removing noise includes the steps of: 17. The method according to claim 12, wherein said features include minimum intensity variations per pixel along chosen directions inside each of said regions, median intensity in each of said regions, and the serial number of said imaging station. 18. The method according to claim 12, wherein said step of determining includes the steps of: 19. The method according to claim 12, wherein said step of classifying includes the steps of: 20. The method according to claim 12, wherein said step of classifying includes the step of removing noise from said classified regions prior to said step of generating. 21. An x-ray imaging system used for x-ray peripheral imaging, comprising: 22. The system according to claim 21, wherein said region boundary estimation means includes means for obtaining multi-directional pixel intensity line profiles from said image; 23. The system according to claim 22, wherein said region boundary estimation means further includes means for removing noise from said negative curvature local extrema pixels prior to combining said negative curvature local extrema. 24. The system according to claim 22, wherein said negative curvature local extrema detection means includes means for removing noise from said negative curvatures of pixel intensity profiles obtained from said image. 25. The system according to claim 24, wherein said noise removing means intensifies well aligned curvature pixels in said negative curvature of pixel intensity line profile, determines an adaptive threshold value to identify pixels which represent noise in said negative curvatures of pixel intensity line profiles, and removes pixels from said negative curvatures of pixel intensity line profiles which have been identified as noise. 26. The system according to claim 21, wherein said features include minimum intensity variations per pixel along chosen directions inside each of said regions, median intensity in each of said regions, and the serial number of said imaging station. 27. The system according to claim 21, wherein said feature computation means includes means for determining features along lines in chosen directions, means for propagating features within each of said regions, and means for propagating features across said regions. 28. The system according to claim 21, wherein said classification means includes: 29. The system according to claim 21, wherein said automatic collimation means further comprises means for removing noise from said classified regions. 30. The system according to claim 21, wherein said means for generating settings takes into account the constraints of the collimator in generating said settings. |
summary | ||
claims | 1. A tomography apparatus comprising:a filter configured to adjust distribution of a radiation dose;a radiation detection unit configured to detect a radiation dose transmitted through the filter and through an object,wherein the filter comprises:a plate filter including plate-shaped materials; anda slope filter having two materials each having a slope surface, and disposed, at a predetermined interval, symmetrically with respect to a straight line connecting a focal point of a radiation source and the radiation detection unit, andwherein the shape of the filter is obtained by combining the plate filter and the slope filter. 2. A tomography apparatus according to claim 1, further comprising:an acquisition unit configured to acquire information about the object; anda control unit configured to control the distribution of the transmitted radiation dose according to the information about the object acquired by the acquisition unit. 3. The tomography apparatus according to claim 2, wherein the control unit controls the distribution of the transmitted radiation dose by selecting one filter from a plurality of filters. 4. The tomography apparatus according to claim 2, further comprising:a holder configured to hold the object,wherein,the acquisition unit acquires information about the holder andthe control unit controls the distribution of the transmitted radiation dose according to the information about the holder acquired by the acquisition unit. 5. The tomography apparatus according to claim 4, wherein the control unit controls the distribution of the transmitted radiation dose by determining a combination of a plurality of materials absorbing radiation according to the information about the holder and changing the shape of the filter. 6. The tomography apparatus according to claim 4, wherein the holder holds a breast as the object in a predetermined shape,the acquisition unit acquires information about a shape of the holder, andthe control unit controls the distribution of the transmitted radiation dose according to the information about the shape of the holder. 7. The tomography apparatus according to claim 6, wherein, the holder comprises:an insertion hole configured to receive the breast;an insertion unit configured to cover the breast; anda suction unit connected to the holder, the suction unit configured to suck air in the insertion unit to hold the breast on an inner peripheral surface of the insertion unit. 8. The tomography apparatus according to claim 7, further comprising:a rotation unit configured to rotationally move the radiation source, the filter, and the radiation detection unit along an outer periphery of the holder; anda fixing unit configured to fix the holder,wherein the fixing unit fixes the holder to align an axis perpendicular to a center point of a plane having the insertion hole of the holder with a rotation axis of the rotational movement. 9. The tomography apparatus according to claim 7, wherein the breast sucked by the suction unit has a circular cross-sectional shape. 10. The tomography apparatus according to claim 2, wherein the control unit controls a thickness of the plate filter by combining a plurality of the plate-shaped materials according to the information about the holder. 11. The tomography apparatus according to claim 2, wherein the control unit controls the predetermined interval according to the information about the holder. 12. The tomography apparatus according to claim 2, wherein the slope filter, according to the information about the holder, absorbs radiation and forms the slope surface having a predetermined angle by combining the plurality of materials each having a slope surface. 13. The tomography apparatus according to claim 2, wherein the control unit controls the distribution of the transmitted radiation dose to a uniform distribution of radiation detected by the radiation detection unit. |
|
060875461 | description | DESCRIPTION OF THE PREFERRED EMBODIMENT Referring to the drawings, FIG. 1 discloses a nuclear reactor in section showing a cup-shaped reactor vessel 10 and a closure head 12, both filled with low-density cellular concrete 17. The reactor closure head is attached to the reactor vessel by a plurality of threaded studs and nuts 11 and 13 respectively. The reactor vessel contains internal steel components 15 which were used during operation of the reactor primarily to direct the flow of water through the reactor, to support the nuclear fuel, and to guide the control rods as they are removed and reinserted into the fuel region of the reactor. (This invention relates to the reactor shell, reactor closure head, and internal components and does not relate to the nuclear fuel, which is assumed to be removed and stored or shipped to a U.S. government designated location.) Instrumentation and control devices 19 and 21, used during the operation of the reactor, enter the reactor vessel through small penetrations in the top and bottom of the reactor. Reactor coolant piping 18 is welded to the reactor coolant nozzles 14 for operation of the reactor. The instrumentation and control devices and reactor coolant piping have been removed and the reactor vessel has been filled with low-density cellular concrete prior to reactor decommissioning as shown in FIG. 1. The reactor vessel is formed with an enlargement about its annular lip 10A. The lip 10A is formed with vertical threaded stud or bolt holes 13A. In FIG. 2, the reactor vessel 10 and reactor closure head 12 are shown after decommissioning preparatory steps have been completed. A plurality of reactor-closure-head studs 11 formerly in the threaded bolt holes 13A have been replaced with extended-length lifting studs 16. A lifting device 20 and 22 has been connected to the extended-length lifting studs 16. In FIG. 3 the reactor vessel is lifted to a position exposing the reactor coolant nozzle 14 through a notch 50 in a special temporary support ring 27 resting on plant floor 28 above the existing floor. The reactor coolant nozzles 14 are then cut close to the reactor vessel shell to allow the reactor vessel to be lifted into the transportation container shell 24. The steel support ring 27 provides shielding for the workers during nozzle-cutting equipment setup and operation. The steel support ring 27 also provides support for the container shell 24 and reactor vessel 10 and reactor closure head 12. After cutting the reactor coolant nozzles, the lifting process is continued. In FIG. 4 the steel transportation container shell 24 is shown provided with a supplemental steel shielding 26. The reactor vessel 10 and reactor closure head 12 are shown partially lifted into the container shell 24. The container shell is shown provided with inward reactor support lugs 34. In FIG. 5 the nuclear reactor 10, 12 is raised into position inside the transportation package cylinder shell 24. The container is positioned such that the lifting apparatus including the bar 20 and secondary studs 16 do not interfere with the lugs 34. In FIG. 6 the reactor vessel is bolted to the reactor support lugs 34 by securing the final holding studs 44 through the lugs 34 and into bolt holes 13A in the vessel from which the bolts have been removed. Nuts 13 are placed on the studs and tightened. Lifting of the partially complete transportation package defined by the vessel 10, 12 and container shell 24 can be accomplished using the package lifting trunnions 30 which are mounted on the outside of the container shell 24. In FIG. 7 the reactor inward support lugs 34 and package lifting trunnions 30 are shown in plan view, attached to the transportation container shell 24. A clearance space 33 is shown between the vessel 10, 12 and the container shell 24. In FIG. 8 the reactor vessel is shown in vertical sectional view within the transportation container 24. The transportation container upper cover plate 40 or top wall and transportation package lower cover plate or bottom wall 42 are installed on the shell 24 by welding. The clearance space 33 between the reactor vessel and the transportation container 24, 40 and 42 is filled with concrete 43. This stabilizes the reactor vessel within the package transferring the inertia loads of the reactor vessel to the container. This provides additional shielding against ionizing radiation. FIG. 9 provides a sectional view of the welded connection between the package wall 24 and the upper and lower cover plates 40 and 42. The invention is thus a transportation package A (FIG. 8) which completely envelopes a nuclear reactor vessel and head 10, 12 with internal components intact. The invention includes the method of placing the nuclear reactor vessel inside the transportation shell to minimize the exposure of workers to ionizing radiation since the reactor vessel is completely enclosed in the container. It also minimizes the overhead clearance requirements for rigging and lifting the reactor vessel into the package. No part of the reactor vessel is used as a part of the containment boundary of the package. No welding of attachments is performed on the reactor vessel for the purpose of creating the package containment boundary. Variations in the invention are possible. Thus, while the invention has been shown in only one embodiment, it is not so limited but is of a scope defined by the following claim language which may be broadened by an extension of the right to exclude others from making, using or selling the invention as is appropriate under the doctrine of equivalents. |
053012143 | claims | 1. An assembling apparatus for a fuel assembly comprising a plurality of grids of a latticed structure, aligned about a central axis of said apparatus and containing a plurality of grid cells into which fuel rods and control rod guide pipes are inserted, wherein said plurality of grid cells consist essentially of: a plurality of pipe-cells disposed in predetermined locations in said grids for inserting control rod pipes passing through said grids; a plurality of fuel-rod-cells disposed in the remaining locations of said grids for holding a plurality of fuel rods passing through said grids; said apparatus comprising, in the order from an entry-side end of said apparatus; a plurality of pull-in rods provided with gripping means attached to the tip end thereof for gripping and loading said fuel rods in said fuel assembly, wherein: (e) said pull-in loader is provided with: (f) said pull-in loader comprising: 2. An apparatus for assembly a fuel assembly as claimed in claim 1, wherein said support frames are disposed on a top surface of a rotating base extending longitudinally in the fuel rod direction, wherein said rotating base rotates said top surface of said rotating base reversibly from a horizontal position to a vertical position. 3. An apparatus for assembling a fuel assembly as claimed in claim 1, wherein said pull-in loader further comprises a transfer base adapted to transfer along said extended base in said longitudinal direction. |
052971740 | claims | 1. A method of protecting a pressurized water reactor having a reactor core with fuel assemblies through which a reactor coolant is circulated from a cold leg to a hot leg, said method comprising the steps of: providing safety system grade core exit thermocouples at selected fuel assemblies across said reactor core; automatically measuring core exit temperatures at the selected fuel assemblies at which said safety system grade core exit thermocouples are located; automatically measuring average core inlet and outlet temperatures with safety system grade temperature sensors at said cold leg and hot leg; automatically analyzing with a safety system grade analyzer said pattern of exit temperatures and said average core inlet and outlet temperatures to identify a dropped control rod; and automatically blocking with safety system grade means withdrawal of control rods from said reactor core when a dropped rod is identified. automatically calculating a relative power deviation for each fuel assembly from said core exit temperatures, and from said average core inlet and outlet temperatures; automatically calculating curvature indices for said fuel assemblies from said relative power deviations; and automatically recognizing a dropped control rod from said curvature indices. .DELTA.T=Temperature rise in full assembly .DELTA.T.sub.O =Temperature rise in full assembly at reference condition .DELTA.T.sub.Avg =Temperature rise across reactor vessel .DELTA.T.sub.O Avg =Temperature rise across reactor vessel at reference condition. 2. The method of claim 1 wherein said step of analyzing comprises: 3. The method of claim 2 further including automatically recognizing a malfunctioning thermocouple from said curvature indices. 4. The method of claim 3 including automatically adjusting said calculating a relative power deviation for each assembly for a malfunctioning thermocouple. 5. The method of claim 2 where said calculating a relative power deviation for each fuel assembly comprises applying the relationship: ##EQU2## where: (L,M)=Thermocouple location 6. The method of claim 5 where said calculating curvature indices comprises applying the relationship: EQU CI(i,1j)=4*RD(i,j)-[RD(i-1,j)+RD(i+1,j)+RD(i,j-1)+RD(i,j+1)]. 7. The method of claim 5 including periodically updating said temperature rise in fuel assembly and temperature rise across said core at reference conditions. 8. The method of claim 7 wherein said periodically updating comprises use of core maps generated by an incore detector system. 9. The method of claim 8 wherein said periodically updating comprises periodically analytically determining use of core maps generated by an incore detector system. 10. The method of claim 7 wherein said periodically updating comprises tracking a trend in control rod movement from said core exit temperatures and average core inlet and outlet temperatures, comparing said trend in control rod movement with an independently determined control rod position, and when said trend in control rod movement is substantially confirmed by said independently determined control rod position, updating said temperature rise in fuel assembly at reference condition and said temperature rise across reactor vessel at reference condition to current values of said temperature rise in fuel assembly and temperature rise across reactor vessel. |
claims | 1. A portable integrated chiller's performance tester, comprising two flowrate sensors, four temperature sensors, one current sensor, one voltage sensor, all sensors being externally connectable, and an enclosure in which a thermal energy calculation IC board, an electrical power calculation IC board, and a programmable logic controller are arranged, the enclosure having a front side to which a man-machine interface panel that displays measurement readings and provides function-related operations is mounted, the enclosure having a back side to which a socket-carrying panel that is connectable to cables of the sensors is mounted, wherein signals indicating the readings of the sensors are transmitted to the thermal energy calculation IC board and the electrical power calculation IC board and are further transmitted to the programmable logic controller in which software is executed to compile and integrate these signals to be further transmitted to a notebook computer. |
|
description | This application is a divisional of U.S. patent application Ser. No. 12/723,370 filed on Mar. 12, 2010, which claims the benefit of U.S. Provisional Application No. 61/209,954, filed on Mar. 12, 2009. The disclosures of the above applications are incorporated herein by reference in their entirety. The present teachings relate to high energy-density radioisotope micro power sources, such as micro size batteries, for use in micro electro mechanical systems. The statements in this section merely provide background information related to the present disclosure and may not constitute prior art. Large, weighty batteries have been significant obstacles to realizing the full potential of various miniaturized electrical and mechanical devices developed in the recent, remarkable growth of micro/nanotechnology. Micro electro mechanical systems (MEMS) devices have been developed for use as various sensors and actuators; as biomedical devices; as wireless communication systems; and as micro chemical analysis systems. The ability to employ these systems as portable, stand-alone devices in both normal and extreme environments depends, however, upon the development of power sources compatible with the MEMS technology. In the worst case, the power source is rapidly depleted and the system requires frequent recharge for continuous, long-life operation. A significant amount of research has been devoted to the development of higher energy density, light weight power sources. For example, solar cells can be used to provide electrical power for MEMS. Micro fuel cells have also been developed for many applications and a micro combustion engine has been reported. One of the major disadvantages of using chemical-reaction-based power sources is that the power density of the fuels gets lower as the size of the systems is reduced. A second major challenge is that the performance of these systems drops significantly when they are designed to achieve longer lives. In such cases, refueling (or recharging) is not a viable option because it cannot be done easily in tiny, portable devices. And finally, the aforementioned power sources cannot be used in extreme environments because either the reaction rate is influenced by temperature, and/or there is no sunlight available for powering the device. Known radioisotope power sources were introduced in late 1950s. The concept of such direction conversion methods (alphavoltalics and betavoltaics) utilizes energy from radioactive decay. The radioisotope material emits α or β particles, which are coupled to a rectifying junction like a semiconductor p-n junction (or diode). The particles propagate to the rectifying junction and produce electron-hole pairs (EHPs). The EHPs are separated by the rectifying junction and converted into electrical energy. Known crystalline solid-state semiconductors such as silicon carbides (SiC) or silicon based semiconductors have been formerly used for low energy beta voltaic cells using the rectifying junctions. However, one of the major drawbacks to using such known solid-state betavoltaic converters is that the ionizing radiation degrades the efficiency, performance, and lifetime of the conversion device. The primary degradation mechanism is the production of charge carrier traps from lattice displacement damage over the periods of time. Similarly but more seriously, high energy alpha particles can cause severe damage to the rectifying junctions of the solid-state semiconductors. The present disclosure relates to high energy-density radioisotope micro power sources, such as micro size batteries, for use in micro electro mechanical systems. In various embodiments, the present disclosure provides a method of constructing an amorphous, i.e., not crystalline, solid-state high energy-density micro radioisotope power source device. In such embodiments, the method comprises depositing the pre-voltaic semiconductor composition, comprising a semiconductor material and a radioisotope material, into a micro chamber formed within a body of a high energy-density micro radioisotope power source device. The method additionally includes heating the body to a temperature at which the pre-voltaic semiconductor composition will liquefy within the micro chamber to provide a liquid state composite mixture. Furthermore, the method includes cooling the body and liquid state composite mixture such that liquid state composite mixture solidifies to provide a solid-state composite voltaic semiconductor, thereby providing a solid-state high energy-density micro radioisotope power source device. In various other embodiments, the present disclosure provides a method of constructing an amorphous solid-state high energy-density micro radioisotope power source device, wherein the method comprises combining at least one semiconductor material with at least one radioisotope material and at least one dopant to provide a pre-voltaic semiconductor composition. The method additionally includes depositing the pre-voltaic semiconductor composition into a micro chamber formed in a bottom portion of a high energy-density micro radioisotope power source device. The bottom portion of the high energy-density micro radioisotope power source device includes a first electrode disposed in a bottom of the micro chamber. The method further includes disposing a top portion of the high energy-density micro radioisotope power source device onto the bottom portion of the high energy-density micro radioisotope power source device, thereby covering the micro chamber and providing an assembled body of the high energy-density micro radioisotope power source device. The top portion of the high energy-density micro radioisotope power source device includes a second electrode disposed at a top of the micro chamber. Still further, the method includes heating the assembled body to a temperature at which the pre-voltaic semiconductor composition will liquefy within the micro chamber such that the at least one semiconductor material, at least one radioisotope material and at least one dopant are thoroughly and uniformly mixed to provide a liquid state composite mixture. The method still yet further includes applying a compression bonding process to the heated assembled body to form a ‘leak-proof’ seal between the top and bottom portions of the high energy-density micro radioisotope power source device. Furthermore, the method includes cooling the assembled body and liquid state composite mixture such that liquid state composite mixture solidifies to provide a solid-state composite voltaic semiconductor, and thereby providing a solid-state high energy-density micro radioisotope power source device. In yet other embodiments, the present disclosure provides a solid-state high energy-density micro radioisotope power source device. In such embodiments, the device includes a dielectric and radiation shielding body having an internal cavity formed therein. The device additionally includes a first electrode disposed a first end of the cavity, and a second electrode disposed at an opposing second end of the cavity and spaced apart from the first electrode such that a micro chamber is provided therebetween. The device further includes a solid-state composite voltaic semiconductor disposed within the micro chamber between and in contact with the first and second electrodes. The solid-state composite voltaic semiconductor fabricated by (1) combining at least one semiconductor material with at least one radioisotope material to provide a pre-voltaic semiconductor composition; (2) depositing the pre-voltaic semiconductor composition into the micro chamber; (3) heating the body to a temperature at which the pre-voltaic semiconductor composition will liquefy within the micro chamber such that the at least one semiconductor material and at least one radioisotope material are thoroughly and uniformly mixed to provide a liquid state composite mixture; and (4) cooling the body and liquid state composite mixture such that liquid state composite mixture solidifies to provide the solid-state composite voltaic semiconductor. Further areas of applicability of the present teachings will become apparent from the description provided herein. It should be understood that the description and specific examples are intended for purposes of illustration only and are not intended to limit the scope of the present teachings. Corresponding reference numerals indicate corresponding parts throughout the several views of drawings. The following description is merely exemplary in nature and is in no way intended to limit the present teachings, application, or uses. Throughout this specification, like reference numerals will be used to refer to like elements. Referring to FIGS. 1A and 1B, a high energy-density micro radioisotope power source device 10 is provided for use in micro electro mechanical systems (MEMS). As described herein, the micro radioisotope power source device 10 provides a semiconductor voltaic cell in which the radioisotope material is integrated into the semiconductor material, whereby the integrated semiconductor can absorb radioactive energy, such as alpha radiation, beta radiation, or even fission fragments, to generate electron-hole pairs (EHPs). Generally, the micro power source device 10 includes a dielectric and radiation shielding body 14 having an internal cavity 18 formed therein. Disposed at one end of the cavity 18 is an ohmic contact layer, or electrode, 22 and disposed at the opposing end of the cavity is a rectifying contact layer 26, or electrode, e.g., a Schottky contact layer. The ohmic contact layer 22 and rectifying contact layer 26 are spaced apart a selected distance, thereby defining a micro chamber 28. The internal cavity 18 can have any dimensions and volume necessary to provide the micro chamber 28 of any desired size and volume. The ohmic contact layer includes an ohmic lead 30 disposed on and/or extending from an exterior surface of the body 14. The rectifying contact layer 26 includes a rectifying lead 34 disposed on or extending from an exterior surface of the body 14. The micro power source device 10 additionally includes a solid-state composite voltaic semiconductor 38 disposed within the micro chamber 28, between and in contact with the ohmic contact layer 22 and the rectifying layer 34. The ohmic contact layer 22 can comprise any suitable electrically conductive material. For example, in various embodiments, the ohmic contact layer 22 comprises nickel. The rectifying contact layer 26 can comprise any suitable electrically conductive material, for example, in various embodiments the rectifying contact layer 26 comprises aluminum. The voltaic semiconductor 38 is a composite comprising one or more semiconductor materials integrated with one or more radioisotope materials. In various embodiments, the voltaic semiconductor 38 can further include one or more dopants, i.e., impurities or doping materials, such as phosphorus, boron, carbon, etc. The one or more dopants can be employed to control various behavioral characteristics of the micro power source device 10. In various embodiments, the voltaic semiconductor 38 can comprise the semiconductor material Selenium (Se) integrated with the radioisotope material Sulfur-35 (35S) and the dopant phosphorus. Referring now to FIGS. 2A and 2B, FIG. 2A provides a flow diagram 200 illustrating an exemplary fabrication process of the high energy-density micro radioisotope power source device 10 and FIG. 2B provides a sequence diagram of the exemplary process illustrated in FIG. 2A. In various embodiments, to fabricate the micro power generator device 10, a bottom electrode is deposited on a bottom dielectric and radiation shielding substrate 14A, e.g., a glass substrate, in a sputtering system and patterned with a standard photolithography process to provide the rectifying contact layer 26, as indicated at 202 in FIG. 2A and (i) in FIG. 2B. Alternatively, the bottom electrode could provide the ohmic contact layer 22. Then, a dielectric and radiation shielding material 14B is deposited onto the substrate 14A around the rectifying contact layer and over the Schottkey lead 34 to provide a bottom portion 28A of the micro chamber 28, as indicated at 204 in FIG. 2A and (ii) in FIG. 2B. Prior to, concurrent with, or subsequent to deposition of the rectifying contact layer 26 (or the ohmic contact layer 22, whichever is deposited first) and/or the deposition of the dielectric and radiation shielding material 14B, the semiconductor material, e.g., Se, is combined with the radioisotope material, e.g., 35S, and in various embodiments, the dopant, e.g., phosphorus, to provide a pre-voltaic semiconductor composition 38A, as indicated at 206 in FIG. 2A. The semiconductor, radioisotope and dopant materials can be provided in any form that allows the materials to be combined and disposed within the micro chamber 28, as described below. For example, in various embodiments, the semiconductor, radioisotope and dopant materials are provided in micro powder or granular form. Alternatively, one or more of the materials can be dissolved within a solvent, e.g., a high vapor pressure such as toluene (21.86 mmHg), ethanol (43.89 mmHg) or carbon-disulfide (300 mmHg) to enhance the mixing of the materials. Subsequently, the pre-voltaic semiconductor composition 38A is disposed into the bottom portion micro chamber 28, as indicated at 208 in FIG. 2A and (iii) in FIG. 2B. Next, a top electrode is deposited on a top dielectric and radiation shielding substrate 14C, e.g., a glass substrate, in a sputtering system and patterned with a standard photolithography process to provide the ohmic contact layer 22, as indicated at 210 in FIG. 2A and (iv) in FIG. 2B. Alternatively, the top electrode can provide the rectifying contact layer 26 in embodiments where the first electrode comprises the ohmic contact layer 22. Then, the top dielectric and radiation shielding substrate 14C with the ohmic contact layer 22 is placed over the bottom portion of the micro chamber 25 filled with the pre-voltaic semiconductor composition 38A, and in contact with the dielectric and radiation shielding material 14, as indicated at 212 in FIG. 2A. Next, the bottom substrate 14A, the dielectric and radiation shielding material 14B, the top substrate 14C, and pre-voltaic semiconductor composition 38A are heated to a temperature at which the pre-voltaic semiconductor composition 38A will liquefy, e.g., 275° C. for a pre-voltaic semiconductor composition including Se mixed with 35S, thereby thoroughly mixing and integrating the semiconductor material with the radioisotope material and the dopant (if employed) in a liquid state composite mixture 38B, as indicated at 214 in FIG. 2A and (v) in FIG. 2B. Hence, a very uniformly mixed liquid state composite mixture 38 is provided by heating the pre-voltaic semiconductor mixture 38A to liquid state. While the bottom substrate 14A, the dielectric and radiation shielding material 14B, the top substrate 14C, and the liquefied composite mixture 38B are being heated, a thermo compression bonding process is applied to bond the top substrate 14C to the dielectric and radiation shielding material 14B, thereby forming the body 14 (comprised of the bonded together bottom substrate 14A, dielectric and radiation shielding material 14B, and top substrate 14C), as indicated at 216 in FIG. 2A and (v) in FIG. 2B. Particularly, the thermo compression bonding process provides a ‘leak-proof’ seal between the bottom substrate 14A, the dielectric and radiation shielding material 14B, and the top substrate 14C. Alternatively, the top substrate 14C can be bonded to the dielectric and radiation shielding material 14B using any other bonding process suitable to provide a ‘leak-proof’ seal between the bottom substrate 14A, the dielectric and radiation shielding material 14B, and the top substrate 14C. For example, in various embodiments, the bonding process can include anodic bonding, eutectic bonding, fusion bonding, polymer bonding, or any other suitable bonding method. Next, the sealed body 14 and liquefied mixture are allowed to cool such that the liquefied mixture solidifies to form the solid-state voltaic semiconductor 38, thereby providing the micro radioisotope power source device 10, as indicated at 218 in FIG. 2A and (vi) in FIG. 2B. Referring now to FIGS. 3A and 3B, the mobile electron-hole pair generation in the solid-state voltaic semiconductor 38 encapsulated within the device micro chamber 28 is exemplarily illustrated in FIGS. 3A and 3B. In the solid-state voltaic semiconductor 38, electrons are initially located in the valence band and are covalently bound to neighboring atoms. Once the electrons are excited by the absorption of the ionizing radiation from radioactive decay of the radioisotope, the electrons move from the valence band to the conduction band and leave unoccupied states (holes) in the valence band. Then, another electron from neighboring atom will move to fill the resulting hole. The overall effect of the absorption of the ionizing radiation energy in the solid-state voltaic semiconductor 38 is the creation of a large number of mobile electron-hole pairs. Moreover, with the encapsulation method, radiation directional losses can be minimized due to the ability of Beta particles to travel in random directions within the semiconductor. Hence, all the energy can contribute to generate electron hole pairs. When the rectifying contact layer 26, having work function qΦm, contacts the solid-state voltaic semiconductor 38, having a work function qΦs, charge transfer occurs until the Fermi levels align at equilibrium. When Φm>Φs, the solid-state voltaic semiconductor 38 Fermi level is initially higher than that of the rectifying contact layer 26 before contact is made. At the junction of the rectifying contact layer 26 and solid-state voltaic semiconductor 38, an electric field is generated in the depletion region. When the ionizing radiation deposits energy throughout the depletion region near the junction of the rectifying contact layer 26 and solid-state voltaic semiconductor 38, the electric field will separate the electron-hole pairs in different directions (electrons toward the semiconductor 38 and holes toward the rectifying contact layer 26). This results in a potential difference between the rectifying and ohmic contact layers 26 and 22. It is envisioned that the contact area between the solid-state voltaic semiconductor 38, and the ohmic and rectifying contact layers 22 and 26 can be increased to increase the conversion efficiency, i.e., increase the creation of electron-hole pairs (EHP). For example, referring to FIGS. 4A and 4B, in various embodiments, the ohmic contact layer 22 and the rectifying contact layer 26 can be structured to provide a ‘comb-finger’ type of electrode structure that will allow the total contact surface between the solid-state voltaic semiconductor 38 and the ohmic and rectifying contact layers 22 and 26 to be enlarged without increasing the size of the micro power source device 10. The ohmic contact layer comb type fingers 22A extending from an ohmic contact base 22B, interposed with the rectifying contact layer comb like fingers 26A extending from a rectifying contact base 26B, as illustrated in FIGS. 4A and 4B, increase the surface per volume ratio of the solid-state voltaic semiconductor 38 to the ohmic and rectifying contact layers 22 and 26, resulting in higher conversion efficiency. The thickness of the ohmic and rectifying contact layer fingers 22A and 26A can be adjusted to increase the efficiency of the micro power source device 10. Beta particles can penetrate the thin metal structures and contribute EHP generation within solid-state voltaic semiconductor 38 disposed between the ohmic and rectifying contact layer fingers 22A and 26A. Referring now to FIG. 5, as another example of increased total contact surface between the solid-state voltaic semiconductor 38 and the ohmic and rectifying contact layers 22 and 26, in various embodiments, the ohmic contact layer 22 and/or the rectifying contact layer 26 can include nanostructures, or nanopillars, 42 and/or 46, respectively, formed along their respective interior surfaces. More particularly, the nanostructures 42 and/or 46 are formed on the interior surfaces of the respective ohmic and/or rectifying contact layers 22 and/or 26 at the interface between the solid-state voltaic semiconductor 38 and the respective ohmic and/or rectifying contact layers 22 and 26. The nanostructures 42 and/or 46 increase the surface per volume ratio of the solid-state voltaic semiconductor 38 to the ohmic and/or rectifying contact layers 22 and/or 26, resulting in higher conversion efficiency. In various implementations, the nanostructures 42 and/or 46 can be grown, deposited or formed on the interior surfaces of the respective ohmic and/or rectifying contact layers 22 and/or 26 using a porous alumina oxide (PAO) template. The PAO template can be controlled to form any desirable size nanostructures. For example, the PAO template can be utilized to grow, deposit or form, the nanostructures 42 and/or 46 having diameters between 100 nm and 400 nm with heights between 15 μm and 30 μm. Alternatively, the nanostructures 42 and/or 46 can be grown, deposited or formed on the interior surfaces of the respective ohmic and/or rectifying contact layers 22 and/or 26 by electroplating a suitable metal, such as Ni, Au, Cu, Pd, Al, Ag, and Co, through a seed layer. An exemplary method of growing, depositing or forming the nanostructures 42 and/or 46 on the interior surfaces of the respective ohmic and/or rectifying contact layers 22 and 26 can be as follow. First, the rectifying contact layer 26 can be deposited on the glass substrate 14A by sputtering, e.g., a 0.5 μm thick layer of nickel. Then a second metal layer can be deposited on top of the bottom electrode, e.g., a 0.2 μm thick layer of aluminum. Next, the second layer is anodized with oxalic acid to create porous membranes, e.g., porous aluminum membranes. Then, the same metal as that used for the rectifying contact layer 26, e.g., nickel, is deposited through the porous membranes by electroplating. In various implementations, the electrolyte can comprise NiSO4.6H2O of 15 g/L, H3BO3 of 35 g/L, and Di water with 0.3-0.6 mA/cm2. Subsequently, the porous membranes, e.g., the aluminum porous membranes, are removed by an aqueous solution, e.g., NaOH, thereby providing the nanostructures 46 on the rectifying contact layer 26. The nanostructures 42 can be grown, deposited or formed on the ohmic contact layer 22 in a substantially similar manner. An exemplary high energy-density micro radioisotope power source device 10 was constructed as described herein and tested. The test procedure and results are as follows. In this example, selenium (Se) was used as the semiconductor materials and Sulfur-35 (35S) was used as the radioisotope material. Sulfur-35 was used for two main reasons. Firstly, 35S is a pure beta emitter source with maximum decay energy of 0.167 MeV, an average beta decay energy of 49 keV and a half-life of 87.3 days. The range of the 49 keV beta is less than 50 microns in selenium which is ideal for depositing all of the decay energy in the voltaic semiconductor 38. Secondly, 35S is chemically compatible with selenium. Selenium has semiconducting properties in both the solid (amorphous) and liquid state. The chemical bond model of amorphous selenium is categorized to be lone pair semiconductors (twofold coordination) because the electron configuration is [Ar]3d104S24p4, which implies that the properties of Se are primarily influenced by the two non-bonding p-orbitals of group 16 chalcogen, which exhibited in covalent interaction bonding. Se atoms tend to bond in lone pairs within the semiconductor in either helical chain (trigonal phase) formation or Se8 ring (monoclinic phase) formation. Once Se melts (Tm=221° C.), the structure of the liquid phase Se is mostly a planar chain polymer with the average of 104˜106 atoms per chain near Tm, and a small fraction of Se8 ring.18 The liquefied composite mixture 38B naturally wets the surface of the electrodes, i.e., the ohmic and rectifying contact layers 22 and 26, very well and enhances the electrical contact by reducing contact resistance at both the rectifying and ohmic contacts. In addition, the melting point of the pre-voltaic semiconductor mixture 38A can be lower than the original melting temperatures of the individual materials by employing an eutectic mixture. First, the heterogeneous equilibrium between solid and liquid phases of a two-component selenium-sulfur system was investigated. A binary phase diagram shown in FIG. 6 was constructed for the mixture at different overall compositions. From the experimentally obtained phase diagram, it can be seen that the two liquidus curves intersect at the eutectic point. The eutectic temperature and composition of the binary SexSy semiconductor were measured at 105° C. and Se65S35, respectively. Different metals were used to form a rectifying junction, e.g., a Schottky junction, and an ohmic junction. The characteristics of a semiconductor diode can be determined by the barriers at metal-semiconductor junctions due to the different work functions. High work function metal such as nickel (5.1-5.2 eV) or gold (5.1-5.4 eV) can be used as an ohmic contact, which results in easy hole flow across the junction. For rectifying behavior for p-type semiconductor (amorphous selenium), aluminum with a low work function (ϕm) of 4.1-4.3 eV can be used. FIG. 2B can be used to illustrate the band structure of the rectifying junction at equilibrium. For example, a band gap energy (Eg) of selenium is 1.77 eV, electron affinity of selenium (χs) is 3.3 eV and work function (ϕs) of selenium is 4.92 eV. When a metal with low work function qΦm contacts a p-type semiconductor with work function qΦs, charge transfer occurs until the Fermi levels on each side are aligned at equilibrium. It forms a rectifying, or Schottky, barrier at the metal-semiconductor contact and an electric field is generated in the depletion region. Once the ionizing radiation deposits energy throughout the depletion region near the metal-semiconductor junctions, the electric field will separate the EHPs in opposite directions at the rectifying contact. This results in a potential difference between the two electrodes, i.e., between the ohmic and rectifying contact layers 22 and 26. In the present example, the composited selenium-sulfur was placed inside the 20 μm thick of SU8 polymer reservoir with 1 cm2 active area and sandwiched by two electrodes, i.e., between the ohmic and rectifying contact layers 22 and 26. A 0.3 μm-thick aluminum layer was deposited on the bottom glass substrate 14A to provide a rectifying, or Schottky, contact electrode and a 0.3 μm-thick nickel was deposited on the top glass substrate 14C to provide an ohmic contact electrode. The mixed selenium-sulfur Se35S was deposited in the bottom portion 28A of the micro chamber 28 and the top substrate 14C with the rectifying contact electrode disposed thereon, was placed on top. The device was rapidly heated to 275° C. followed by thermo compression bonding to create a leak-tight package. The I-V characteristic curves were measured by the Semiconductor Parameter Analyzer (Keithley 2400) with current measure resolution of 1 fA (10−15 A). FIG. 7 shows the dark current data generated by the micro radioisotope power source device 10 at room temperature. Particularly, at room temperature, a short circuit current (ISC) of 752 nA and the open circuit voltage (VOC) of 864 mV were observed. FIG. 8 shows the output power against bias voltage of the micro radioisotope power source device 10 at room temperature. Particularly, at room temperature, a maximum power of 76.53 nW was obtained at 193 mV. The overall efficiency conversion of encapsulated betavoltaic, i.e., solid-state composite voltaic semiconductor 38, with 35S (402 MBq) was observed to be 2.42%. This result is much higher than known conventional radioisotope microbatteries as shown in FIG. 9, which compares and summarizes many known betavoltaic technologies with respect to exemplary test data results of produced by the high energy-density micro radioisotope power source device 10. Most such known betavoltaics have a disadvantage of bulky shielding structures resulting in low power density. To compare the power density, each device's output power is normalized to 10 Ci of its radioactivity. Results yielded by the high energy-density micro radioisotope power source device 10 shows a power density that is roughly twice as large as that of the conventional device Betacel model 50. Thus, it is believed that, with the proper radioisotope material selection, a higher total power density of nearly 36.41 μW/cm3 can be achieved utilizing the encapsulated solid-state composite voltaic semiconductor 38 design of the high energy-density micro radioisotope power source device 10, as described herein. Referring now to FIGS. 10 and 11, to observe the functionality of the micro radioisotope power source device 10 under load conditions and characterize the output voltage of the device 10, a wide range of load resistances were connected to micro radioisotope power source device 10. FIGS. 10 and 11 show the output voltages and output power with respect to the various load resistances (100Ω˜10MΩ). As shown, the output voltage gradually increases with the increased load, and the maximum output voltage generated was observed to 0.499V (day 230), and 0.4555V (day 236) with a 1MΩ resistor. Additionally, the output power was maximized at approximately 1MΩ. As also shown, the maximum power was 59.59 nW (efficiency, η=2.56%) on day 230 and was still very high around 56.38 nW (η=2.54%) on day 236. Referring now to FIG. 12, furthermore, a very large resistive load (10MΩ) was connected to the micro radioisotope power source device 10 in order to characterized the power drain. Over a 9 day period the output voltage was continuously measured and recorded. As shown in FIG. 12, over the 9 day period the output power was never fully drained and the average output power was 17.5 nW (±2.5%). FIG. 13 illustrates the exemplary I-V characteristics of the micro radioisotope power source device 10 with non-radioactive sulfur and radioactive sulfur at 140° C. As shown, the micro radioisotope power source device 10 with non-radioactive sulfur yields an open-circuit voltage (VOC) of 561 mV, which is much higher than the voltage level that can be obtained from the thermoelectric effect since the Seebeck coefficient of pure selenium is only about 1.01 mV/° C. at 140° C. The open-circuit voltage increased as the temperature increased due to the growth of diffusion and tunneling at the depletion region and the reduction of contact resistance by liquid phase contact. Additionally, the dark current was observed with a short-circuit current (ISC) of 0.15 nA. This negative current without external bias could be driven by thermionic emission due to the thermal generation of carriers of liquid semiconductor. As further shown in FIG. 13, with radioactive sulfur 35S (166 MBq), a short-circuit current (ISC) of 107.4 nA and the open-circuit voltage (VOC) of 899 mV were observed. Particularly, the short-circuit current corresponding to the radioisotope radiation is almost three orders of magnitude different from that of the non-radioactive device. FIG. 14 illustrates the exemplary output power of the micro radioisotope power source device 10 with respect to various bias voltages. As shown, the maximum power of 16.2 nW was obtained at 359.9 mV from the micro radioisotope power source device 10 with radioactive 35S, and the maximum power solely from the radioactivity is approximately 15.58 nW. The theoretical maximum available power from 35S can be found from the average beta energy spectrum and the maximum radioisotope power conversion efficiency of 35S (166 MBq) can be calculated as follows: η 35 S = ( 15.58 · 10 - 9 W ( 4.5 · 10 - 3 ci ) ( 3.7 · 10 10 dps ) ( 49 · 10 3 eV ) ( 16 · 10 - 19 C ) ) · 100 % = 1.194 % . Consequently, a total power efficiency of 1.207% from both beta flux and heat flux was obtained. Although the micro radioisotope power source device 10 has been exemplarily described herein as including the semiconductor material Selenium (Se) integrated with radioactive source material Sulfur-35 (35S), it is envisioned that the micro radioisotope power source device 10 can include other suitable semiconductor materials and/or other suitable chemically compatible radioactive source materials. For example, in various embodiments, the micro radioisotope power source device 10 can include one or more other semiconductor materials, such as Te, Si, etc., and the respective semiconductor material can be integrated with one or more other beta or alpha emitting radionuclides, such as Pm-147 and Ni-63, that decay with essentially no gamma emission. Additionally, the mixing ratio of the semiconductor material(s), the radioisotope material(s) and dopant(s) can be varied to provide any desired performance of the micro power source device 10 at any selected ambient temperature. Hence, the high energy-density micro radioisotope power source device 10, as described herein, can efficiently operate at a wide range of temperatures, e.g., from approximately 0° C., or less, to 250° C., or greater. The high energy-density micro radioisotope power source device 10, as described herein, offers the potential to revolutionize the application of MEMS technologies, particularly when the MEMS systems are employed in extreme and/or inaccessible environments. The ability to use MEMS as thermal, magnetic and optical sensors and actuators, as micro chemical analysis systems, and as wireless communication systems in such environments can have a major impact in future technological developments. For example, it could increase public safety by providing an enabling technology for employing imbedded sensor and communication systems in transportation infrastructure (e.g. bridges and roadbeds). Additionally, some advantages of the high energy-density micro radioisotope power source device 10, as described herein, are (1) energy densities that are 104 to 106 times greater than that available from chemical systems, (2) constant output even at extreme temperatures and pressures, and (3) long lifetimes (with the appropriate choice of isotope). Additionally, the high energy-density micro radioisotope power source device 10, as described herein, overcomes fundamental drawbacks, such as lattice displacement damage, of using alpha emitting isotopes in solid-state conversion devices. Still further advantages include the elimination of radiation self-absorption losses and losses between the radioisotope and the betavoltaic cell, common in known radioisotope power sources. This is due to the radioactive material and the semiconductor material being mixed together within the micro chamber 28. For the selection of the radioactive source, high beta spectrum energy and high specific activity are two main parameters to be considered. Furthermore, common interaction losses can be reduced by adjusting the thickness of solid-state composite voltaic semiconductor 38. The thickness of solid-state composite voltaic semiconductor 38 has to be thin enough so that the beta radiation can cover whole volume of the solid-state composite voltaic semiconductor 38 encapsulated within the micro chamber 28. Another advantage is that the encapsulation of the solid-state composite voltaic semiconductor 38 within the micro chamber, as described herein, can provide secure self-shielding and eliminate the need of extra shielding structures. It provides a device that is considerably smaller than the conventional devices, and it is very cost effective because the solid-state composite voltaic semiconductor 38, as described herein, does not contain costly silicon-based materials. The description herein is merely exemplary in nature and, thus, variations that do not depart from the gist of that which is described are intended to be within the scope of the teachings. Such variations are not to be regarded as a departure from the spirit and scope of the teachings. |
|
claims | 1. An ion beam machining and observation device comprising:a vacuum chamber and a gas supply mechanism to introduce gas into the vacuum chamber, a gas field ion source to generate gas ions in the vacuum chamber, a sample chamber for storing a sample, and an ion beam irradiation column connected to the vacuum chamber to extract an ion beam from the gas field ion source and irradiate the ion beam onto the sample:wherein the gas supply mechanism includes at least two gas introduction systems, each system having a gas cylinder, a gas volume control valve and a stop valve; andfurther comprising:a gas switching control unit for controlling the gas volume control valve of each system to set up gas pressure conditions for the vacuum chamber, and the stop valve of each system to switch the kind of gas being introduced into the vacuum chamber;wherein the gas switching control unit has a control unit to control formation of a pyramid structure of atoms at an apex of an emitter tip of the gas field ion source after the gas switching control unit switches the kind of gas being introduced into the vacuum chamber by the stop valve of each system. 2. The device according to claim 1, wherein the forming of the pyramid structure includes coating palladium or platinum and annealing. 3. The device according to claim 1, wherein the gas switching control unit switches the kind of a gas ion beam used for machining the sample and the kind of a gas ion beam used for observing the sample, and the kind of a gas ion beam used for machining is neon gas, argon gas, krypton gas or xenon gas. 4. The device according to claim 3, wherein the gas switching control unit uses hydrogen gas ion beam or helium ion beam during observing. 5. The device according to claim 1, wherein each of the gas introduction systems includes a needle valve to regulate a flow rate of gas to the vacuum chamber; and further comprising:a control unit to set gas inflow amount conditions of the vacuum chamber by the needle valve of each system. 6. The device according to claim 5, wherein the gas supply mechanism includes a first gas introduction system in which the vacuum chamber and a first needle valve are connected with a first tube and the kind of a gas ion beam used for machining the sample is introduced, and a second gas introduction system in which the vacuum chamber and a second gas introduction system in which the vacuum chamber and a second needle valve are connected with a second tube and the kind of a gas ion beam used for observing the sample is introduced. 7. An ion beam machining and observation device comprising:a vacuum chamber and a gas supply mechanism to introduce gas into the vacuum chamber, a gas field ion source to generate gas ions in the vacuum chamber, a sample chamber for storing a sample, and an ion beam irradiation column connected to the vacuum chamber to extract an ion beam from the gas field ion source and irradiate the ion beam onto the sample;wherein the gas supply mechanism includes at least two gas introduction systems, each system having a gas cylinder, a gas volume control valve and a stop valve; andfurther comprising:a gas switching control unit for controlling the gas volume control valve of each system to set up gas pressure conditions for the vacuum chamber, and the stop valve of each system to switch the kind of gas being introduced into the vacuum chamber;wherein the gas switching control unit has a control unit to control field evaporation of a pyramid structure of atoms at an apex of an emitter tip of the gas field ion source after the gas switching control unit switches the kind of gas being introduced into the vacuum chamber by the stop valve of each system. 8. An ion beam machining and observation device comprising:an ion source generating at least two kind of gas ions having different mass numbers, an ion beam irradiation column to extract an ion beam from the ion source and irradiate the ion beam onto the sample, a control unit to control the ion beam irradiation column;wherein the control unit has a machining control unit to control the irradiation of the gas ions with relatively larger mass numbers of the at least two kinds of gas ions onto the sample in the case of machining a cross section perpendicular to the surface of the sample, and an observation control unit to control the irradiation of the gas ions with relatively smaller mass numbers of the at least two kinds of gas ions onto the cross section of the sample in the case of observing the cross section of the sample. 9. The device according to claim 8, wherein the gas ions with relatively larger mass numbers are gas ions containing at least one or argon, xenon, krypton, neon, oxygen, and nitrogen, and the gas ions with relatively smaller mass numbers are hydrogen gas ions or helium gas ions or a mixture thereof. 10. The device according to claim 8, wherein the ion source is a gas field ion source. 11. The device according to claim 10, wherein the gas ions with relatively larger mass numbers are gas ions containing at least one of argon, xenon, krypton, neon, oxygen, and nitrogen, and the gas ions with relatively smaller mass numbers are hydrogen gas ions or helium gas ions or a mixture of thereof. 12. An ion beam machining and observation device comprising:a vacuum chamber and a gas supply mechanism to introduce gas into the vacuum chamber, a gas field ion source to generate gas ions in the vacuum chamber, a sample chamber for storing a sample, and an ion beam irradiation column connected to the vacuum chamber to extract an ion beam from the gas field ion source and irradiate the ion beam onto the sample;wherein the gas supply mechanism includes at least two gas introduction systems, each system having a gas cylinder, a gas volume control valve and a stop valve; andfurther comprising:a gas introduction control unit for controlling the gas volume control valve of each system to set up gas pressure conditions for the vacuum chamber, and the stop valve of each system to mix at least two kinds of gases and introduce the mixed gas into the vacuum chamber,wherein the gas introduction control unit has a control unit to control formation of a pyramid structure of atoms at an apex of an emitter tip of the gas field ion source after the gas switching control unit switches the kind of gas being introduced into the vacuum chamber by the stop valve of each system. |
|
description | This application is a divisional of U.S. patent application Ser. No. 14/064,291 filed Oct. 28, 2013, the entire disclosure of which is incorporated by reference herein. This invention was made with Government support under Contract No. DE-NE0000583 awarded by the Department of Energy. The Government has certain rights in this invention. The following relates to the nuclear power generation arts, nuclear reactor safety arts, nuclear reactor control arts, and related arts. During normal operation of a nuclear reactor, the nuclear chain reaction (and hence the thermal output of the reactor) is controlled to maintain temperature and pressure of the coolant water in the reactor pressure vessel in a normal range. This control is achieved using mechanisms such as a control rods system, control of steam generator feed water flow, adjusting a concentration of soluble boron neutron poison in the coolant water, or so forth. The steam generator acts as the heat sink for the nuclear reactor. If a pipe rupture interrupts feed water flow into the steam generator or steam flow out of the steam generator, a feed water flow is lost, or so forth, this heat sinking is compromised. In such a loss of heat sinking event, pressure and temperature of the coolant in the reactor pressure vessel rise, and this must be counteracted to maintain the nuclear reactor in a safe condition. To this end, the control rods are inserted (scrammed) to extinguish the nuclear chain reaction. However, the reactor core continues to output residual heat due to radioactive intermediate products of the nuclear chain reaction. Passive cooling systems reliant on a closed-loop evaporation/condensation cycle may be employed to remove this residual heat. If the passive cooling systems are unable to keep up with the residual heat output from the shut-down reactor core, then the temperature and pressure of the coolant inside the pressure vessel will continue to rise. In this circumstance, an emergency core cooling system (ECCS) is brought online to depressurize the pressure vessel by venting (into a suitable condenser, or into the surrounding radiological containment structure, or other suitable structure) while maintaining sufficient water flow into the reactor pressure vessel to avoid exposure of the nuclear reactor core. In one disclosed aspect, an apparatus comprises: a pressurized water reactor (PWR) including a nuclear reactor core comprising fissile material disposed in a reactor pressure vessel also containing primary coolant water; a pressurizer integral with or operatively connected with the reactor pressure vessel and configured to control pressure in the reactor pressure vessel; and a decay heat removal system including a pressurized passive condenser and a pump driven by a turbine in which steam from the pressurizer drives the turbine and exhausts into the pressurized passive condenser and the pump is connected to suction water from the pressurized passive condenser into the reactor pressure vessel. A pressurizer power operated relief valve may be configured to control discharge of steam bypassing the turbine into the pressurized passive condenser to control pressure in the pressurizer. A pressurizer block valve may be configured to activate the decay heat removal system by opening to admit steam from the pressurizer to the turbine. The pump and the turbine may be mounted on a common shaft so that the shaft provides direct mechanical coupling via which the turbine drives the pump. In another disclosed aspect, a method operates in conjunction with a pressurized water reactor (PWR) including a nuclear reactor core comprising fissile material disposed in a reactor pressure vessel also containing primary coolant water, a pressurizer integral with or operatively connected with the reactor pressure vessel and configured to control pressure in the reactor pressure vessel, and a refueling water storage tank (RWST). The method comprises responding to a loss of heat sinking of the PWR by operations including driving a turbine using steam piped from the pressurizer, and driving a pump using the turbine to suction water from the RWST into the reactor pressure vessel. The driving of the pump may comprise providing a common shaft mechanically connecting the turbine and the pump whereby the driven turbine rotates the common shaft to drive the pump. The method may further include the operations of discharging steam piped from the pressurizer into a pressurized passive condenser, and connecting the suction side of the pump to both the RWST and the pressurized passive condenser wherein the driving of the pump also suctions water from the pressurized passive condenser into the reactor pressure vessel. In another disclosed aspect, a decay heat removal system operates in conjunction with a pressurized water reactor (PWR) including a nuclear reactor core comprising fissile material disposed in a reactor pressure vessel also containing primary coolant water and a pressurizer integral with or operatively connected with the reactor pressure vessel and configured to control pressure in the reactor pressure vessel. The decay heat removal system comprises: a pressurized passive condenser; a turbine; a pump driven by the turbine and connected to suction water from at least one water source into the reactor pressure vessel; and steam piping configured to deliver steam from the pressurizer to the turbine to operate the pump and to discharge the delivered steam into the pressurized passive condenser. The pump and the turbine may be mounted on a common shaft so that the shaft provides direct mechanical coupling via which the turbine drives the pump. The at least one water source may include a refueling water storage tank (RWST) disposed with the PWR in a radiological containment structure and/or the pressurized passive condenser. The steam piping may include a pressurizer power operated relief valve configured to control discharge of a portion of the delivered steam bypassing the turbine into the pressurized passive condenser to control pressure in the pressurizer. Disclosed herein are embodiments of an alternative safety function (ASF) system that provides a safety response that is more aggressive than reliance upon passive evaporation/condensation systems but which avoids the loss of coolant entailed in invoking the emergency core cooling system (ECCS). The disclosed ASF system provides an alternative mechanism to control the reactor coolant system (RCS) temperature and inventory thereby avoiding (at least in some postulated events) actuation of the ECCS with its concomitant reactor pressure vessel depressurization in the event of a loss of heat sinking due to an event such as a steam generator tube rupture (SGTR), a main steam line break, a feed water line break, or a loss of feed water. The disclosed ASF system also provides an alternative mechanism for reactor shutdown in the event that the primary reactor shutdown mechanism is ineffective. With reference to FIG. 1, a nuclear reactor island including a nuclear reactor 8 is diagrammatically shown. FIG. 1 diagrammatically represents the nuclear island by way of symbolic icons representing components of the island. The nuclear reactor 8 includes a nuclear reactor core 10 comprising fissile material disposed in a reactor pressure vessel (RPV) 12 containing (primary) coolant, typically in the form of purified water (H2O), comprising the reactor coolant system (RCS). Optionally, the coolant may include additives such as a soluble boron poison to assist in reactivity control. The nuclear reactor core 10 is typically constructed as UO2 pellets enriched in fissile 235U and loaded into fuel rods assembled into fuel assemblies which are in turn arranged to form the reactor core. The fuel rods may have various compositional arrangements, e.g. axial zones of different enrichment levels, inclusion of neutron poison pellets or compositional components, or so forth. Thermal power output due to the nuclear chain reaction in the reactor core 10 is regulated by operation of a control rods system 14 comprising control rods of a neutron-absorbing material controllably inserted into/withdrawn from the nuclear reactor core 10 by suitable control rod drive mechanisms (CRDM's). The diagrammatically indicated control rods system 14 is an internal system whose CRDMs are located inside the reactor pressure vessel 12; alternatively, external CRDMs may be employed along with suitable mechanical feedthroughs and/or pressure boundary extensions to couple into the RPV 12. The illustrative nuclear reactor 8 is of the pressurized water reactor (PWR) variety and includes an integral pressurizer 16 for controlling reactor coolant pressure inside the reactor pressure vessel 12; alternatively, an external pressurizer connected with the pressure vessel by suitable piping is contemplated. The illustrative PWR 8 is an integral PWR in which an internal steam generator 18 is disposed inside the reactor pressure vessel 12; alternatively, an external steam generator may be connected with the RPV 12 by suitable piping. The diagrammatically indicated internal steam generator 18 is a once-through steam generator (OTSG), but other steam generator designs, such as a helical steam generator, are also contemplated. Moreover, two or more steam generator units may be provided for redundancy. In the steam generator 18, (secondary) coolant water flow is placed into thermal communication with the primary coolant water to heat sink the PWR 8. The illustrative nuclear island also includes components of an emergency core cooling system (ECCS), including a pressurized boron storage tank 20 containing borated water (that is, water containing a high concentration of soluble boron poison) for injection into the reactor pressure vessel 12 as part of the ECCS response, a refueling water storage tank (RWST) 22 which provides a water reservoir for routine reactor refueling operations as well as providing a water supply for long-term decay heat removal after depressurization of the reactor pressure vessel 12. During normal operation (configuration not shown in FIG. 1), secondary coolant is injected into the steam generator 18 via a feed water inlet of the RPV 12, and steam is output from a steam outlet of the RPV 12. The secondary coolant flowing inside the steam generator 18 is heated by heat transfer from the primary coolant inside the RPV 12 to convert the feed water to steam. Although the secondary coolant water is in thermal communication with the primary coolant water, it is in fluid isolation from the primary coolant water. For example, in a tube-and-shell steam generator design, primary coolant flows in a shell surrounding tubes carrying the secondary coolant (or vice versa). The steam output from the steam outlet of the RPV 12 is suitably used to perform useful work, for example driving the turbine (not shown) of an electrical generator in the case of a nuclear power plant. The nuclear chain reaction in the nuclear reactor core 10 generates the thermal output that heats the primary coolant water, and the nuclear chain reaction is controlled by operation of the control rods system 14 and/or other control mechanisms such adjusting the concentration of soluble boron in the primary coolant water, adjusting the feed water flow rate or temperature, or so forth. In a loss of heat sinking event, closed-loop circulation of secondary coolant through the steam generator 18 is interrupted. In this event, an alternative safety function (ASF) system is activated. The ASF system includes a pressurized passive condenser (PPCND) 24 with condenser coils 26 and a passive cooling water tank 28. (Other thermal sinks are contemplated in place of the passive cooling water tank 28, such as a PPCND employing an air-cooled radiator with battery-operated fans). The ASF system further includes a turbine-driven pump 30 that is driven by a turbine 32. In the illustrative embodiment, the pump 30 and the turbine 32 are mounted on a common shaft 34 so that the shaft 34 provides direct mechanical coupling via which the turbine 32 drives the pump 30. In other contemplated embodiments (not illustrated), the turbine includes an electrical generator (i.e., a turbine-generator on a common shaft) and electricity from the generator of the turbine-generator system drives the pump. However, the illustrative embodiment including the pump 30 and turbine 32 on a common shaft 34 advantageously reduces the number of parts (eliminating, e.g. the generator and electrical connections between the generator and pump) and consequently is expected to be more robust and less prone to malfunction. The ASF system also includes associated connecting piping and valves. The simplified diagrammatic representation of FIG. 1 shows: a valve 35 on the drain of the RWST 22, a pressurized passive condenser (PPCND) level control valve 36, recirculation flow block valves 37, a steam turbine control valve 39, a back-pressure control valve 40 that controls charging flow to the reactor coolant system (RCS), a pressurizer power operated relief valve (pressurizer PORV) 42 providing pressurizer pressure control, boron storage tank valves 41, 43 controlling release of borated water from the boron storage tank 20, a pressurizer steam supply block valve 44, and check valves 34, 45, 46. The ASF system provides passive recirculation in the event of a loss of heatsinking event. In contrast to an evaporation/condensation recirculation system, the ASF system employs the pump 30 to drive closed-loop circulation of water, with the motive force for the pump 30 being provided by pressure in the pressurizer 16 via the turbine 32 and common shaft 34. The pressurizer 16 is at elevated pressure during a loss of heatsinking event due to the residual decay heat generated by the nuclear reactor core heating the primary coolant. A portion of this pressure is vented as steam to the turbine 32 which drives the pump 30, with the turbine exhausting to the pressurized passive condenser (PPCND) 24 via a turbine exhaust line 48. With continuing reference to FIG. 1 and with further reference to FIG. 2, during a loss of heatsinking event the nuclear island assumes the configuration shown in FIG. 1. In an operation 100, a trigger event is detected that causes activation of the ASF system. The trigger event may, for example, be detection of a steam generator tube rupture (SGTR), detection of a main steam line break, detection of a feed water line break, or detection of a loss of feed water. Such detection is preferably automatic, e.g. based on steam pressure read by a pressure sensor dropping below a trigger threshold or feed water flow read by a flow meter dropping below a trigger threshold. Additionally or alternatively, the trigger event may be a manual activation of the ASF system. Upon activation, in an operation 102 the pressurizer block valve 44 opens and the steam turbine control valve 39 throttles open admitting steam to the turbine 32 which provides motive force to the pump 30. The turbine 32 exhausts to the pressurized passive condenser 24 via the turbine exhaust line 48. In an operation 104, pressurizer pressure and hence RCS temperature (RCS is at saturation) is controlled by the pressurizer PORV 42 with the discharge sparged in the pressurized passive condenser 24 via a discharge line 50 and spargers 52. Once the pressurized passive condenser 24 exceeds the static head of the RWST 22 it is able to provide a suction source to the pump 30 (assuming sufficient level in the pressurized passive condenser 24). In one contemplated embodiment, the pressurized passive condenser 24 operates at approximately 100 psia and 325° F. (160° C.), although other condenser operating temperature/pressure conditions are also contemplated. The elevated temperature in the pressurized passive condenser 24 reduces the thermal stress associated with the steam discharge into the pressurized passive condenser 24 via the discharge line 50, which in one contemplated typical PWR is at a steam temperature of about 600° F. (315° C.). The steam is sparged into the liquid zone of the pressurized passive condenser 24 via the spargers 52 to further reduce thermal stresses on the pressurized passive condenser 24. The ASF system is a passive safety system, and requires no external power other than the pressure in the pressurizer 16 (which is inherently present in a loss of heatsinking event) and power for valves/controller circuits which is suitably supplied by a battery, alternator, nitrogen bottles providing pneumatic valve motive force, or so forth. Thus, the disclosed ASF system supports long-term operation without outside support. The pump 30 provides sufficient pressure to provide flow to the RCS to support decay heat removal and postulated LOCA inventory losses. The injection flow is controlled by the back-pressure control valve 40 to maintain level in the pressurizer. The condenser level control valve 36 provides closed loop supply of working fluid to facilitate long-term cooling capability. In the illustrative embodiment, the suction side of the pump 30 is connected with both the pressurized passive condenser 24 and the RWST 22 so that the pump 30 can suction water into the RPV 12 from one or both sources 22, 24. The two sources 22, 24 provide synergistic benefits: the pressurized passive condenser 24 receives steam from the RPV 12 via the turbine exhaust line 48 and the discharge line 50, so as to form a closed-loop recirculation path that can in principle operate indefinitely. On the other hand, the RWST 22 provides a large reservoir of water located inside the radiological containment structure with the PWR 8 that can be tapped to maintain decay heat removal in the event of a leak associated with the pressurized passive condenser 24. While the illustrative embodiment leverages both synergistic benefits by connecting the suction side of the pump 30 to both the RWST 22 and the pressurized passive condenser 24, it is contemplated to alternatively connect the suction side of the pump 30 to only one of these water sources (i.e. to only the RWST 22 but not the pressurized passive condenser 24; or, to only the pressurized passive condenser 24 but not the RWST 22). Additionally, in the illustrative embodiment of FIG. 1, the boron storage tank 20 is injected into the RPV 12 via the pump 30. In this embodiment, the pump 30 can take suction from the boron storage tank 20 when the valves 41, 43 are both open (for alternative reactor shutdown) and provides discharge pressure sufficient to inject into the RCS. Alternatively, the boron storage tank may connect into the RPV 12 via pressure vessel feed-throughs separate from the ASF system. With continuing reference to FIGS. 1 and 2, the ASF system provides long-term cooling capability in a loss of heatsinking event. However, if in an operation 106 a safety threshold is exceeded (e.g., the pressure in the pressurizer 16 rises above a threshold pressure in spite of exhausting via the discharge line 50) then in an operation 110 the emergency core cooling system (ECCS) is suitably activated (for example, remediating the elevated pressure by venting into the radiological containment structure). The preferred embodiments have been illustrated and described. Obviously, modifications and alterations will occur to others upon reading and understanding the preceding detailed description. It is intended that the invention be construed as including all such modifications and alterations insofar as they come within the scope of the appended claims or the equivalents thereof. |
|
claims | 1. An apparatus comprising:a pressurized water reactor (PWR) including:a cylindrical pressure vessel with its cylinder axis oriented vertically, a nuclear reactor core disposed in the cylindrical pressure vessel, a separator plate disposed in the cylindrical pressure vessel that separates the pressure vessel to define an internal pressurizer containing a pressurizer volume disposed above the separator plate and a reactor vessel portion defining a reactor volume disposed below the separator plate and containing the nuclear reactor core, wherein the separator plate restricts but does not completely cut off fluid communication between the pressurizer volume and the reactor volume, wherein the cross-section of the cylindrical pressure vessel includes a narrowed portion defining a recess of the internal pressurizer wherein the pump motor is disposed at least partially in the recess; anda reactor coolant pump including (i) an impeller disposed inside the pressure vessel in the reactor volume, (ii) a pump motor disposed outside of the pressure vessel, and (iii) a drive shaft operatively connecting the pump motor with the impeller, wherein (1) at least a portion of the pump motor is disposed above the separator plate (2) no portion of the reactor coolant pump is disposed in the pressurizer volume and (3) the drive shaft of the reactor coolant pump is oriented parallel with the cylinder axis of the cylindrical pressure vessel. 2. The apparatus of claim 1, wherein the pump motor at least partially overlaps a cross-sectional area of the reactor vessel portion of the cylindrical pressure vessel in a plane transverse to the cylinder axis of the cylindrical pressure vessel. 3. The apparatus of claim 1, wherein the reactor coolant pump comprises N reactor coolant pumps spaced apart at 360°/N intervals around the cylinder axis of the cylindrical pressure vessel where N is an integer greater than or equal to 2. 4. The apparatus of claim 1, wherein:the PWR further includes a hollow cylindrical central riser disposed concentrically with and inside the cylindrical pressure vessel in the reactor volume; andthe impeller of the reactor coolant pump is configured to impel primary coolant water downward into a downcomer annulus defined between the hollow cylindrical central riser and the cylindrical pressure vessel. 5. The apparatus of claim 4, wherein:the PWR further includes an internal steam generator disposed in the downcomer annulus. 6. The apparatus of claim 5, wherein the reactor coolant pumps discharge primary coolant into an outlet plenum that spaces apart the reactor coolant pumps and the internal steam generator. 7. The apparatus of claim 6, wherein the reactor coolant pumps discharge primary coolant into the outlet plenum at a radial location respective to the cylinder axis of the cylindrical pressure vessel that is outboard from the radial location of the annular internal steam generator disposed in the downcomer annulus respective to the cylinder axis of the cylindrical pressure vessel. 8. The apparatus of claim 5, wherein the pressure vessel includes a closure at or below the separator plate and at or above the top of the internal steam generator wherein the internal pressurizer is removable to provide access from above to the internal steam generator for performing steam generator tube plugging. 9. The apparatus of claim 4, wherein the reactor coolant pump further comprises an annular pump casing containing the impeller, the annular pump casing having an inlet receiving primary coolant water from the top of the hollow cylindrical central riser and an outlet in fluid communication with the downcomer annulus. 10. The apparatus of claim 4, wherein the reactor coolant pump further comprises a 90° angled pump casing containing the impeller wherein the inlet faces horizontally and the outlet faces downwardly, the inlet being in fluid communication with the top of the hollow cylindrical central riser and the outlet being in fluid communication with the downcomer annulus. 11. The apparatus of claim 1, wherein the cylindrical pressure vessel includes an opening through which the drive shaft of the reactor coolant pump passes wherein said opening is too small for the impeller to pass through. 12. The apparatus of claim 11, wherein said opening through which the drive shaft of the reactor coolant pump passes includes a self-lubricating graphalloy bearing supporting the drive shaft. 13. The apparatus of claim 12, further comprising a manway disposed at or below the impeller that is large enough for the impeller to pass through. 14. The apparatus of claim 1, wherein the internal pressurizer disposed above the separator plate is of smaller cross-sectional diameter over its entire height than the reactor vessel portion defining the reactor volume disposed below the separator plate, and the smaller cross-sectional diameter of the internal pressurizer accommodates the pump motors. 15. An apparatus comprising:a pressurized water reactor (PWR) including: a nuclear reactor core, a pressure vessel having a closure by which an upper vessel head of the pressure vessel is removable, wherein the nuclear reactor core is disposed in the pressure vessel below the vessel head and wherein the upper vessel head includes an internal pressurizer defining a pressurizer volume with heaters configured to control PWR pressure, wherein the cross-section of the upper vessel head of the cylindrical pressure vessel includes a narrowed portion defining a recess of the internal pressurizer wherein the pump motor is disposed at least partially in the recess; anda plurality of reactor coolant pumps mounted on the vessel head such that the vessel head and plurality of reactor coolant pumps are removable as a unit, each reactor coolant pump including (i) an impeller disposed inside the pressure vessel below the internal pressurizer, (ii) a pump motor disposed outside of the pressure vessel, and (iii) a drive shaft operatively connecting the pump motor with the impeller, wherein the drive shaft of each reactor coolant pump is oriented vertically andno portion of any reactor coolant pump is disposed in the pressurizer volume. 16. The apparatus of claim 15, wherein:the pressure vessel is cylindrical with it cylinder axis oriented vertically;the PWR further includes a hollow cylindrical central riser disposed concentrically with and inside the cylindrical pressure vessel; andthe impellers of the reactor coolant pumps are arranged to impel primary coolant water downward into a downcomer annulus defined between the hollow cylindrical central riser and the cylindrical pressure vessel. 17. The apparatus of claim 16, wherein:the PWR further includes an internal steam generator disposed in the downcomer annulus; andthe reactor coolant pumps discharge primary coolant into an outlet plenum that separates the reactor coolant pumps from the internal steam generator. 18. The apparatus of claim 17, wherein the reactor coolant pumps discharge primary coolant into the outlet plenum at a radial location respective to the cylinder axis of the cylindrical pressure vessel that is outboard from the internal steam generator disposed in the downcomer annulus respective to the cylinder axis of the cylindrical pressure vessel. 19. The apparatus of claim 15, wherein the upper vessel head and plurality of reactor coolant pumps are removable as a unit and the pressure vessel includes openings through which the drive shafts of the reactor coolant pumps pass wherein said openings are too small for the impellers to pass through. 20. The apparatus of claim 15, wherein the internal pressurizer is of smaller cross-sectional diameter over its entire height than the pressure vessel below the upper vessel head, and the smaller cross-sectional diameter of the internal pressurizer accommodates the pump motors. 21. An apparatus comprising:a pressurized water reactor (PWR) including:a nuclear reactor core comprising a fissile material, a cylindrical pressure vessel with its cylinder axis oriented vertically, the cylindrical pressure vessel having a lower portion containing the nuclear reactor core and an upper vessel head defining an internal pressurizer, wherein the cross-section of the upper vessel head of the cylindrical pressure vessel includes a narrowed portion defining a recess of the internal pressurizer wherein the pump motor is disposed at least partially in the recess; anda reactor coolant pump mounted on the vessel head and including (i) an impeller disposed inside the pressure vessel, (ii) a pump motor disposed outside of the pressure vessel, and (iii) a vertical drive shaft connecting the pump motor and the impeller, the vertical drive shaft being oriented parallel with the vertically oriented cylinder axis of the cylindrical pressure vessel and not passing through the internal pressurizer. 22. The apparatus of claim 21, wherein the cylindrical pressure vessel includes a closure by which the upper vessel head is removable from the remainder of the pressure vessel. 23. The apparatus of claim 22, wherein the PWR further comprises:a hollow cylindrical central riser disposed concentrically with and inside the cylindrical pressure vessel, the impeller of the reactor coolant pump being configured to impel primary coolant water downward into a downcomer annulus defined between the hollow cylindrical central riser and the cylindrical pressure vessel. 24. The apparatus of claim 23, wherein the PWR further comprises:an internal steam generator disposed in the downcomer annulus;wherein the impeller of the reactor coolant pump and the internal steam generator are spaced apart by an outlet plenum. 25. The apparatus of claim 24, wherein the cylindrical pressure vessel includes a manway providing access to the outlet plenum. 26. The apparatus of claim 24, wherein the reactor coolant pumps are at a larger diameter than the internal steam generator disposed in the downcomer annulus. 27. The apparatus of claim 21, wherein the internal pressurizer is of smaller cross-sectional diameter over its entire height than the lower portion of the pressure vessel, and the smaller cross-sectional diameter of the internal pressurizer accommodates the pump motors. |
|
summary | ||
summary | ||
abstract | A lithographic apparatus includes a collector configured to collect radiation from a radiation source, the collector including a plurality of shells forming separate compartments, and a cleaning arrangement including a gas inlet and a gas outlet, the cleaning arrangement being configured to clean surfaces of the plurality of shells by guiding a gas flow from the inlet through the compartments to the outlet. The cleaning arrangement includes a distribution system configured to divide the gas flow into several sub flows, each of the sub flows corresponding to one or more of the compartments, and a control system configured to control the relative amount of the sub flows. |
|
abstract | A radioisotope elution system including a radioisotope generator having an alignment structure. The alignment structure may be configured to interface with a complementary alignment structure of an auxiliary radiation shield assembly. |
|
description | 1. Field of the Invention This invention relates to padded garments for athletes possessing flexible pads. 2. Background Art Padded garments worn by athletes and sport enthusiasts typically include some form of padding to prevent injury to the athlete's body including the ribs, lower back, hips or coccyx. Such injuries can result from a multitude of athletic activities including football, hockey, field hockey, lacrosse, baseball, basketball, cycling or in-line skating. Known forms of padding for athletic apparel, include closed cell foam, gel-filled cavities, and inflatable cushions filled with pressurized fluid. These forms of padding are relatively inflexible and are not shaped to bend to the contour of the athlete's body and can hinder free movement. The padding can be attached directly to the inside or outside of the garment or can be located within pockets. Typically the padding is fixedly attached to the garment when not located within a pocket through stitching or adhesive. When the padding is located within a pocket, it is known to fixedly attach the padding to the pocket through stitching or other means in order to prevent it from falling out. However, this prevents the easy removal of the padding when laundering the garment. There exists a need in the art for padding that is shaped to be more flexible so it can bend to the contour of the athlete's body and so it does not restrict free movement of the athlete's body. In addition, there is a need for pads which, in combination with increased flexibility, are easily removable from the garment to facilitate laundering the garment. To that end, the present invention is directed to a garment with pads, wherein each pad comprises a plurality of interconnected, spaced apart tubes. The pads are flexible and can bend to the contour of an athlete's body. A garment of the present invention comprises an article of clothing having at least one pocket with at least one pad located within the at least one pocket. The at least one pad has a plurality of interconnected, spaced apart tubes. A first end of each of the spaced apart tubes are interconnected along a first pathway and a second end of each of the spaced apart tubes are interconnected along a second pathway. The first and second pathways are recessed from a top plane and a bottom plane of the pad in areas between adjacent tubes such that the pad is flexible along the recessed areas of the first and second pathways and substantially less flexible along a length of the spaced apart tubes. The pad is flexible such that it conforms to an area of a wearer's body it is intended to cover and is shaped to correspond to an area of a wearer's body it is intended to cover. In one embodiment, the interconnected, spaced apart tubes form a cavity, which is filled with fluid, such as air, that can flow between said plurality of spaced apart tubes. In an alternate embodiment the pads are made from gel or foam. The garment of the present invention comprises an article of clothing with protective pads. The article of clothing can be, but is not limited to, for example, a long sleeve shirt, a short sleeve shirt, a sleeveless shirt, a pair of shorts, or a pair of pants. The padded garment can be worn as an undergarment or as an outermost layer. The pads can be positioned to protect areas of the body prone to injury including, but not limited to, the ribs, shoulders, lower back, elbows, sternum, hips, coccyx, knees, or shins. The padded garments are envisioned for use in sports, including but not limited to, football, rugby, hockey, field hockey, lacrosse, baseball, basketball, field hockey, cycling, ice skating, roller skating or in-line skating. In addition, the padded garments can serve as everyday clothing for the elderly to prevent injury to areas such as the hips in the case of a fall. Preferred embodiments of the present invention are now described. While specific configurations and arrangements are discussed, it should be understood that this is done for illustrative purposes only. A person skilled in the relevant art will recognize that other configurations and arrangements can be used without departing from the spirit and scope of the invention. It will also be apparent to a person skilled in the relevant art that this invention can be employed in a variety of other devices and applications. FIG. 1 depicts a front view of a first embodiment of a padded garment in the form of a sleeveless shirt 100. It is noted that all references to right side and left side are made from the wearer's perspective. The sleeveless shirt 100 preferably has an upper right rib pocket 102, a lower right rib pocket 104, an upper left rib pocket 106 and a lower left rib pocket 108 for holding pads for protecting the right and left ribs. It is noted that pockets are a preferred means for holding pads against the garment, but other means for holding may be utilized as would be readily apparent to one of ordinary skill in the art, such as sewing or stitching, adhesive, clasps or hook and pile connectors. It is also noted that different holding means can be utilized for holding different pads to the same garment. FIGS. 2-5 depict pads 210, 310, 410 and 510, each with a different preferred shape. It is noted that these shapes are merely exemplary and are shaped to correspond to an area of a wearer's body the pad is intended to protect. Other shapes may be utilized as would be readily apparent to one of ordinary skill in the art. The pads of the present invention comprise a plurality of spaced apart tubes 212. The tubes 212 are aligned adjacent to each other along their lengths with gaps 214 in between adjacent tubes 212. Each tube 212 has a first end and a second end. The first ends of the tubes 212 are interconnected along a first pathway 216 and the second ends of the tubes 212 are interconnected along a second pathway 218. The first and second pathways 216, 218 are recessed from a top plane and a bottom plane of the pad, thereby providing recessed areas 220 between adjacent tubes 212. As shown in FIGS. 2 and 2A, gaps 214 may be apertures formed through the pads of the present invention defined by adjacent tubes 212, first pathway 216, and/or second pathway 218. In a preferred embodiment, the interconnected tubes form a cavity 222 within the pad as shown in FIG. 2A, which depicts the cross-sectional view of pad 210 taken along line A-A in FIG. 2. The cavity 222 allows a fluid to flow between the interconnected tubes 212. Preferably, the cavity 222 is filled with air at atmospheric pressure, although it can also be filled with pressurized air or other fluid. Air flow between the interconnected tubes 222 is important as when the pad is impacted or receives a blow of force, the pad acts as a shock-absorbing cushion as air is able to flow from the point of impact and minimizes injury to the wearer of the pad and to the implement of force. The pads comprise a polymeric material that is flexible and bendable and that allows for the pad to conform to an area of a wearer's body that the pad is intended to cover. Ethylene-vinyl acetate (EVA) is the preferred polymeric material, however other polymeric materials may be utilized as would have been apparent to one of ordinary skill in the art. The polymeric material may be formed into the shape of the pad utilizing conventional techniques. In an alternative embodiment, the pads are made from a flexible and resilient material such as foam or gel. The flexible, resilient foam or gel pads have the same shape and characteristics of the pads described above with respect to FIGS. 2-5, except the pads are solid foam or gel and do not possess cavities filled with a fluid. The foam or gel is flexible and bendable and allows for the pad to conform to an area of a wearer's body that the pad is intended to cover. A pad of this embodiment also acts as a shock-absorbing cushion because when the pad is impacted or receives a blow of force, the foam or gel is deformable and is able to move from the point of impact and minimizes injury to the wearer of the pad and to the implement of force. Once the implement of force is removed the pad is able to return to its original shape due to the resiliency of the foam or gel. The pads of all embodiments are flexible along said recessed areas 220 of said first and second pathways as shown in FIG. 6. The pads are substantially less flexible along a length of any of said plurality of spaced apart tubes. This feature facilitates the pads ability to conform to an area of a wearer's body that the pad is intended to protect, makes the padded garments more comfortable to wear and prevents the pads from restricting the movement of the wearer. The recessed areas 220 and gaps 214 also allow for increased breathability of the garment. FIGS. 1 and 7-9 depict a first embodiment of the padded garment in the form of a sleeveless shirt 100. The sleeveless shirt 100 preferably has an upper right rib pocket 102, a lower right rib pocket 104, an upper left rib pocket 106, a lower left rib pocket 108, a right back pocket 724 and a left back pocket 728. Each pocket has an opening, 832, 834, 940, 942, 726 and 730, respectively, for insertion and removal of a pad from each pocket. The upper right and lower right rib pockets 102, 104 are located on a right side of the sleeveless shirt 100 and each contains a pad for protecting the right rib cage. The upper left and lower left rib pockets 106, 108 are located on a left side of the sleeveless shirt 100 and each contains a pad for protecting the left rib cage. The right and left back pockets 724, 728 are located on either side of a center of a back of the sleeveless shirt 100 and each contains a pad for protecting the lower back. FIG. 8A is a representative cross-sectional view of a pocket. The pad is present in the pocket on either side of the opening. Each pocket opening has an upper layer 836 and a lower layer 838, with the upper layer 836 overlapping the lower layer 838. The upper and lower layers 836, 838 are pulled apart in order to insert and remove the pad from the pocket. Preferably, upper right rib pocket 102 and upper left rib pocket 106 are shaped to hold a pad with the shape of pad 210. Preferably, lower right rib pocket 104, lower left rib pocket 108, right back pocket 724 and left back pocket 728 are shaped to hold a pad with the shape of pad 310. It is noted that a sleeveless shirt with six pockets is exemplary and could contain just two pockets for the lower back, just four pockets with two each for the left and right ribs or any other number or combination of pockets as would have been apparent to one of ordinary skill in the art. It is also noted that pockets could be located at other areas of the sleeveless shirt such as at the sternum. In addition, a sleeveless shirt is exemplary and the garment can also be a long sleeve shirt or a short sleeve shirt. Such shirts could have additional pads in other areas such as at the shoulders and elbows and could have additional pockets in such other areas for holding pads. FIGS. 10-13 depict a second embodiment of the padded garment in the form of a pair of shorts 1044. The pair of shorts 1044 preferably has a an upper right hip pocket 1046, a lower right hip pocket 1048, an upper left hip pocket 1050, a lower left hip pocket 1052 and a coccyx pocket 1154. Each pocket has an opening, 1258, 1260, 1362, 1364 and 1156, respectively, for insertion and removal of a pad from each pocket. The upper right and lower right hip pockets 1046, 1048 are located on a right side of the pair of shorts 1044 and each contains a pad for protecting the right hip. The upper left and lower left hip pockets 1050, 1052 are located on a left side of a pair of shorts 1044 and each contains a pad for protecting the left hip. The coccyx pocket 1154 is located in a center of a back of said pair of shorts 1044 and contains a pad for protecting the coccyx. Preferably, upper right hip pocket 1046 and upper left hip pocket 1050 are shaped to hold a pad with the shape of pad 310. Preferably, lower right hip pocket 1048 and lower left hip pocket 1052 is shaped to a hold a pad with the shape of pad 410. Preferably, coccyx pocket 1154 is shaped to hold a pad with the shape of pad 510. It is noted that a pair of shorts with five pockets is exemplary and could contain just one pocket for the coccyx, just four pockets with two each for the left and right hips or any other number or combination of pockets as would have been apparent to one of ordinary skill in the art. In addition, a pair of shorts is exemplary and the garment can also be a pair of pants. Such a pair of pants could have additional pads in other areas such as at the knees and shins and could have additional pockets in such other areas for holding pads. FIGS. 14 and 15 depict a third embodiment of the padded garment in the form of a long sleeve shirt 1466. The long sleeve shirt 1466 preferably has a right shoulder pad 1468, a left shoulder pad 1470, a sternum pad 1472, an upper right rib pad 1474, a lower right rib pad 1476, an upper left rib pad 1478 and a lower left rib pad 1480, a right elbow pad 1584, a left elbow pad 1582, a right lower back pad 1588 and a left lower back pad 1586. The right and left shoulder pads 1468, 1470 are positioned to cover the wearer's right and left shoulders, respectively. The sternum pad 1472 is positioned to cover the wearer's sternum. The upper and lower right rib pads 1474, 1476 are positioned to cover the wearer's right rib cage. Similarly, the upper and lower left rib pads 1478, 1480 are positioned to cover the wearer's left rib cage. The right and left elbow pads 1582, 1584 are positioned to cover the wearer's right and left elbows, respectively. The right and left lower back pads 1586, 1588 are positioned to cover the wearer's right and left lower rib cage, respectively. It is noted that the long sleeve shirt 1466 illustrates pads held thereto without pockets, however any means for holding may be utilized as would be readily apparent to one of ordinary skill in the art, such as pockets, sewing or stitching, adhesive, clasps or hook and pile connectors. It is also noted that different means for holding can be utilized for holding different pads to the same garment It is also noted that an exemplary short sleeve shirt according to the present invention would have the same features as the long sleeve shirt 1466, except it would have short sleeves and no elbow pads. It is to be appreciated that the Detailed Description section, and not the Summary and Abstract sections, is intended to be used to interpret the claims. The Summary and Abstract sections may set forth one or more but not all exemplary embodiments of the present invention as contemplated by the inventor(s), and thus, are not intended to limit the present invention and the appended claims in any way. |
|
061538094 | claims | 1. A phosphate ceramic encapsulation system for immobilizing waste, comprising: a solid phosphate ceramic waste composite where said composite comprises a waste product which is physically micro-encapsulated within a dense matrix of a phosphate ceramic product and where said solid composite forms a solid body with an external surface area; and a polymer coating comprised of at least one inorganic metal compound where said polymer coating adheres to said external surface area of said ceramic waste composite isolating said ceramic waste composite from an external environment and is comprised of three main components a binder, a pigment and a solvent. providing a solid phosphate ceramic composite where said composite comprises a salt waste which is physically micro-encapsulated within a dense matrix of a phosphate ceramic product and where said solid composite forms a solid body having an external surface area; preparing a polymer coating whose composition is comprised of at least one inorganic metal compound; applying the polymer coating to the surface of the solid phosphate ceramic composite; allowing the polymer coating to infiltrate a surface structure associated with the external surface area, such that the polymer coating adheres to the surface structure; and allowing the polymer coating to harden by curing, thereby effectively isolating the salt waste from the environment. applying a polymer coating comprised of at least one inorganic metal compound to a surface of the solid phosphate ceramic product; allowing the polymer coating to infiltrate the crystalline structure of the surface of the phosphate ceramic composite, such that the polymer coating adheres to the structure; and allowing the polymer coating to harden by curing, thereby effectively isolating the salt waste from the environment. 2. The phosphate ceramic encapsulation system according to claim 1, wherein the binder component of the polymer coating contains at least one metal oxide comprised of at least one metal selected from a group consisting of magnesium and silicon. 3. The phosphate ceramic encapsulation system according to claim 1, wherein the polymer coating is comprised of an unsaturated polyester resin. 4. The phosphate ceramic encapsulation system, according to claim 1, wherein the polymer coating is a thermoset polyester resin comprised of a polyester resin binder, a styrene monomer solvent, a benzoyl peroxide initiator, and a pigment selected from the group consisting of magnesite, talc, soda-lime glass, and borosilicate glass, or a combination thereof where the pigment provides color and hardness thus, contributing to the coatings abrasion and weather resistance. 5. The phosphate ceramic encapsulation system according to claim 1, wherein the waste contains a salt selected from the group consisting of chlorides, nitrates, nitrides, sulfites, and sulfates. 6. The phosphate ceramic encapsulation system according to claim 1, wherein the phosphate ceramic composite comprises a phosphate of a compound selected from the group consisting of magnesium, magnesium-ammonium, magnesium-potassium, magnesium-sodium, aluminum, calcium, iron, zinc, and zirconium. 7. A process for immobilizing salt waste in a solid phosphate ceramic waste form, comprising the steps of: 8. The process according to claim 7, wherein the step of allowing the polymer coating to infiltrate the surface structure of the phosphate ceramic composite takes less than 15 minutes. 9. The process according to claim 7, wherein the step of allowing the polymer coating to harden takes about 24 hours. 10. The process according to claim 7, wherein the polymer coating includes an inorganic metal oxide powder where the inorganic metal oxide contains an inorganic metal selected from a group consisting of magnesium and silicon. 11. The process according to claim 7, wherein the polymer coating is comprised of an unsaturated polyester resin. 12. The process according to claim 7, wherein the polymer coating is a thermoset polyester resin comprised of a polyester resin binder, a styrene monomer solvent, a benzoyl peroxide initiator, and a pigment selected from the group consisting of magnesite, talc, and soda-lime glass, borosilicate glass or a combination thereof where said pigment provides the polymer coating with color and hardness thus, contributing to the coatings abrasion and weather resistance. 13. The process according to claim 7, wherein the salt is selected from the group consisting of chlorides, nitrates, nitrides, sulfites, and sulfates. 14. The process according to claim 7, wherein the waste is comprised of a salt. 15. The process according to claim 7, wherein the phosphate ceramic composite comprises a phosphate of a compound selected from the group consisting of magnesium, magnesium-ammonium, magnesium-potassium, magnesium-sodium, aluminum, calcium, iron, zinc, and zirconium. 16. An improved method for immobilizing salt waste within a phosphate ceramic composite, comprising the steps of combining salt waste with a starter oxide to obtain a powder, contacting the powder with a phosphate-containing solution to create phosphates of the oxide in a slurry, mixing the slurry while maintaining the slurry below a predetermined temperature, allowing the slurry to set into a phosphate ceramic composite having a crystalline structure, thereby chemically and physically encapsulating the salt waste within the crystalline structure of the phosphate ceramic composite to form a solid mass, wherein the improvement comprises: 17. The process according to claim 16, wherein the inorganic metal compound is comprised of an oxide powder whose inorganic metal is selected from a group consisting of magnesium and silicon. |
summary | ||
summary | ||
062140868 | abstract | A method and apparatus for simultaneously supplying varying proportions of hot and cold direct reduced iron(DRI) material from a source of hot DRI for melting, storage, briquetting, or transport. The system uses gravity to transport hot DRI material from a reduction furnace to a furnace discharge section, which transports desired amounts to a cooling receptacle and to a hot DRI vessel. The cooling section of the apparatus is connected to the furnace discharge section through a dynamic seal leg. The hot section is also connected to the furnace discharge section through separate a dynamic sealing leg and can feed a surge vessel, a briquetter, a storage vessel or a melting furnace. The method of operation is also disclosed. |
claims | 1. A nuclear reactor comprising:a vessel having a central axis and containing a primary liquid;a core comprising nuclear fuel, the core being arranged in an internal volume of the vessel;at least one primary pump generating a main primary flow of the primary liquid in the vessel, the main primary flow passing through the core, rising in a direction parallel to the central axis in a rising part of the main primary flow and descending through at least one vapor generator located on a periphery in the vessel in a descending part of the main primary flow, the main primary flow having a reversal zone between the rising part and the descending part, a circulation of the primary liquid forming a turn back in the reversal zone;at least one control member, the reactivity of the core being controlled by the at least one control member, the at least one control member extending in a respective direction parallel to the central axis and being aligned with the core in the respective direction parallel to the central axis;at least one movement mechanism configured for moving the at least one control member, the at least one movement mechanism being arranged in the internal volume of the vessel and linked to the at least one control member; anda pressurizer situated in a top portion of the vessel along the central axis, the pressurizer being in communication with the primary liquid,the movement mechanism comprising an electric actuator and a transmission mechanism,the electric actuator being completely immersed in the primary liquid, the electric actuator being situated outside the main primary flow, the electric actuator being located above the reversal zone in the direction of the central axis and below the pressurizer in a direction parallel to the central axis,the electric actuator comprising:a motor comprising a stator and a rotor configured to apply a rotary torque, andthe control member being configured to be released into the core by gravitational drop by a release system;the transmission mechanism comprising:a driving device comprising a movement part, the movement part being linked to the motor such that the rotary torque of the motor is applied to the movement part,a driven member comprising one of a screw or a nut, the driven member being driven in rotation by the movement part, anda transmission member forming the other of the screw or the nut secured to the control member,the screw and the nut cooperating such that a rotation of the movement part of the driving device relative to the stator results in a translation of the control member parallel to the central axis,the driven member has an orifice extending along the respective direction parallel to the central axis at least in an upper part of the driven member over a length greater than a maximum movement of the control member, the movement part of the driving device having a polygonal section extending at least partially in the orifice over a length of the orifice, the orifice having a corresponding polygonal section at least in an upper part of the orifice, the driven member and the movement part cooperating such that when one of the driven member and the movement part rotates, the other of the driven member and the movement part rotates,the orifice being an internal orifice in the driven member. 2. The nuclear reactor according to claim 1, wherein the transmission member bears the nut, the driven member comprising the screw, the nut cooperating with the screw on the outer diameter of the screw. 3. The nuclear reactor according to claim 1, wherein the driven member has, in the upper part of the driven member, at least one through hole, the through hole connecting the orifice to an outside of the driven member. 4. The nuclear reactor according to claim 1, wherein releasing of the control member is obtained by releasing the driven member. 5. The nuclear reactor according to claim 4, wherein the driven member comprises a shoulder, the release system comprising at least one element movable between a holding position and a release position, the movable element extending below the shoulder in the respective direction parallel to the central axis in the holding position and not extending below the shoulder in the respective direction parallel to the central axis in the release position. 6. The nuclear reactor according to claim 1, further comprising a cooling line for cooling the electric actuator, the cooling line withdrawing the primary liquid from the main primary flow leaving the core or at a discharge from the primary pump, the cooling line further passing by the electrical actuator for cooling said electrical actuator, the withdrawn primary liquid travelling from the withdrawing from the main primary flow to the passing by the electric actuator in a travel time, the cooling line being configured such that the travel time is greater than a minimum duration. 7. The nuclear reactor according to claim 6, wherein the minimum duration is greater than 50 seconds. 8. The nuclear reactor according to claim 7, wherein the minimum duration is between 50 seconds and 150 seconds. 9. The nuclear reactor according to claim 1, wherein the movement part extends inside of the driven member longitudinally in the direction of the central axis. 10. The nuclear reactor according to claim 1, wherein the movement part contacts the inner surface of the driven member. 11. The nuclear reactor according to claim 1, wherein a portion of the driving device extends inside of the rotor longitudinally in the direction of the central axis. 12. The nuclear reactor according to claim 1, wherein the driving device comprises a rod extending parallel to the central axis, the movement part making up a lower segment of the rod. 13. The nuclear reactor according to claim 1, wherein a top part of the driven member has a polygonal outer shape. 14. The nuclear reactor according to claim 13, wherein the top part of the driven member forms a polyhedral ring. 15. The nuclear reactor according to claim 13, wherein the top part includes a shoulder configured for being held by the release system. 16. The nuclear reactor according to claim 13, wherein below the top part of the driven member, the drive member has a circular outer shape. 17. The nuclear reactor according to claim 1, wherein the orifice has a circular section below the polygonal section of orifice. 18. The nuclear reactor according to claim 1, wherein a portion of the polygonal section of the movement part of the driving device is received in polygonal section of a top part of the driven member to define the polygonal section of orifice. 19. The nuclear reactor according to claim 18, wherein a portion of the polygonal section of the movement part of the driving device is received in a circular section of the driven member to define the circular section of orifice. 20. The nuclear reactor according to claim 1, wherein the orifice extends an entire height of the driven member. |
|
053655575 | claims | 1. A fuel assembly, comprising: a bundle of fuel rods; approximately mutually parallel upright webs extending between said fuel rods in a plane approximately perpendicular to said fuel rods; said webs having upper edges and tabs on said upper edges, each of said tabs having an end, and each of said tabs being twisted toward said end about an axis approximately parallel to said fuel rods; and said tabs tapering continuously up to a twisting of 45.degree. relative to said webs. a bundle of fuel rods; approximately mutually parallel upright webs extending between said fuel rods in a plane approximately perpendicular to said fuel rods; said webs having upper edges and tabs on said upper edges, each of said tabs having an end, and each of said tabs being twisted toward said end about an axis approximately parallel to said fuel rods; and crosswise webs joining said ends of said tabs of adjacent webs to one another, said tabs having tab parts being twisted by 90.degree. relative to said webs, and said tab parts merging with said crosswise webs. a bundle of fuel rods; approximately mutually parallel upright webs extending between said fuel rods in a plane approximately perpendicular to said fuel rods; said webs having upper edges and tabs on said upper edges, each of said tabs having an end, and each of said tabs being twisted toward said end about an axis approximately parallel to said fuel rods; one of said webs having a part extending between two of said fuel rods with two protrusions formed from said web, one of said two fuel rods being supported on said protrusions; and a spring on which the other of said two fuel rods is supported. 2. The fuel assembly according to claim 1, including crosswise webs joining said ends of said tabs of adjacent webs of one another, said tabs having tab parts being twisted by 90.degree. relative to said webs, and said tab parts merging with said crosswise webs. 3. The fuel assembly according to claim 1, wherein said tab parts of said tabs of adjacent webs have formed-on web parts, and said crosswise webs are formed by welding said web parts together. 4. The fuel assembly according to claim 1, wherein one of said webs has a part extending between two of said fuel rods with two protrusions formed from said web, one of said two fuel rods is supported on said protrusions, and including a spring on which the other of said two fuel rods is supported. 5. The fuel assembly according to claim 1, wherein said fuel rods are disposed in square holes in a grid having intersections, said tabs are disposed at least at some of the intersections, and said tabs at two adjacent intersections carrying said tabs are twisted in mirror fashion relative to one another. 6. The fuel assembly according to claim 1, wherein said tabs have a width being dependent on a spacing from said upper edges of said tabs. 7. A fuel assembly, comprising: 8. The fuel assembly according to claim 7, wherein said tab parts of said tabs of adjacent webs have formed-on web parts, and said crosswise webs are formed by welding said web parts together. 9. A fuel assembly, comprising: |
062263404 | claims | 1. A control material for a nuclear system that uses lumped absorbers for parasitic absorption of neutrons, the control material comprising a thermal absorber disposed surrounding a resonance absorber such that the resonance absorber is screened by the thermal absorber, wherein said resonance absorber comprises a mixture of hafnium, dysprosium and europium. 2. A control material as claimed in claim 1, wherein said thermal absorber comprises a 1/v absorber. 3. A control material as claimed in claim 2, wherein said thermal absorber comprises boron carbide. 4. A control material as claimed in claim 1, wherein said thermal absorber comprises boron carbide. 5. A control rod for a nuclear reactor comprising a plurality of absorber tubes loaded with a thermal absorber disposed surrounding a resonance absorber such that the resonance absorber is screened by the thermal absorber, wherein said resonance absorber comprises a mixture of hafnium, dysprosium and europium. 6. A control rod as claimed in claim 5, wherein said thermal absorber comprises a 1/v absorber. 7. A control rod as claimed in claim 6, wherein said thermal absorber comprises boron carbide. 8. A control rod as claimed in claim 5, wherein said thermal absorber comprises boron carbide. |
abstract | An antiscattering grid for an X-ray imaging apparatus of the type comprising a substrate having a plurality of metallized partitions that together define a plurality of cells distributed over the substrate. The partitions allow passage of the X-rays emitted from a source lying in line with the grid, and absorbing the X-rays not coming directly from this source. The substrate is made of a polymer material that may be formed by radiation curing of a monomer sensitive to this radiation. The substrate may be substantially planar and the partitions may be oriented to form a focused grid. |
|
description | This application claims priority from U.S. Provisional patent application No. 62/302,352, filed Mar. 2, 2016, entitled “Batch-Processing Method for Super-High Aspect Ratio Diffractive Optics”, which is incorporated herein by reference in its entirety. This invention relates to a method of manufacturing high aspect ratio diffractive optic devices applicable to the focusing or shaping of wave fronts of very high energy electromagnetic radiation or particle beams using curved or variable-spaced diffractive lines of absorber or phase shifter materials, with sub-20 nm features and aspect ratios up to tens of thousands to one. Many diffractive optics devices, such as those used for focusing X-rays or particle rays (elementary particles or ions), use high-aspect-ratio or free-standing curved or variable-width line grids, or, they are composed of a succession of absorption or refractive index varying absorber or phase shifter materials to manipulate the wave front through superposition of beams diffracted by individual design features of the devices. In many cases, such design features are organized in curved or straight lines of variable spacing. The phrase “diffractive lines” will be used herein to describe the diffractive elements of such devices. For some geometries, the diffractive lines may alternatively be referred to as diffractive zones. A typical example of this type of structure is a Fresnel Zone Plate (FZP) for focusing X-rays, in which the diffractive lines are organized in concentric circles, which may be referred to as zones. The width of the absorber/phase shifter material lines (zones) is varied in proportion to the square root of the radius of curvature of the lines, usually from widths of a few micrometers at the center of the FZP, to a few tens of nanometers or less at the outermost zones. The characteristic performance metrics of such devices, such as focusing resolution, diffraction efficiency, spectral resolution, or other metrics, depend on the linewidth control and fidelity of the smallest dimension diffractive lines/zones and the thickness in the propagation direction of the diffractive lines, as achievable technologically. For instance, the focusing resolution of FZPs is related to the width of the outermost circular zone, while the efficiency—defined as the energy of the beam diffracted towards the focus of interest divided by the total incident beam energy—depends on the thickness and nature of the material, as well as the accuracy of the zone pattern. It is well-known to those skilled in the art that the maximum theoretical efficiency of binary FZPs can be obtained if the phase shift difference in adjacent zones is a multiple of pi (π). For high photon energy X-rays such as 25 keV, the necessary thickness of a π-shifting material is about 4.84 μm for gold, 4.5 μm for tungsten, and 4.2 μm for iridium. If the smallest zone width is 20 nm, then the aspect ratios of such diffractive lines are 242:1, 225:1, and 210:1, respectively. Self-standing geometric objects of this aspect ratio are essentially impossible to fabricate without collapse or distortion at this time. If a material scaffold of low absorption/low refractive index is used to prevent collapse, the phase shift produced in that material has to be considered and the aspect ratio needed for a phase shift difference of π between adjacent diffractive lines increases even more. Also, if applications are envisioned for higher photon energies, such as 20-100 keV, where X-ray producing tubes/lamps generate higher intensities due to the energy proximity of spectral absorption/emission edges of most metallic cathode electrodes of interest (including the refractory metals well-suited for X-ray emission cathodes), the aspect ratio requirements of the corresponding diffractive lines increases dramatically up to tens of thousands-to-one. The use of small laboratory-based X-ray sources such as X-ray tubes is preferable to sources such as those of expensive synchrotron radiation facilities, to allow for lower cost/smaller footprint generation of X-rays in small X-ray microscopes or diffraction devices, which then require adequate diffraction optics of super-high aspect ratios. Recently, D. Habs et al. (D. Habs et al., Phys. Rev. Lett. 108, (2012) 184802) demonstrated that the refractive indexes of materials in the gamma (γ)-ray regime (photon energies from 0.18 MeV to 2 MeV) can attain values in the 10−9-10−5 range due to inelastic Delbrück scattering or pair creation, thus allowing for the possibility of the fabrication of diffractive optics for γ-rays, if super-high aspect ratio structures can be reliably fabricated. Thus, there exists a need for a method of greatly improving the manufacturability of high aspect-ratio diffractive optics devices comprised of appropriate phase shifting materials which are capable of addressing one or more limitations of known methods. Several methods of manufacturing diffractive optics of high aspect ratio have been proposed and practiced. The most common manufacturing method of limited high aspect ratio diffractive optics is the use of electron beam lithography for writing the diffractive lines into an electron-beam-sensitive resist material, followed by electroforming a metal using the said resist as a mold, as taught by B. Lai et al. (B. Lai et al., Appl. Phys. Lett. 61, 1877 (1992)). Electron beam lithography followed by the etching of the substrate and filling the so-obtained mold with a metal by electroplating is also known, as taught, for example, by A. Stein et al. for the case of etching the structure into silicon (A. Stein et al., J. Vac. Sci. Technol. B 21.1., January/February 2003, 214-219), or K. Jefimov et al. for the case of polyimide (K. Jefimov et al., Microelectronic Engineering 84 (2007) 1467-1470), or J. Reinspach et al. for germanium (J. Reinspach et al., J. Vac. Sci. Technol. B, Vol. 29, No. 1, January/February 2011, 011012-1-011012-4), or C. David et al. for diamond (C. David et al., Scientific Reports, (2011) 1: 57). Electron beam lithography can be replaced by other types of lithography, such as, X-ray, ion beam, focused ion beam or particle beam lithography, followed by etching and/or electroplating (W. Yun et al., Rev. of Sci. Instruments 70, 5, (1999), 2238-2241; and K. Keskinbora et al., Optics Express, Vol. 21, No. 10 (2013) 11747-11756). The limitations of all these types of lithography and methods are the modest aspect ratios of the features that they are capable of producing. These prior art methods usually achieve an aspect ratio of 10:1, and only a few exceptions can reach aspect ratios close to about 20:1. These aspect ratios are insufficient for efficient focusing of x-rays, or particle beams of a corresponding energy. To overcome the aspect ratio limitation in various lithographic technologies, a method of producing diffractive optics of circular symmetry, called “sputter-and-slice” was proposed by K. Saitoh et al. (K. Saitoh et al., Rev. of Sci. Instruments 60, 7, (1989), 1519-1523). The method begins with a wire, rod, or tube, of circular cross-section, followed by deposition of a succession of alternating layers of material having different characteristics, i.e., alternating low absorbing/high absorbing material layers, or, alternating low refractive index/high refractive index material layers. Layers are deposited by sputtering from different targets in the same vacuum chamber, with rotation of the wire around its axis. The deposition times and conditions are calculated such that the thicknesses of the successively deposited layers are equal to the diffractive line width of a given position in the targeted device. Finally, the wire is sectioned and polished to form the diffractive device, such as, a Fresnel zone plate. One drawback of this method is the accentuation or amplification of radius irregularities of the central wire (i.e. the roughness or accidental other variations including particulate defects and thickness variations during the deposition) as successive layers are deposited beginning from the bare wire and proceeding to the outermost layer. A second drawback is the accumulation of the absolute error values of the depositions thicknesses of each of the inward layers as an error of placement of the next outward layer. Thus, the outermost (i.e. thinnest) layers accumulate the largest radius errors due to the amplification and accumulation of the depositional processes errors through successive layers. This error amplification process can produce defects in the final (thinnest) zone shape and placement exceeding a half-width of the layer/zone itself, rendering that portion of the diffractive line useless for focusing or adequate manipulation of phase shift of the prescribed photon or particle beam. Improvements of the sputter-and-slice method have been proposed by M. Yasumoto et al., (M. Yasumoto et al., Japanese Journal of Applied Physics, 40 (2001), pp. 4747-4748), in which the sputtering of layers onto the rotating wire is performed through a narrow slit, to minimize the roughness accentuating phenomenon. However, in order to effectively reduce the error amplification process, the slit widths have to be reduced to fractions of the wire diameter, which reduces the deposition rate to impractically low values. Another drawback of the sputter-and-slice method is that only one wire can be processed at a time, while the slicing/polishing procedures are serial and time-consuming. A linear form of the sputter-and-slice method has been developed for one dimensional focusing lenses, in which a planar substrate is sputter-deposited with successive layers of designed thickness by moving the planar substrate below sputtering targets and then slicing and using the slices grouped in a symmetrical pair as linear focusing lenses, called Multi-Layer Laue Lenses (MLLs) (H. Yan, et al., Optics express Vol. 19, No. 16, (2011), 15069-15076). The method suffers from the same drawbacks as the wire-based version and can be used only for linear (one-dimensional) optics; however, it has shown relatively higher rates of success because it is easier to obtain highly planar and smoothly-polished planar surfaces rather than perfectly circular, low roughness wires or tubes. An alternative version of the sputter-and-slice method has been developed in which the directional sputtering process onto wires is replaced by atomic layer deposition (ALD), onto wires. ALD is by nature, a highly isotropic deposition process (see review by S. M. George, Chem. Rev., 110 (1), (2010), pp 111-131). The method, reported by M. Mayer et al. (M. Mayer et al., Ultramicroscopy 111 (2011) 1706-1711), which is known as “ALD-and-slice”, eliminates the roughness accentuation of the sputter-and-slice method and even produces a smoothing with succeeding depositions, does not require a rotation setup in the deposition chamber, and permits deposition onto several wires at the same time. However, this method still preserves error accumulation in layer thicknesses, but these errors are more easily kept in control, due to the more precise nature of the ALD process. A version of the ALD-and-slice method, in which successive layers are deposited into the inner cavity of a capillary tube, rather than onto a wire, was proposed by G. Schuetz et al., (U.S. patent application No. 2012/0258243 A1). This method alleviates the problem of error accumulation in the thinnest (top most) layers, since the thicker layers are deposited last, when the accumulated error is higher, but those thicker layers are also more shape and placement tolerant (in absolute values), thus, diminishing the percentage of thickness of accumulated error in the last (thicker) layers/zones. All the versions of the sputter and slice and ALD-and-slice methods preserve the drawbacks of a serial and tedious slicing and polishing procedure. An additional drawback of the ALD-and-slice method is the relative slow rate of deposition in ALD processes, which require months-long deposition times for Fresnel Zone Plates with a reasonable number of zones. A related method was proposed by W. Yun et al. (U.S. Pat. No. 7,365,918 B1) comprising etching a cylindrical hole into a substrate and sequentially depositing layers corresponding in thickness to zone plate zones, by sputtering or ALD, and then slicing by polishing to form zone plates. In practice, for deposition by sputtering, this fabrication process would be limited by the variation of thickness of sputtered layers with the depth in the hole, inherent to the sputtering process. As mentioned above, while sequential ALD is capable of deposition of conformal layers of uniform and controlled thickness, in practice, the method of Yun et al. would be limited by the surface roughness of the cylindrical holes, which depends on the etch process used for etching the holes. For example, a deep reactive ion etching process (DRIE), such as the Bosch etch process, which is used to etch high aspect-ratio, deep, holes for microelectronics or MEMS devices, is known to create sidewall ripples, or “scalloping” of the sidewalls. Thus, the thickness of the thinnest functional zone of the diffractive optic is limited by the average roughness of the side walls, which is usually tens or hundreds of nm for most etching processes. Moreover, this method would need an accurate characterization of the diameter, sidewall tilt and surface roughness of the cylindrical hole, e.g., an accuracy of no less than half of the thinnest targeted functional zone width, which is typically ˜10 nm or less. This accuracy is difficult to characterize in holes of the required depth and aspect ratio, except by destructive procedures. Thus there is a need for improved device structures and methods for fabrication of high aspect ratio diffractive optics, which address one or more of the above mentioned limitations of known device structures and methods. Aspects of the present invention provide device structures comprising diffractive optics and methods of fabrication thereof. Device structures and methods of preferred embodiments are disclosed, which have particular application batch-processing of high-aspect ratio diffractive optics for X-rays and gamma rays up to hundreds of keV and particle beams of corresponding energies. One aspect of the invention provides a method for fabrication, by batch-processing, of a plurality of device structures comprising a high aspect-ratio diffractive optic having a specified diffractive line pattern for photons or particle beams of a prescribed wavelength or energy, comprising: providing a first substrate having a planar front surface and back surface; performing an anisotropic etch process comprising patterning of the front surface of the substrate and removal of material of the substrate to define a precursor structure comprising an array of a plurality of precursor forms comprising one of: a) mesas having sidewalls of predetermined vertical and lateral dimensions and sidewall angle; b) mesas within holes or trenches, the mesas having sidewalls of predetermined vertical and lateral dimensions and sidewall angle; and c) a combination of thereof; smoothing sidewalls of the mesas to a predetermined sidewall surface roughness; depositing thereon a sequence of layers defining the specified diffractive line pattern comprising a plurality of m layer stacks, each layer stack comprising at least a pair of layers comprising a layer of a first material (M1) and a layer of a second material (M2), said layer stacks (M1, M2) being deposited by an isotropic deposition process providing conformal layers of controlled layer thicknesses on sidewalls of each mesa in sequence (M1, M2)1 , (M1, M2)2 , . . . (M1, M2)m , each of said first and second materials having a different selected complex refractive index, said step of depositing comprising controlling the layer thickness of each pair of layers (M1, M2) of the sequence of m layer stacks on sidewalls of each mesa to define diffractive lines of the specified diffractive line pattern for the diffractive optics, wherein each mesa forms a core of one of the diffractive optics; after forming the sequence of layers, providing a planarization layer thereon filling remaining surface voids; performing a first planarization to remove parts of the planarization layer and the sequence of layers and exposing a planarized front-side surface comprising a first surface of each mesa, of the diffractive lines around each mesa and surrounding parts of the planarization layer and the first substrate; performing a second planarization to thin the backside of the first substrate and remove part of the first substrate and the sequence of layers to provide a planarized back-side surface exposing a second surface of each mesa, of the diffractive lines around each mesa and the first substrate; wherein, for photons or particles of the prescribed wavelength or energy, an axial height h between the first surface and second surface of each of the diffractive lines of each diffractive optic, in the direction of propagation, provides at least one of: a required absorption difference between adjacent diffractive lines, a phase shift difference of π between adjacent diffractive lines, and a phase shift difference of a designed (specified) fraction of π between adjacent diffractive lines; and wherein the aspect ratio of the axial height h and the layer thickness of a thinnest layer of the sequence of layers of the diffractive line pattern is greater than 10:1. The precursor forms may be structured to provide two-dimensional and one-dimensional (linear) diffractive optics. For example, for two-dimensional diffractive optics, the mesas may comprise cylindrical columns, or tapered columns, or other forms such as pillars, pedestals, et al. Each mesa may be formed within a surrounding recess, such as a cylindrical hole. For one-dimensional, or linear, diffractive optics, the mesas may comprise linear ridges, rectangular or square mesas, with vertical sidewalls or sidewalls with a selected sidewall angle, formed within trenches. The step of smoothing sidewalls of the mesas to provide the precursor forms having sidewalls with a predetermined surface roughness comprises, prior to depositing the sequence of layer of M1 and M2, providing a sidewall smoothing layer, the sidewall smoothing layer having an RMS surface roughness of a designed fraction of the thickness of the thinnest layer of the sequence of layers of M1 and M2 of the diffractive line pattern. Preferably, the RMS surface roughness of the smoothing layer is no greater than half of the thickness of the thinnest layer. For example, providing a smoothing layer may comprise depositing a conformal layer of a reflowable material and heat treating the reflowable material to reduce surface roughness through capillary action. Providing a smoothing layer alternatively comprises depositing a first layer of a smoothing material having a thickness that is greater than the peak to peak surface roughness of the sidewall of the precursor form, and optionally heat treating or chemically treating the smoothing layer, to reduce surface roughness, for example, to provide an RMS surface roughness of ≤10 nm, or more preferably ≤1 nm. In a preferred embodiment, the step of depositing of at least thinner layers of the sequence of layers comprises Atomic Layer Deposition. Since ALD deposition works by flushing alternatively a precursor gas or vapor and a reactive gas or vapor into the reactor chamber to allow for a monolayer or fraction of monolayer growth, the deposition thickness can be conveniently and accurately controlled by counting the number of flushing sequences. This method allows for an accurately controlled deposition to control the thickness of each layer of the sequence of M1, M2 layers, which may have thicknesses typically in the range from 0.1 nm to 100 nm thick or higher, as limited by reasonable deposition times. Optionally, the step of depositing may further comprise Chemical Vapor Deposition (CVD) for deposition, e.g. for depositing of at least some of the thicker layers of the sequence of layers. The step of performing the first planarization may comprise any one of mechanical polishing, chemical polishing, chemical-mechanical polishing, ion beam polishing and a combination thereof. In an embodiment, after performing the first planarization, the method further comprises attaching a carrier substrate to the planarized first surface of the first substrate; and then performing the second planarization for removing at least part of the first substrate (substrate thinning) to expose a second surface of each of the diffractive line patterns, thereby producing an array of a plurality of diffractive optics supported by remaining parts of the first substrate and the carrier substrate. The step of performing the second planarization may comprise any one of grinding, mechanical polishing, chemical polishing, chemical-mechanical polishing, ion beam polishing and a combination thereof. In an embodiment with a carrier substrate, removing at least part of the carrier substrate, to expose the first surface of each of the diffractive line patterns, comprises opening a plurality of windows in the carrier substrate aligned to each of the plurality of diffractive optics of the array or groups thereof. Optionally, the carrier substrate is bonded to the planarized first surface with at least one intermediate layer, and removing at least part of the carrier substrate to expose the first surface of each of the diffractive line patterns comprises opening windows in the carrier substrate aligned to each diffractive optic to expose said intermediate layer, the at least one intermediate layer acting as a membrane support for each diffractive optic. The method may further comprise providing one or a plurality of additional layers on one or both of the front and back sides (i.e. first and second surfaces) of each diffractive optic, comprising any one of: providing one or more support layers or stress reduction layers for improved mechanical properties; providing one or more thermal dissipation layers; providing one or more electrically conductive layers; providing a separation layer for constructing other planar optical devices atop of the diffractive device; and a combination thereof. The method optionally comprises post-processing by any one of ion implantation, etching or heat treatment for at least one of: reshaping, trimming, stress engineering, adjusting functionality and fine tuning of optical properties of the diffractive optics. The first substrate comprises, for example, a large diameter silicon wafer, which is polished on at least the front side, and which enables for fabrication of an array of a large plurality of diffractive optics by standard batch processing of a set of wafers, e.g. to form tens or hundreds of diffractive optics elements on each wafer. After completion of the above mentioned fabrication steps, the method further comprises a step of device singulation, e.g. wafer dicing or cleaving, to form individual device structures (chips) comprising one or more diffractive optical elements on each chip. Fabrication of the high aspect ratio diffractive optics on a standard silicon wafer, by adaptation of conventional semiconductor processing technologies, is compatible with further processing for integration of other optical and electronic components on the same substrate for more complex functionalities. When the diffractive line pattern comprises a sequence of first and second materials M1 and M2, by way of example, the first material M1 comprises: at least one of platinum, iridium, tungsten, rhodium, osmium, zinc oxide, titanium oxide, hafnium oxide and compounds, alloys or mixtures thereof; and the second material M2 comprises: at least one of boron nitride, carbon, silicon dioxide, silicon nitride, aluminum oxide and compounds, or mixtures thereof. The alternating layers of M1 and M2 form a binary diffractive optic. In some embodiments the sequence of layers comprises a sequence of m layer stacks, wherein each layer stack comprises N layers of materials M1, M2, . . . MN, where N is ≥3, the materials M1, M2, . . . MN having an ordered sequence of different complex indexes of refraction at the prescribed wavelength or energy, the sequence of layers being deposited to form the sequence of m layer stacks (M1, M2, . . . MN)1 (M1, M2, . . . MN)2 , . . . (M1, M2, . . . MN)m with specified layer thicknesses to define an Nth order diffractive optic. By appropriate selection of the diffractive line pattern, the materials M1 . . . MN, and dimensions of the diffractive optics, high aspect ratio, one or two dimensional diffractive optics can more readily be fabricated for focusing or shaping of wavefronts of x-ray or gamma-ray electromagnetic radiation having a prescribed wavelength energy in the range from ˜1 keV to hundreds of keV. The present method is particularly applicable for high aspect ratio diffractive optics for energies in the range above 5 keV, e.g. 8 keV to 100 keV or more. At lower energies, where a high thickness of the absorber/phase shifter materials is not required, other known methods of fabrication may be applicable, but the methods disclosed herein still offer the advantage of an easy way to obtain fine zones in the sub-20 nm zone widths domain. For higher energies, embodiments of the methods disclosed herein are particularly applicable to provide for batch fabrication of high aspect ratio and ultra-high aspect ratio diffractive optics for photons or particle beams of higher energies corresponding to hard x-rays or gamma rays, e.g. several hundred keV. By way of example, to provide high aspect ratio two dimensional diffractive optics structures, defining the precursor structure wherein each mesa comprises a cylindrical column; and wherein each cylindrical column comprises: a diameter in the range from a few micrometers to a few hundreds of micrometers, sized to form a core for the sequence of layers defining the diffractive zone pattern; a side wall angle not more than 5 degrees from vertical, and preferably within 0.5 degrees of vertical; a height between 1 μm and 200 μm, such that after planarization, resulting diffractive optic has the axial height h, the thickness of the thinnest layer of the sequence layers is between 0.2 and 100 nm; and wherein the aspect ratio of said axial height h to said thickness of the thinnest layer is between 20:1 and 100,000:1 Preferably each precursor column is formed within a surrounding recess, such as a cylindrical hole, and each recess is surrounded by a reinforcing region of the substrate, to provide robustness during polishing or other planarization processes. The spacing between sidewalls of the recess or hole and the sidewalls of the column facilitates measurement of the precursor after their fabrication in terms of size, sidewall slope, and surface roughness. The recess or hole also provides that each column is spaced from and surrounded by a surface region of the first substrate to act as a robust etch stop and facilitate subsequent polishing steps. The columns may have substantially vertical sidewalls, e.g. side wall angle of not more than 0.5 degrees, or may have a specified side wall angle, e.g. 5 degrees or other specified angle. A large number of precursor forms can readily be defined in first substrate comprising a silicon wafer, using a sequence of conventional fabrication steps such as reactive ion etching. In another embodiment, for fabricating linear diffractive optics, each mesa comprises a linear ridge; and wherein: each linear ridge comprises: a width in the range from few micrometers to hundreds of micrometers and length from tens of micrometers to a few millimeters, sized to form a core for the sequence of layers defining the diffractive line patterns; a height between 1 μm and 200 μm, such that after planarization, the resulting diffractive optics have the required axial height h; the thickness of thinnest deposited layers of the sequence layers is between 0.2 and 100 nm; and wherein the aspect ratio of said axial height h to said thickness of the thinnest deposited layers is between 20:1 and 100,000:1. Preferably each ridge is formed within a surrounding trench. Each ridge may have substantially vertical sidewalls, e.g. a sidewall angle of not more than 0.5 degrees. In some embodiments, each ridge has a sidewall angle along its length not greater than 5 degrees from vertical. Alternatively, for some applications, a greater sidewall angle is required, and each ridge has tapered sidewalls, having a predefined sidewall angle α with respect to the wafer plane, along its length. Another aspect of the invention provides method of fabrication, by batch processing, of a plurality of device structures comprising a high aspect-ratio diffractive optic, for photons or particle beams of a prescribed wavelength or energy, comprising: providing a first substrate having a planar front surface and back surface; performing an anisotropic etch process comprising patterning of the front surface of the substrate and removal of material of the substrate to define a precursor structure comprising an array of a plurality of precursor forms comprising one of: a) for two dimensional diffractive optics, high aspect ratio cylindrical holes having sidewalls of predetermined vertical and lateral dimensions and sidewall angle; b) for one-dimensional diffractive optics, high aspect ratio linear trenches having sidewalls of predetermined vertical and lateral dimensions and sidewall angle; and c) a combination thereof;smoothing sidewalls of the holes or trenches to a predetermined sidewall surface roughness; depositing thereon a sequence of alternating layers of at least a first material (M1) and a second material (M2) having respective first and second (different) complex indexes of refraction at the prescribed energy or wavelength, said layers of first and second materials being deposited by an isotropic deposition process to provide an alternating sequence of conformal layers of the at least the first and second materials on sidewalls of the precursor forms;said step of depositing comprising controlling the thicknesses of each of the layers of the sequence of layers on sidewalls of each mesa of the precursor structure to form diffractive line patterns for the diffractive optics for said photons or particle beam of the prescribed wavelength or energy; andsaid step of smoothing sidewalls of the holes and trenches to a predetermined sidewall surface roughness comprises smoothing sidewalls to an RMS surface roughness of a fraction of the thickness of the thinnest deposited layer(s) of the sequence of layers M1 and M2; after forming the sequence of layers, providing a planarization layer thereon filling remaining surface voids; performing a first planarization to remove parts of the planarization layer and the sequence of layers and exposing a planarized front-side surface comprising a first surface of each of the diffractive line patterns and surrounding parts of the first substrate; performing a second planarization to thin the backside of first substrate and remove part of the first substrate and the sequence of layers to provide a planarized back-side surface exposing a second surface each of the diffractive line patterns; wherein, for photons or particle beams of the prescribed wavelength or energy, an axial height h between the first surface and second surface of each of the diffractive line patterns of the diffractive optic, in the direction of propagation, provides at least one of: an absorption difference between adjacent diffractive lines, a phase shift difference of π between adjacent diffractive lines, and a phase shift differences of a designed fraction of π between adjacent diffractive lines;and wherein the aspect ratio of the axial height h and the thickness of a thinnest layer of the sequence of layers of the zone pattern is greater than 10:1. In an embodiment, smoothing sidewalls of the holes or trenches to a predetermined sidewall surface roughness comprises, prior to depositing the sequence of layer of at least layers M1 and M2, providing a sidewall smoothing layer, the sidewall smoothing layer having an RMS surface roughness no greater than a fraction of the thickness of a thinnest layer of the sequence of layers of M1 and M2 of the diffractive line patterns. The high aspect ratio holes may be cylindrical holes or tapered holes for formation of two dimensional diffractive optics, square or rectangular trenches for formation one-dimensional (linear) diffractive optics. When the precursor structure comprises cylindrical holes or linear trenches, they have a respective diameter or lateral dimension, after providing the smoothing layer on sidewalls of the cylindrical hole, which is sized to contain the sequence of layers defining the diffractive line pattern. In some embodiments, the side wall angle (tilt) of the cylindrical holes is not greater than 5 degrees, preferably <0.5 degrees or substantially vertical; the depth of the cylindrical holes is between 1 μm and 200 μm, such that after planarization, the resulting diffractive optic has an axial dimension h (i.e. an axial height or distance), in the direction of propagation that provides a phase propagation difference between adjacent zones, of a photon or particle beam that is a multiple of π, or a sufficient or designed fraction of π; the thickness of first deposited layers of the sequence layers is between 0.2 and 100 nm; the aspect ratio of said axial height h to said thickness of the first deposited layers between 20:1 and 100,000:1. Another aspect of the invention provides a diffractive optics device structure comprising at least one diffractive optical element for photons or particle beams of a prescribed wavelength or energy, fabricated by a method as disclosed herein, wherein: for photons or particle beams of the prescribed wavelength or energy, the at least one diffractive optical element has an axial height h between a first surface and a second surface of each of the diffractive line patterns of the diffractive optic, in the direction of propagation, which provides at least one of: an absorption difference between adjacent diffractive lines, a phase shift difference of π between adjacent diffractive lines, a phase shift difference of a designed fraction of π between adjacent diffractive lines;and wherein the aspect ratio of the axial height h and the thickness of a thinnest layer of the sequence of layers of the zone pattern is greater than 10:1. For example, the thickness of thinnest deposited layers of the sequence layers is between 0.2 and 100 nm; the aspect ratio of said axial height h to said thickness of the first deposited layers between 10:1 and 100,000:1. The at least one diffractive optical element may comprise a two dimensional diffractive optical element, or a one dimensional (linear) diffractive optical element, or a mixture of one and two dimensional diffractive optics. The device structure may comprise a binary diffractive optical element comprising a sequence of alternating layers of materials M1 and M2. The device structure may comprise a sequence of layers M1, M2 . . . MN, where N is ≥3, the materials M1 . . . MN having an ordered sequence of different complex indexes of refraction at the prescribed energy or wavelength, thereby forming an Nth-order diffractive optic, with the sequence of layers being deposited in a repeating sequence M1, M2, . . . MN, form a higher order diffractive optic. The diffractive line patterns, and the materials and dimensions of the diffractive optics may be selected for focusing of x-ray or gamma-ray electromagnetic radiation having a prescribed energy, e.g. a selected energy in the range from 5 keV to several hundred keV. In summary, methods according to embodiments of the present invention overcome at least some limitations of conventional ALD-and-slice methods, which are based on sequential atomic layer deposition method on wires and inside capillaries. In particular, the disclosed methods provide for a batch process executable at wafer scale. Batch processing is achieved by providing precursor structures, i.e. forms or patterns, etched into a planar substrate, such as a large diameter silicon wafer, and processing a batch of a large plurality of diffractive optic structures by the wafer, and/or several wafers at a time (“wafer batch”) up to singulation. A sequence of method steps are used to create a precursor structure comprising an array of a plurality of precursor forms such as columns, or columns within holes, or holes. After sidewall smoothing, the precursor structures are sequentially coated with a sequence of ALD layers, M1 . . . MN, of controlled thicknesses defining diffractive layers or zones, to create an array of a large number of diffractive optics on each wafer. Conventional slicing of individual wires or capillaries is replaced by wafer thinning and planarization techniques, such as chemical mechanical polishing, followed by device singulation, e.g. by dicing or cleaving, to produce a batch of large number of individual device structures e.g. fabricated as membranes carrying one or more diffractive optics. For example, a preferred embodiment of a method for fabricating Fresnel zone plates disclosed herein overcomes at least some disadvantages of the conventional ALD-and-slice method, by replacing wires or hollow tubes with arrays of a plurality of precursor forms, e.g. cylindrical columns or cylindrical holes, or cylindrical columns within cylindrical holes, etched in a planar substrate, such as a silicon wafer. Using cylindrical columns to deposit the sequential layers around them has the advantage of enabling a better characterization of the side wall roughness and tilt of the columns versus cylindrical holes. Using cylindrical columns within concentric cylindrical holes provides a surrounding region of the substrate which confers robustness to the column structures for withstanding mechanical polishing. Formation of precursor structures and deposition of sequential layers by ALD is followed by standard batch-processing methods, to replace the conventional tedious serial slicing and individual wire polishing processes with mature and rapid wafer-level processing. This approach allows for parallel processing of hundreds of devices at a time at the wafer level, with processes common through-out the electronics and MEMS industry. The limitations of the disclosed process reside primarily in the accuracy of producing the initial precursor structures (e.g. cylindrical columns, cylindrical columns within cylindrical holes, or other precursor forms or precursor structures disclosed herein) and in controlling the thicknesses in the sequenced layer deposition, practically, in the 1 nm to 100 nm range. The innovative process sequence allows for device thicknesses limited in practice primarily by the fabrication process height limits of columns and holes in semiconductor substrates, which are typically in the range from a fraction of a μm to hundreds of μm. This translates into potential aspect ratios for the resulting zone plate lines of up to tens of thousands or even hundreds of thousands to one. For example, the focussing efficiency of the resulting diffractive optic comprising a zone plate depends on factors including the aspect ratio, the amount of phase shift, which is preferably π, or close to π, provided between adjacent zones, and the quality of the execution of the zone plate structure. Smoothing of sidewalls of the precursor forms prior to deposition of the sequence of layers of the diffractive line pattern improves the quality of execution of the diffractive line patterns. That is, since any initial roughness or non-uniformity of surfaces of the precursor forms are propagated in successively deposited layers, smoothing of surfaces of the precursor form allows for closer control of the thickness and uniformity of the deposited sequence of layers forming the specified diffractive line pattern of the zone plate. The disclosed methods and device structures are particularly applicable to diffractive optical elements, such as a high resolution Fresnel Zone Plate of ultra-high aspect ratio (up to tens of thousands to one) for focusing of hard X-rays or gamma-rays with energies up to hundreds of keV, with zone widths down to few nanometers or less, and thicknesses of a few to tens of micrometers. Fabrication on a semiconductor substrate, such as a silicon wafer, enables integration or mounting of other optical and electrical components on the same substrate. Optional post-processing is possible and can lead to enhancement of the mechanical, thermal, and electrical properties of the devices, or can be used to fine tune their optical properties. The method can be readily generalized for multilayer stacks of ALD films, M1, M2 . . . MN, to approach a smooth variation of absorbance and of phase shifting across Fresnel zones, for an increase in efficiency and the elimination of unwanted focusing and diffraction orders. The foregoing, and other objects, features, aspects and advantages of the present invention will become more apparent from the following detailed description, taken in conjunction with the accompanying drawings of preferred embodiments of the invention, which description is by way of example only. FIGS. 1A and 1B and FIGS. 2 to 7 illustrate schematically steps in a method of fabricating a device structure comprising a high aspect ratio diffractive optic according to a first embodiment, comprising a Fresnel Zone Plate (FZP). The resulting device structure is shown in FIG. 7. FIG. 1A shows a schematic cross-sectional view part of a precursor device structure 10 comprising an array of cylindrical holes 150 defined in a planar substrate 100. The planar substrate comprises, for example a silicon wafer having a smooth polished planar front surface 102 and back surface 104. FIG. 1B shows an enlarged view of part 12 of FIG. 1A, comprising one of the array of cylindrical holes 150, after deposition of a planarization layer 152 and a sequence of conformal layers comprising a first material M1 and a second material M2 have been deposited in the cylindrical hole. As illustrated schematically, there are alternating layers of M1 and M2. The electromagnetic properties of each of first and second materials M1 and M2, e.g. refractive index and/or absorption, and the thicknesses of each layers are selected to form a FZP for electromagnetic radiation or particle beams of a predetermined energy, e.g. for X-rays. After etching of the cylindrical hole 150, and prior to depositing the alternating layers of M1 and M2 of the zone plate, a smoothing layer 152 is provided on sidewalls of the cylindrical hole 150, for reasons explained below. Thus, in transverse cross-section through plane A-A, the resulting device structure comprises a series of concentric rings of alternating layers M1 and M2, as illustrated schematically in FIG. 2, surrounding a central hole or opening 156. For simplicity, only one cylindrical hole 156 is shown in FIG. 1B and FIG. 2. More generally, for batch-processing, the substrate comprises a wafer or disc of a suitable substrate material, for example a crystalline silicon wafer, and an array of a plurality of cylindrical holes are defined in the substrate, as illustrated schematically in FIG. 1A, and as will be described in detail in the following paragraphs. That is, the cylindrical holes are defined using a suitable anisotropic etch process, which is capable of forming holes of the required dimensions and tolerances, e.g. depth, diameter, aspect ratio, and sidewall tilt angle or slope. The sequence of layers M1 and M2 are deposited by a suitable isotropic deposition method, preferably atomic layer deposition (ALD), which is capable of providing the necessary tolerances for material characteristics, uniformity, and thicknesses of each layer of M1 and M2. Chemical Vapor Deposition may alternatively be used for some of the thicker layers. As illustrated schematically in FIGS. 1 and 2, the layer thicknesses of M1 and M2 increase towards the center of opening 156. Since the thinnest layer may be only a few nm thick, ALD is used for depositing the thinner layers with the required thickness and accuracy. ALD provides for controlled deposition of thin conformal layers, with atomically specified thicknesses, at deposition rates in the range of 10-300 nm/hr, or sub-Angstrom per ALD flushing cycle, for example. Thus layer thickness may be finely controlled by counting the flushing cycles of the ALD process or by timed depositions cycles for each layer. For faster, lower cost processing, CVD may be used for depositing the thicker inner layers. The cylindrical holes can be produced using methods well known by those skilled in the art, e.g. by various types of reactive ion etching (RIE), ion beam etching, or metal assisted etching. The requirements for the shape and dimension of the holes, including height/depth, diameter, side wall tilt (angle) and roughness, for this diffractive optics application are well within the ranges permitted by known processes (e.g. cryo-etching by inductive-coupled plasma RIE, metal assisted etching of Si (Z. Huang, Adv. Mater. 2011, 23, 285-308) starting from arrays of gold disks and using a HF—H2O2—DI water solution, or enhancements of this method using ferromagnetic layers sandwiched between noble metal bottom and top capping and a strong magnetic field (Y. Oh, Nano Lett. 2012, 12, 2045-2050) to guide the hole formation), or any other known method. These examples are listed by way of example only. Beneficially, the array of precursor forms is formed on a low cost planar substrate, such as a large diameter silicon wafer, which allows for batch-processing of arrays of a large number of diffractive optics. Certain deep reactive ion etch (DRIE) processes, such as the standard Bosch process for forming deep, high aspect-ratio, holes in silicon, are known to form ripples or “scalloping” on the side walls of the holes. The sidewall ripples may be as large as ˜100 nm. Other etching methods for forming holes of the required dimensions are known to leave surfaces with roughness in the tens of nm range. As explained above, any surface roughness, surface defects, or non-uniformities of the sidewalls of the cylindrical holes are propagated through the successive layers M1 and M2 of the FZP. The thinnest zones of the FZP may be less than 20 nm thick, and perhaps ≤5 nm thick, or ≤1 nm. Thus, in practice, it is essential to use a wall-smoothing procedure to reduce the size of the ripples or “scallops”, or other surface roughness resulting from the etch process, and to smooth the sidewalls of the hole before ALD of the layer sequence of M1 and M2 forming the zones of the FZP. The wall-smoothing method can comprise, for example, a chemical wet etch, deposition and annealing of a low temperature melting or softening material such as boron-phosphorus silicon glass (BPSG), or a polymer, deposited at a thickness comparable to, or slightly larger than, the peak-to-peak roughness of the side-walls of the initial structure. For example, for applications such as MEMs fabrication, side wall smoothing and scallops reduction via etching are described by K. Yu and A. Kumar in (US20140057446 A1), and use of annealed oxides is described by K.-Y. Weng et al. (NSTI-Nanotech 2004, www.nsti.org, ISBN 0-9728422-7-6 Vol. 1, 2004). For fabrication of diffractive optics devices as described herein, the choice of materials for a sidewall smoothing layer has to be such that their softening or melting temperatures are higher than the ALD process temperatures for the materials described in the next section. The slope of the sidewalls of the cylindrical holes should be as close as possible to 90°, i.e. substantially vertical, but deviations of +/−1° or even higher can be acceptable. Typical values of sidewall angle are in the +/−0.5° range. The diameter of the cylindrical holes, after sidewall smoothing, should be targeted to match the diameter of the outermost zone of the FZP or diffractive optics device design targeted for fabrication. For some device structures, as discussed below with reference to alternative embodiments, a larger sidewall angle may be sought after for blazing properties of the diffractive optics, depending on their targeted application. Since the width of the outermost (thinnest) zone is typically ˜20 nm or less, ideally, the smoothing layer should reduce the surface roughness of sidewalls of the hole to a required RMS surface roughness of ˜10 nm and preferably 5 nm or less, typically a fraction, of the thickness of the thinnest diffractive zone, e.g. half of the thickness of the thinnest deposited layer of M1 or M2. Once the precursor structure comprising the array of holes is formed according to the desired or targeted dimensions for the diffractive optics devices, and after providing a smoothing layer, sequential ALD depositions are carried out, starting with the thinnest zones first, comprised of material M1 and material M2. Material M1 should be a material with high absorption or high phase shifting properties at the targeted or prescribed photon or particle energy that will be focused or wave-front manipulated by the diffractive optics devices. As is well-known in X-ray physics, high absorption or high phase shifting is represented by high values of β or δ, where β and δ are the components of the complex refractive index (or complex index of refraction) expressed as n=1−δ−iβ. Suitable materials include, for example W, Ir, or Pt. Other materials are also possible, e.g. Au, or other heavy metals or their compounds, mixtures or alloys, as long as a suitable ALD process or other isotropic deposition process with stringent thickness control is available for deposition of the layers. The thickness control of the first layer/zone, or the first few layers/zones, is the critical and limiting factor for the resolution of the targeted FZP or diffractive optics device. If necessary, to reduce the process time required for the fabrication of the devices, less stringent thickness control deposition processes such as CVD or PECVD (Plasma Enhanced CVD) can be used for the subsequent, thicker, layers/zones. To control the thickness and decide on which width for the outermost (first) layer/zone to start with, it is necessary to accurately know the diameter of the starting hole, as well as to thoroughly characterize the ALD process, especially in the less linear onset regime, to determine the thickness variance characteristic of the layer(s)/zone(s) in question. It is known that less linear growth regimes occur during the first 1-5 ALD cycles, with each ALD cycle depositing about 0.08-0.1 nm of material. Therefore, control of thicknesses in the range of 0.5-1 nm is usually possible. The method also applies to the case of sub-monolayers of materials which may become controllable and reproducible in thickness, with the progress of ALD or other high performance material deposition technology. After the first layer of material M1 is deposited, the same ALD reactor can and should be used to deposit the first layer of material M2. The order of depositing first M1 and then M2 can optionally be reversed. M2 should be a material with transmission and phase shifting properties (i.e. complex refractive index represented by β and δ) at the target photon or particle energy greatly distinct from material M1, and with deposition properties (especially temperature) as close as possible to material M1, to minimize transition periods in switching between ALD deposition cycles. Typical materials with low absorption (β) and low δ are BN (boron nitride), carbon-based materials such as polymers, Al2O3, and others as known by those skilled in the art. Since the difference in absorption/phase shifting is important in determining the focusing properties of the FZP or diffractive optics device, pairs of M1 and M2 materials can be chosen even for lower differences in phase shifting properties, provided that the thickness of the FZP and the initial hole depth is calculated to provide sufficient absorption difference and/or a phase shift of π or sufficiently close to π, for the functionality of the diffractive optical element. As an example of choosing the material pairs M1 and M2 for the sequential deposition, the cases of boron nitride (BN) for material M2 and W, Ir, or Pt for material M1 are described in more detail. One important detail is that all these materials have well-known ALD deposition processes, for example, as described by J. D. Ferguson et al. for BN (J. D. Ferguson et al., Thin Solid Films 413 (2002) 16-25); J. W. Elam et al. for W (J. W. Elam et al., Thin Solid Films 386 2001 41-52); T. Aaltonen et al. for Ir (T. Aaltonen et al., J. Electrochem. Soc. 2004, Voll, 8, pp. G489-G492); and S. J. Ding et al. for Pt (S.-J. Ding et al., Nanoscale Research Lett., 2013, 8:80). Moreover, the deposition temperature ranges are overlapping, which allows choosing a common deposition temperature for M1 and M2, such that heating/cooling times in the reactor are avoided. Deposition cycles for the precursors of these materials are in the few seconds to few tens of second range, which allows for reasonably fast deposition processes. The cylindrical hole should have a height such that a straight and nearly vertical portion of height h between the top layer on the bottom of the hole should form (see FIG. 1B), where h should be such as to produce a phase difference of π between the X-rays passing through M1 and M2 at the prescribed photon or particle energy, or sufficiently close to π or as designed. The complex refractive index of X-rays can be expressed as n=1−β−iβ, where δ and β have values in the 10−5-10−10 range and depend on the photon energy. Optical path differences between rays passing through the high-δ value (in absolute value) materials (M1) and low δ value materials (M2) are represented by Δ=(1−δM1)h−(1−δM2)h=(δM2−δM1)h, where δM1 and δM2 are the values of δ for the two materials at the prescribed photon energy. For a phase difference of π, the optical path difference has to be λ/2, where λ is the wavelength of the X-rays of the prescribed photon energy. This condition is represented by Δ=λ/2, which means (δM2−δM1) hπ=λ/2, where by hπ is designated as the height of the zones giving a phase difference of π. Here from one can express the value of hπ as hπ=λ/2(δM2−δM1). Table 1 (FIG. 21) provides typical values of δM1, δM2, hπ for photon energies of 10 keV, 30 keV, 50 keV, 100 keV and 200 keV, and the values of hπ for the cases of pairing BN (as M2) with W, Ir or Pt (as M1). As is evident, the values of hπ lay in the 2-40 μm range, which is readily achievable with current integrated circuit or MEMS process technology. Assuming a minimum zone width of 5 nm, which again is achievable with current ALD technology, the aspect ratios Aπ W—BN, Aπ Ir—BN, and Aπ Pt—BN, can be calculated as presented in the last three columns of Table 1 (FIG. 21). As is evident, the values are between 400:1 and 8000:1 even for the most extreme energies shown, i.e. 200 eV which corresponds to a photon energy in the gamma-ray range. With thinner minimum ALD layers and deeper cylinders, even higher aspect ratios are possible which represent even more energetic gamma ray photons and corresponding particle energies. The sequence of depositions of ALD layers of material M1 and M2 is repeated, with increasing deposition times for successive layers, to account for the variation in the zone widths (i.e. deposited layer thickness) with zone number. Referring back to FIGS. 1A and 1B, and FIGS. 2 to 7, FIG. 1B shows a vertical cross-section through the ALD layers of the zone plate structure deposited according to the first embodiment, and FIG. 2 shows a transverse cross-section through such a FZP structure. Since the zones in this embodiment increase in thickness, the ALD process will require greater numbers of cycles for the deposition of each material layer, and will therefore approach the linear deposition regime. Limitations on the number of M1/M2 sequences deposited can arise from limitations of the ALD equipment, such as operating costs, defect rate, schedules, et al. Zone plate structures generally function well with only a limited number of zones and it is possible to stop the sequence of ALD processes well before reaching the inner/central zone of greatest thickness and corresponding sidewall layer (zone) width (and longest deposition time). For some applications, such as for scanning X-ray microscopes, a central beam blocker is typically used to block the central part of the FZPs, therefore, rendering it unnecessary to deposit those thick inner zones. FIG. 3 shows the next step in the fabrication sequence for the FZP of the first embodiment, in which a planarization material 110 is deposited to fill the central gap or void 156, and planarize the substrate. Such planarization materials are well known in the electronics and MEMS industry, e.g. spin-on glass, polymers, flow able oxides, etc., and can be deposited by spin-on coating and thermal curing. Alternatively, filling the central gap can be done by electroplating a metal such as Au, Pt, Cu, Ni or other, preferably of high Z and atomic mass, in which case the beam blocker can be integrated directly on the zone plate structure. In that case, a plating base film will need to be deposited prior to electroplating. Optionally, a photoresist mask can be used to limit the electroplating to some targeted areas. FIG. 4 shows the next step in the processing sequence: i.e. a first planarization by polishing the top of the substrate. Ideally, the polishing process can be conducted until the first-deposited layer of material M1, and smoothing layer 152, is exposed and polished off from the flat/horizontal top surface 102 of the substrate 100. Typically, polishing processes involved are mostly mechanical, but can have a chemical component too, i.e. one or more chemical-mechanical polishing steps, suitable for removing the materials of the planarization filler 110 and materials M1 and M2, sequentially or not. For example, a polishing process that allows a polish stop on the first substrate material is beneficial, if available. The polish stop in that case can be achieved through selective polishing properties of the slurry. Alternatively, a method that involves stopping the polish process through periodic checking or measurement of the progress of polishing through measurement of the remaining layer thickness can be used. In case the precursor diameter or sidewall slope or side wall roughness is not ideal near the top of the sidewall, e.g. near the top edge of the hole at surface 102 of the substrate 100, the polishing process can continue until that portion is polished off, i.e. removing some the original surface 102 of the substrate, to reach a flat-polished surface 122 beyond 102. For example, the first planarization polishing can be continued until reaching the top side of the precursor structure meeting designed geometrical specifications of diameter, cylindricity, side wall slope or cross-sectional shape. FIG. 5 shows the attachment (bonding) of a carrier substrate 200 to the first substrate 100, through an intermediate layer 300. The attachment can be made through wafer bonding, such as anodic bonding, thermo-compression bonding, or adhesive bonding. An intermediate layer 300 comprising a bonding layer or adhesion layer is preferable if the bonding of the two parts by themselves is expensive or low-yielding. Typical examples of adhesion layers for anodic bonding are a sandwich of Me/Si3N4/SiO2 layers, where Me is a metal and the insulation layer is necessary to electrically insulate the two substrates. By way of example, the metal is typically Al, Ni, W, Ti, Ti—Au, Cu, etc. and other examples of bonding metals are well known by those skilled in the art. The metal is used to spread the electric field uniformly across the metal. Uniformity in electric field is desirable to facilitate anodic bonding processes between the substrates and to avoid undesirable local electric field “hotspots” as a result of the presence of the high Z metals (e.g. W, Jr, Au, etc,) which form part of the zone plate structures. For the case of thermo-compression bonding, an alloy-forming material with the substrate (typically Si) is sought, such as Au (known to form a low-melting-temperature Au—Si eutectic). For adhesive bonding, the adhesion layer can be a polymer glue, or a combination of membrane-making materials (Si, Si3N4, SiOxNy, SiC, or other carbon-based materials) and a polymer glue as familiar to those skilled in the art of wafer bonding. Referring to FIG. 6, after the bonding step, the material of the initial substrate 100 is thinned, e.g. polished off, until the ALD stack of layers is reached. At that point, the ALD stack of alternating high and low refractive index materials is also polished partially or totally, until a surface 132 of the central filling of planarization material 110 is exposed as a polish stop, as shown schematically in FIG. 6. Polishing continues until surface 130 is reached to provide a FZP of the required height h. The second planarization polishing can be continued until reaching a region of the precursor structure which meets the designed geometrical specifications of diameter, cylindricity, side wall slope or cross-sectional shape. The substrate 100 forms a membrane supporting the diffractive optic and the thickness of the membrane is equal to the height h of the diffractive line pattern. The height h, i.e. the distance h in the direction of propagation, can be in the range from few micrometers to hundreds of micrometers. In case the precursor diameter or slope or side wall roughness is not ideal on a portion near the bottom of the hole formed in the substrate 100, polishing can continue until that portion is polished off. This second polishing of the second (back) surface, combined with the first polishing to planarize the first (front) surface of the precursor, as described above, allows for selection of the desired or best part of the precursor height to be used for the diffractive optic element. Typically the depth of the precursor hole is deep enough so that if the precursor geometry is not ideal along its entire height, the first and second polishing steps are used to select a portion of the required height h, such as the middle portion. The second planarization polishing can be continued until reaching a region of the precursor structure which meets the designed geometrical specifications of diameter, cylindricity, side wall slope or cross section shape. For example, prior to planarization, the dimensions of the hole 156 would be first characterized by measurements and a portion of the required height h would be selected where the diameter and slope meet design requirements. That portion should then be chosen to remain after the first and second planarization processes. After backside polishing, a window 202 is opened in the carrier substrate 200, e.g. selectively etching away part of the carrier substrate 200 to form a layer or a membrane hosting the diffractive structure, as shown schematically in FIG. 7. For this step, coating of exposed surfaces 202 and 130 of the bonded and polished substrates with an etch-resistant film is preferred. For example, a layer of Si3N4 deposited by LPCVD or PECVD would be a suitable etch resistant film. This step would preferably be followed by opening of access to the device by front-to-back alignment contact lithography and a RIE process to etch a suitable window in the etch-resistant film (e.g. the Si3N4 layer) on the carrier substrate side, followed by anisotropic chemical etching of the substrate 200. For example, the material of choice for substrate 200 may be silicon. Alternatively, the back side etching can be performed through lithography in a thick resist layer, or lithography through a resist and RIE Bosch process-resistant hard mask, such as a Al, SiO2, Ni (as non-limiting examples and where other examples of hard mask material are well known by those skilled in the art), followed by a deep dry etching process, such as the Bosch process, to reach the surface of the diffractive optics structure or, as illustrated in FIG. 7, the surface 302 of the interlayer 300 used for bonding. Post processing, such as, CVD deposition of a protective layer (not illustrated) over exposed surfaces of the composite membrane structure can optionally be included. This protective layer can have suitable mechanical properties, such as, for mechanically strengthening the membrane or flattening out compressive stress induced buckling (stress engineering); thermal properties, such as, for dissipation of heat from the structure during its operation in the beam, which can heat and deform it; electrical properties, such as for dissipation of charges; thermo-mechanical properties, such as, for mitigation of thermal expansion properties in the composite membrane; or combinations thereof. The nature of the protective layer film and the deposition process (e.g. by CVD) should to be chosen to be compatible with the materials present in the structure before coating to achieve the desired mechanical, thermal, electrical, properties. Such a layer can also have the form of an engineered layer or multiple layers to host integrated functionalities such as passive or active components for beam monitoring, such as flux monitoring, alignment, temperature or safety switch off, or to host conductive leads to contact circuit devices integrated in the substrate or carrier, for these functionalities. Post processing may also comprise reshaping or trimming individual diffractive optics elements. For example, it may be required to shape the precursor and ALD layers by removing end-rounded ALD layers on elongated ridges, or for precursor forms with angled sidewalls, reshaping edges of the ALD layers. Optionally, additional processes, such as ion implantation for stress and buckling compensation, or selective etching of the remaining material of the initial substrate 100, or of the bonding layer 300, or of any of the materials M1 and M2 of the optical diffractive structure to fine-tune or enhance its optical performance can be performed. For example, removal of the bonding layer may be desirable to achieve a desired property in the final diffractive optics device. Post processing can be performed for enhancing the device functionality by the integration with other devices, for example, building a beam blocker on top of a FZP as used for scanning X-ray microscopy purposes or integrating a FZP on a micro-electro-mechanical system (MEMS) device, for alignment or fine positioning manipulation within larger devices or systems. Such functionalities can be integrated along with other functionalities already mentioned, with part of their circuitry present in the substrate 100 or carrier 200. The device structure of the first embodiment described above benefits from the fact that the narrower layers/zones are deposited at the beginning of the process in near proximity to the outer edge of the hole and these layers/zones accumulate less radius error through depositional or other errors in thickness of each of the successive layers. However, there can be a disadvantage that the magnitude of the roughness of the initial hole walls is similar to the thickness of the first layer/zone width, which may be e.g. ˜5 nm to ˜20 nm, or even larger. Accordingly, as described above, beneficially, a sidewall smoothing layer is provided before deposition of the sequence of layers of M1 and M2 which form the diffractive optic. In a variant of this embodiment, to smooth the side walls, the deposition can start with a thick ALD layer (any of M1 or M2, or even a different ALD layer Mx), which can be annealed to a flowing or roughness smoothing point, to reduce the initial wall roughness and thereby reduce the effect of the wall roughness or non-uniformity on the first layer/zone. Alternatively, a smoothing layer comprising BPSG or a polymer layer can be provided, as described above. The order of deposition of layers M1 and M2 may be reversed. That is, the use of material M1 (high absorption or refractive index) for the first deposited zone is not mandatory. The deposition can start with material M2 (low absorption or refractive index), since the principle of Babinet (e.g. see M. Born and E, Wolf, Principles of Optics, Sixth edition, Cambridge University Press, 1998, p. 381) assures that the diffraction properties of the resulting structure with reversed M1-M2 order will be the same as for a device deposited with the non-reversed M1-M2 order, i.e. starting with M1, then M2. The choice of zone widths, translated into the values of the deposited layers thickness in the sequence of depositions has to reflect the targeted functionality of the device. The following example illustrates the focusing of X-rays using FZPs using the inventive method. Let's assume that after the fabrication of the cylindrical hole 150 in substrate 100 (i.e. the dimensions of the hole after all smoothing procedures have been applied) the radius of that hole is rc, and that the targeted FFP should have a focusing distance f at a prescribed X-ray wavelength of λ. For a FZP to function effectively, the basic relationship r n = n λ f + n 2 λ 2 4 ≈ n λ f ( 1 ) has to be fulfilled, where n is the order of the Fresnel zone, counted from the center of the FZP to the outermost (thinnest) zone. Therefore, an order for the outermost zone, nc, can be established as n c ≈ [ r c 2 λ f ] , ( 2 ) where the brackets represent the rounding towards an integer value of the contained argument.The thickness of the first deposited layer should be t1 t 1 ≈ r c - r n c - 1 = r c - ( [ r c 2 λ f ] - 1 ) λ f ( 3 ) while the successive layer thicknesses should be t 2 ≈ r n c - 1 - r n c - 2 = ( [ r c 2 λ f ] - 1 ) λ f - ( [ r c 2 λ f ] - 2 ) λ f ( 4 ) … t k ≈ r n c - k + 1 - r n c - 2 = ( [ r c 2 λ f ] - k + 1 ) λ f - ( [ r c 2 λ f ] - k ) λ f ( 5 ) eventually reaching k=nc if a central zone (n=0) is targeted. However, zone depositions can be stopped at an earlier, convenient time or value of k, since thick layers require longer (i.e, more costly) deposition times. It should be noted that in using this strategy, the first deposited layer of thickness t1 covers more than a Fresnel zone, but this is required since a thickness lower than the minimum thickness that can be deposited is not (by definition) possible. The minimum zone thickness is supposed to be the next one (in the order of deposition, i.e. k=2). Alternatively, if the diffractive device is not a FZP, or is a FZP of a special configuration, the deposited material thicknesses are not required to follow relation (1). The deposited material thicknesses would be defined by the appropriate zone width specifications of the diffractive device that is being targeted, i.e. to form a specific diffractive line pattern. By way of example only, other diffractive devices may comprise devices with equal thickness zones (equivalent to axicons), FZPs with missing zones (known as fractal zone plates), FZPs with composite design and others, as well-known to those skilled in the art. A fabrication sequence for a device structure comprising a diffractive optic in the form of a FZP according to a second embodiment is illustrated schematically in FIGS. 8A and 8B, and Figs. 9 to 14. For this embodiment, the precursor structure 20 comprises a substrate having a planar front surface 103 and a back surface 105. An array of cylindrical columns is defined in the front surface, as shown in FIG. 8A, each column being concentrically formed within a cylindrical hole 157. FIG. 8B shows an enlarged view of one of the forms comprising one cylindrical column 151 within a cylindrical hole 157. The processing sequence for deposition of layers M1 and M2 of the device structure of second embodiment follows a similar sequence of steps as that of the first embodiment, except that the column 151 forms the core of the diffractive optic, and therefore, the ALD deposition of the sequence of layers/zones starts with the thickest layer/zone and ends with the thinnest layer/zone in the stack, as shown schematically in the vertical cross-sectional view in FIG. 8B, and in the transverse cross-sectional view shown in FIG. 9, which is taken through plane B-B of FIG. 8B, which shows schematically the diffractive line pattern formed by a sequence of m layer stacks (M2, M1)1 , (M2, M1)2 . . . (M2, M1)m wherein (M2, M1)m , is the thinnest pair of layers/pair of zones. The thickest layer/zone is not necessarily zone 2 (counting the central cylindrical column 151 as the central zone 1 for the FZP/diffractive optics device), but can be any zone of higher number n, provided that the sequence of alternating M1/M2 layers (or M2/M1 layers as illustrated) matches the structure of a FZP starting with the zone number n and zone widths decrease from there proportional to 1/sqrt(n+k), where k is the zone number for the order of deposition. The precursor structure for the FZP in this embodiment is formed by patterning and etching the front surface 103 of the substrate using a suitable anisotropic etch process, which is capable of producing columns of the required dimensions, aspect ratio, sidewall tilt (angle) and surface roughness. A smoothing process or a smoothing layer (not illustrated in FIGS. 8A and 8B) is provided to reduce surface roughness of the sidewalls of the columns, as described for the device structure of the first embodiment. Note that the high aspect ratio columns 151 are formed within a relatively wide cylindrical hole 157. Thus, this structure places less restrictions of the etch process, i.e. the hole or opening surrounding the column has a lower aspect ratio. The surrounding hole also facilitates measurements for characterization of the dimensions and other parameters of the columns. Also the region 107 of the substrate around each hole 157 provides a reinforcing region during subsequent processing. Subsequent processing steps, shown schematically in FIGS. 10 to 14, are similar to those described for the method of the first embodiment, except as noted above, since each column forms a core of the diffractive optic, the thickest layer of the M1/M2 layer stack is deposited first, and the thinnest layer is deposited last. As illustrated in FIG. 10, after deposition of the M1/M2 layer stack on each column, a planarizing layer 111 is deposited over the wafer, to fill any gaps or voids left in the surrounding hole around the column and M1/M2 layer stack, followed by planarization by polishing, e.g. one or more chemical mechanical polishing steps, as illustrated schematically in FIG. 11, to expose top surface 103, or polish beyond it, to reach a surface 123, where the precursor geometry fits the shape specifications. A carrier wafer 201 is then bonded to surface 123 with an intermediate layer 301, as illustrated in FIG. 12. Then, the underlying substrate is removed leaving a planarized surface 131 as illustrated in FIG. 13. A window 211 is then opened from the surface 203 of the carrier substrate 201 to expose the surface 303 of the intermediate layer 301, as shown schematically in FIG. 14, leaving the diffractive optic supported in the surrounding substrate 100 on intermediate layer 301. As mentioned with respect to the first embodiment, this process for opening a window typically uses a lithographic process to form a hard mask, followed by a selective chemical or reactive ion etching of the carrier substrate material. Other windows etching methods in the carrier substrate can also be used, such as laser drilling or machining, mechanical machining, ion beam etching, focused ion beam etching, chemical assisted laser etching, reactive ion beam etching (RIBE), chemical assisted ion beam etching (CAIBE), or combinations thereof. In the case that the first substrate is robust enough to support the second planarization and later, handling the optical devices, the carrier substrate can be removed in its totality. The formation of the initial structure comprising a cylindrical column within a cylindrical opening having the required dimensions, side wall smoothing considerations, the post-processing, additional processing and starting material order considerations for the device structure and method of the first embodiment also apply to those of second embodiment. Since fabrication of the device structure of the second embodiment starts with deposition of a thicker zone and ALD is known to smoothen the roughness while deposition proceeds, this sequence of deposition will help to smooth the subsequent depositional surfaces as later thinner layers/zones, or even the outermost, thinnest layer/zone, are deposited. However, the error accumulation from the initial depositions to the later depositions does tend to produce more significant thickness errors in the subsequent thinner layers/zones. Accordingly, fabrication of FZP on columns can benefit from providing an initial smoothing layer on each column, to reduce surface roughness (e.g. to provide an RMS surface roughness of half the thickness of the thinnest layer of the diffractive line pattern), prior to deposition of the M1/M2 layer sequence. A device structure of a third embodiment comprises a diffractive optic for higher order than binary diffraction. It is known that binary diffraction optics provide only a first order approximation towards a more complex, continuously-varying phase or absorption objects, such as typically embodied by kinoforms. A closer-to-continuum variation of optical path and phase differences in diffractive optics can be realized by providing a structure comprising a plurality of three or more materials in successive layers, M1, M2, . . . MN, rather than two materials M1 and M2, as described with reference to the device structures of the first and second embodiments which are based on a binary optics approach. For the particular case of FZPs for X-rays, using a plurality of layer stacks of three or more layers of materials, the e.g. material types of layers M1, M2, M3, or a plurality of layers M1 to MN, their thicknesses are calculated to match their absorption and phase shifting properties with the corresponding sub-zone widths and thicknesses. ALD is ideal for realizing such gradual phase transitions, using 3 or more ALD layers, if the multiple layers are compatible in terms of processing. The latter mainly involves the ALD processes being conducted at the same temperature and with non-mutual-reacting chemistries. While in diffraction optics with only two materials the order of materials M1 and M2 in the sequence is not important, in devices with 3 or higher number of materials, the order Ml, M2 and M3 is important. For the case of FZPs for focusing as illustrated in FIG. 16A, the order of M1, M2, and M3 should be such that δ1<δ2<δ3. FIG. 16A shows a schematic cross-sectional view of a three-phase diffractive optics device of another embodiment, comprising a FZP for hard X-rays, using a three layer stack of materials M1 , M2, and M3. As illustrated in FIG. 16A, for deposition around a column that forms the central core 150 or 151 of the diffractive optic, for three -layer stacks (M1, M2, M3), for δ1<δ2<δ3, the sequence of deposition of layers for each diffractive line/zone is in the order (M1, M2, M3)1, (M1, M2, M3)2 . . . (M1, M2, M3)m , FIG. 16B shows a resulting 3-step phase shifts approximation of the idealcontinuous—varying phase shift in a kinoform as shown in FIG. 16C. An example of a three material system to achieve such a variation of δ, i.e. wherein δ1<δ2<δ3is M1=BN (boron nitride), M2=Al2O3 (aluminum oxide), M3=W (tungsten). The extension towards a larger number of materials and sub-zones is evident. FIGS. 17A, 17B and 17C illustrates a device structure of yet another embodiment, with extension towards multiple materials M1, M2, M3, . . ., MN, for example, a FZP for hard X-rays, for which the relation δ1<δ2<δ3<. . . <δN has to be fulfilled. As illustrated in FIG. 17A, for deposition around a column that forms the central core 150 or 151 of the diffractive optic, in which each N-layer stack comprises (M1, M2, M3, . . . MN), for δ1<δ2<δ3<. . . <δN , the sequence of deposition of layers for each diffractive line/zone is in the order (M1, M2, M3, . . . MN)i (M1, M2, M3, . . . MN)2. . . . (M1, M2, M3, . . . MN)m. Thus, FIG. 17A shows a schematic cross-sectional view of a multiple-phase diffractive optics device of a fourth embodiment, comprising a FZP for hard X-rays, using multiple layers (N) stacks of materials M1, M2, . . . MN. FIG. 16B illustrates the resulting N-steps phase shift approximation corresponding to an ideal continuous-varying phase shift in a kinoform as shown in FIG. 16C. Thus, it will be appreciated that the method can be readily generalized for multilayer stacks of ALD films, M1, M2, . . . MN, to approach a smooth variation of absorbance of phase shifting across Fresnel zones, for an increase in efficiency and elimination of unwanted focusing/diffraction orders. In the device structures of the embodiments described in detail above, the diffractive optics comprise diffractive line patterns in the form of concentric circular zones, formed within a cylindrical hole or around a cylindrical column or post. By providing a precursor structure or form comprising a planar substrate in which is defined an array of cylindrical holes, or an array of cylindrical columns, batch-processing, using processes adapted from microelectronics and MEMs fabrication, allows for a large number of high aspect ratio diffractive optics, such as Fresnel Zone Plates, to be fabricated on a single wafer. Other precursor structures, i.e. different geometric forms, such as an array of linear trenches may alternatively be provided to fabricate diffractive optics of other forms. For example, in a method of fabrication of a devices structure of a yet another embodiment, comprising a linear (one-dimensional) diffractive optic, the process starts by forming a precursor structure comprising an array of linear trenches etched into the initial planar substrate, followed by successive ALD depositions of pairs of layers M1 and M2, or sequences of layers M1, M2 . . . MN, to form device structures comprising diffraction gratings, coded linear apertures or linear focusing devices such as multilayer Laue lenses (MLLs). Thus, these devices can also be formed by batch-processing at wafer level using methods as disclosed herein. By way of example, FIGS. 18 to 20 show some device structures according to alternative embodiments formed from precursor structures of different geometries. A precursor form 30 for an FZP of another embodiment comprises a substrate wafer 1001 having an array of a plurality of holes 350, with a plurality of columns 351 defined in each hole 350, with an intermediate island 352 of the substrate between each hole 350 as illustrated in the schematic cross-sectional view in FIG. 18. For example, for a two dimensional diffractive optic, if the precursor form 30 comprises an array of cylindrical columns 351 of the appropriate dimensions for forming FZP similar to those of the second embodiment, the columns in holes are structured for forming arrays of zone plates with at least a minimum distance between them. That is, while the precursor form illustrated in FIG. 8A has one cylindrical column in each cylindrical hole, the precursor form as illustrated in FIG. 18, for forming a two dimensional diffractive optic, comprises a group of a plurality of cylindrical columns 351 formed within each cylindrical hole 350, with islands 352 of the substrate surrounding each hole. The larger islands 352 or reinforcing regions of the substrate around groups of columns provide a more robust substrate structure during fabrication, and e.g. to provide areas of the planar substrate surface that act as a polish stop layer for the chemical-mechanical polishing steps. For forming one dimensional diffractive optics, a precursor form 40 of another embodiment is illustrated in the view shown in FIG. 19. The cross-sectional form is similar to that shown in FIG. 18, but the precursor form 40 etched in the substrate wafer 1002 has a linear structure, wherein the holes comprise linear trenches 450, of rectangular cross-section, and a group of a plurality of the columns 451, in the form linear ridges of rectangular cross-section, are formed in each trench 450, to form a linear array. The larger islands 452 or regions of the substrate around groups of columns provide a more robust substrate structure during fabrication, and e.g. to provide areas of the planar substrate surface that act as a polish stop layer for the chemical-mechanical polishing steps Alternatively, columns of various forms can be arranged to form a square, hexagonal (honeycomb) or any other type of array. As an example, this way, arrays of FZPs can be fabricated, for instance, useful for X-ray lithography purposes. For example, structures have been described for UV lithography, e.g. H. Smith (H. I. Smith, J. Vac. Sci. Technol. B 14(6), November/December 1996, pp. 4318-4332) or patent WO 2004010228 A2 (R. Menon et. al., 2004, “Maskless lithography using an array of diffractive focusing elements”). The process disclosed herein to form arrays of FZPs extends the spectral domain of the technology towards higher photon energy or particle beams. FIG. 20 shows a device structure comprising a diffractive optic of yet another embodiment, comprising a substrate 1003 wherein a precursor form 50 comprises a plurality of columns or ridges 551 with slanted walls within a surrounding trench. That is the sidewalls of the columns or ridges extend at an angle α relative to the wafer plane (i.e. the plane of the surface of the substrate), so that the ridges have a cross-section of trapezoidal shape. For example, this form of structure can be used to form a diffractive optic which diffracts an X-ray beam out of the wafer plane, in a specified direction. Depositing sequential ALD layers M1, M2, or M1-M2- . . . MN onto the slanted walls would result in tilted gratings, suited for introducing X-rays into the plane of the substrate, useful for 2D optics in waveguides. In that case, after planarization, some trimming or reshaping of the structures may be required. For example, etching off part of the structures e.g. by combinations of lithographic and reactive ion etching or ion beam etching means, or focused ion beam, can be used to remove in-plane areas of sequential ALD-coated precursors, to allow the un-hindered in-plane propagation of the beam. Fabrication of devices structures of exemplary embodiments have been described in detail herein, by way of example only. In these examples, fabrication by batch-processing provides an array of a plurality of similar diffractive optics on each wafer. In further alternative embodiments, it will be appreciated that, for example, a plurality of diffractive optics of different forms or geometries may be fabricated on the same wafer. For example, it is also possible to produce linear devices on the same wafer as devices with circular or other symmetry. Or alternatively, in a wafer batch, some wafers may define an array diffractive optics of a first structure and other wafers define arrays of diffractive optics of other structures. Where optics of different structures are to be combined on a single wafer, the rule of combining different diffractive optical elements on a same wafer or batch of wafers is that the structures must share the same sequence of layers M1, M2, or layers M1, M2, . . . MN, i.e. including the same materials and thicknesses, to allow simultaneous processing by ALD. For example, precursor forms with columns and holes cannot be combined on one substrate, since the thinnest layer is deposited first for holes and the thickest layer is deposited first for columns. In the structures of some of the embodiments described above, the holes and columns are preferably cylindrical, i.e. with substantial vertical sidewalls, or with a small sidewall tilt angle. In other alternative embodiments, the shape of the holes or the shape of the columns may be varied. For example, a certain tilt angle or other structuring of the side walls of structures used to deposit the sequential ALD layers is possible, allowable, and potentially advantageous to the functioning of the diffractive optical devices so fabricated, as long as the slant angle and other geometrical parameters of the resultant device are well controlled. For example, a tilt angle or slope of the sidewalls of holes for the device structure of the first embodiment, as well as a tilt of the sidewalls of the columns for the device structure of second embodiment, could lead to an enhancement of the focusing efficiency in a certain focus spot corresponding to a focus order towards which the tilted zone is pointing/blazing. Variations of the tilt angle close to this blazing direction can provide “guidance” of the hard X-rays or particle beams by channeling them in those directions, as long as the reflections on the adjacent zone walls are close to the total reflection condition. Recently, an analogous effect of guiding of X-rays through curved (similar to tilted) micro-channels was proven (T. Salditt, et al., Phys. Rev. Lett. 115, (2015), 203902), implying that such blazing through tilted zones is possible. This might alleviate the strict requirement for the fabrication of right angles and no wavinesss for the sidewall of the central column or hole, leading towards a more technologically-achievable result for current generations of patterning processes. In summary, a batch manufacturing process is disclosed for fabrication of diffractive optics, and in particular super-high aspect ratio diffractive optics suitable for high energy X-rays or gamma rays or particle beams. It will be appreciated there is overlap in energies of high photon energy X-rays and low photon energy gamma rays. The methods disclosed herein may be applied for diffractive optics, such as zone plates, for X-rays having energies in the range from 5 keV to several hundred keV, and for gamma rays which extend to higher energies, e.g. 400 keV or more. For example, zone plates having an aspect ratio greater than 100:1, would provide some focusing effect of X-rays at ˜8 keV, but would be far from ideal. An aspect ratio upwards of 250:1 would typically be required for efficient focusing of x-rays of 8 keV, e.g. for X-ray microscopy. As illustrated by the examples in Table 1 (FIG. 21), for focusing or reshaping wavefronts of photons or particle beams having higher energies, significantly higher aspect ratios, e.g. ˜1000:1 or ˜10,000:1 may be required. The method adapts a sequence of standard wafer processing techniques, which are known from the micro-electronics or MEMS industry, to allows batch/parallel processing of several to hundreds or thousands of devices and allows for a variety of optics design configurations to be processed simultaneously on the same wafer or on multiple wafers in a wafer lot. This approach offers a number of potential advantages over known processes, for example: The substrate/wafer may be a low cost substrate such as a single crystal silicon wafer, which allows for large wafer scale processing on e.g. 4 inch, 8 inch or larger diameter wafers. An array of starting structures such as cylindrical columns or holes, or columns or holes of other shapes, as suited for the respective diffractive optic design, can be formed with high precision using standard processing techniques, such as, lithography followed by reactive ion etching, or metal assisted chemical etching, or focused ion beam machining. A subsequent side wall smoothing process is provided to provide the structures with the required dimensions and tolerances and having a surface roughness smaller than a fraction of the thinnest diffractive zone. For deposition of a sequence of layers for binary or higher order diffractive optic, an isotropic deposition process, for example ALD, optionally combined with CVD for thicker layers, provides for a time-controlled deposition on the starting structures (precursor forms) of the sequence of alternating layers of M1, M2, . . . MN with controlled thicknesses. The materials of each layer, their absorption and refractive index characteristics, and the thicknesses of each layer are selected according to the targeted design requirements, i.e. for electromagnetic radiation or particle beams of a predetermined energy, such as, X-rays or gamma rays, or particle beams of similar energies. In subsequent processing, instead of conventional slicing, unwanted parts of the layers of ALD and CVD deposited materials and the substrate are removed by one or more planarization processes, such as mechanical polishing or chemical mechanical polishing, to leave planarized front and back surfaces. For example, the top polished substrate surface can be attached or bonded to a carrier wafer for removal of the underlying substrate, e.g. by thinning and polishing off the initial substrate/wafer to the point at which the ALD-coated columns or holes structure is reached and the ALD material is totally or in part polished off from back surface. The carrier substrate wafer can then be patterned as required, e.g. to open windows, using a process such as reactive ion etching or chemical etching, and form membranes on which the diffractive optics are supported or hosted. Optionally, post-processing may include, for example, reinforcing the device with additional layers deposited by CVD methods for support or mechanical purposes (e.g. flattening of the membranes), etching away the initial substrate/wafer material or part or the entirety of one or several of the ALD-deposited layers, ion implantation for mechanical purposes, or enhancing the device functionality by the integration with another device or devices, for example, building a beam blocker on top of a FZP as used for scanning X-ray microscopy purposes or integrating a FZP on a micro-electro-mechanical system (MEMS) device, for fine positioning manipulation, or, for formation of in-wafer-plane optics (i.e. with beams propagating parallel to the substrate surface, rather than transverse to the surface). Although embodiments of the invention have been described and illustrated in detail, it is to be clearly understood that the same is by way of illustration and example only and not to be taken by way of limitation, the scope of the present invention being limited only by the appended claims. |
|
summary | ||
claims | 1. A reactor state monitoring apparatus comprising:a pressure vessel;a biological shielding wall at least partially surrounding the pressure vessel;a containment vessel surrounding the biological shielding wall;a first radiation measuring device configured to detect and measure a gamma radiation dose in an atmosphere which is located in between the containment vessel and the biological shielding wall;a second radiation measuring device configured to detect and measure a gamma radiation dose in between the pressure vessel and the biological shielding wall;a third radiation measuring device located in between the pressure vessel and the biological shielding wall and configured to detect and measure a gamma radiation dose of a fuel contained in the pressure vessel;a dosage/water level diagram storage memory configured to store a dosage/water level diagram indicating a relation between measurement values of the second radiation measuring device and water levels corresponding to water amounts of a cooling water in the pressure vessel; anda water amount evaluation device configured to:calculate a difference between the gamma radiation dose measured by the first radiation measuring device and the gamma radiation dose measured by the third radiation measuring device as a corrected fuel radiation dose, thenread out the dosage/water level diagram from the dosage/water level diagram storage memory, thencorrect the read dosage/water level diagram with use of the corrected fuel radiation dose, and thenacquire a water level of the cooling water corresponding to the radiation dose measured by the second radiation measuring device based on the corrected dosage/water level diagram as a water level evaluation value. 2. The reactor state monitoring apparatus according to claim 1, wherein the second radiation measuring device is configured to detect the radiation at least above an upper end of the fuel, and the third radiation measuring device is configured to detect a radiation dose at least below a lower end of the fuel. 3. The reactor state monitoring apparatus according to claim 1, wherein the second radiation measuring device and the third radiation measuring device are configured to detect a gamma-ray dose of 511 keV or more. 4. The reactor state monitoring apparatus according to claim 1, further comprising:a thermocouple water gauge provided inside the pressure vessel and having a heater;an operating state/output relation storage memory configured to store a correspondence table in which outputs of the thermocouple water gauge detected by the thermocouple water gauge based on radiation or heat of the heater are each made to correspond to a relation between operating states of the reactor and the water levels, and in which outputs of the second radiation measuring device are made to correspond to a relation between the operating states of the reactor and the water levels; anda state estimation device configured to evaluate an operating state of the reactor based on the correspondence table read out from the operating state/output relation storage memory, an output of the thermocouple water gauge, and an output of the second radiation measuring device. 5. The reactor state monitoring apparatus according to claim 4, wherein the thermocouple water gauge is provided in a plurality of places along a vertical direction of the pressure vessel, and the state estimation device configured to estimate a height-direction range of a gas-liquid two-phase flow in the pressure vessel based on a plurality of outputs of the thermocouple water gauges and the water level evaluation value. 6. The reactor state monitoring apparatus according to claim 1, further comprising:a thermocouple water gauge provided in the pressure vessel and configured to detect a water level in the pressure vessel; anda state estimation device configured to estimate a position of the fuel based on the water level detected by the thermocouple water gauge and an output ratio of the second radiation measuring device and the third radiation measuring device,wherein the second radiation measuring device is configured to detect the radiation at least above an upper end of the fuel, and the third radiation measuring device is configured to detect a radiation dose at least below a lower end of the fuel. 7. The reactor state monitoring apparatus according to claim 1, further comprising:a thermocouple water gauge provided in the pressure vessel and configured to detect a water level in the pressure vessel;a first storage memory configured to store an output of the thermocouple water gauge;a second storage memory configured to store the water level evaluation value; anda state estimation device configured to estimate a reactor state based on history information on the reactor states stored in the first storage memory and the second storage memory. 8. The reactor state monitoring apparatus according to claim 7, wherein the reactor state is at least one of a state whether the fuel is melted or not, and a state whether the thermocouple water gauge is broken down or not. 9. The reactor state monitoring apparatus according to claim 1,wherein the second radiation measuring device is configured to detect the radiation at least above an upper end of the fuel, and the third radiation measuring device is configured to detect a radiation dose at least below a lower end of the fuel, andwherein the second radiation measuring device and the third radiation measuring device are configured to detect a gamma-ray dose of 511 keV or more. 10. The reactor state monitoring apparatus according to claim 9, further comprising:a thermocouple water gauge provided inside the pressure vessel and having a heater;an operating state/output relation storage memory configured to store a correspondence table in which outputs of the thermocouple water gauge detected by the thermocouple water gauge based on radiation or heat of the heater are each made to correspond to a relation between operating states of the reactor and the water levels, and in which outputs of the second radiation measuring device are made to correspond to a relation between the operating states of the reactor and the water levels; anda state estimation device configured to evaluate an operating state of the reactor based on the correspondence table read out from the operating state/output relation storage memory, an output of the thermocouple water gauge, and an output of the second radiation measuring device. 11. The reactor state monitoring apparatus according to claim 1, further comprising:a thermocouple water gauge provided inside the pressure vessel and having a heater;an operating state/output relation storage memory configured to store a correspondence table in which outputs of the thermocouple water gauge detected by the thermocouple water gauge based on radiation or heat of the heater are each made to correspond to a relation between operating states of the reactor and the water levels, and in which outputs of the second radiation measuring device are made to correspond to a relation between the operating states of the reactor and the water levels; anda state estimation device configured to evaluate an operating state of the reactor based on the correspondence table read out from the operating state/output relation storage memory, an output of the thermocouple water gauge, and an output of the second radiation measuring device,wherein the second radiation measuring device is configured to detect the radiation at least above an upper end of the fuel, and the third radiation measuring device is configured to detect a radiation dose at least below a lower end of the fuel. 12. The reactor state monitoring apparatus according to claim 1, further comprising:a thermocouple water gauge provided inside the pressure vessel and having a heater;an operating state/output relation storage memory configured to store a correspondence table in which outputs of the thermocouple water gauge detected by the thermocouple water gauge based on radiation or heat of the heater are each made to correspond to a relation between operating states of the reactor and the water levels, and in which outputs of the second radiation measuring device are made to correspond to a relation between the operating states of the reactor and the water levels; anda state estimation device configured to evaluate an operating state of the reactor based on the correspondence table read out from the operating state/output relation storage memory, an output of the thermocouple water gauge, and an output of the second radiation measuring device,wherein the second radiation measuring device and the third radiation measuring device are configured to detect a gamma-ray dose of 511 keV or more. |
|
description | Referring initially to FIG. 2, an imaging system in accordance with the present invention is shown and generally designated 10. As shown in FIG. 2, the system 10 includes an X-ray source 12 configured to produce a spectrum of X-ray radiation 14. An optional collimator 16 may be provided to collimate the radiation 14 emitted from the X-ray source 12 into one or more beams 18a-c. As such, each beam 18 emanates from the X-ray source 12 in a slightly different direction, and consequently, along a separate path 20a-c. It is to be appreciated that the use of three beams 18 is merely exemplary and that as many beams 18 as desired may be used in accordance with the present invention. In detail, as shown in FIG. 2, beam 18a initially travels substantially along path 20a, beam 18b initially travels substantially along path 20b and beam 18c initially travels substantially along path 20c. Referring still to FIG. 2, a detector array 22 is shown positioned to receive the beams 18 from the source 12. Specifically, the detector array 22 is shown having three detectors 24a-c, with detector 24a positioned to receive beam 18a, detector 24b positioned to receive beam 18b and detector 24c positioned to receive beam 18c. For the present invention, an object 26 can be interposed between the X-ray source 12 and the detector array 22 to thereby allow the beams 18 to be modified by passing through the object 26 before reaching the detectors 24. In accordance with the present invention, the detectors 24 can be any type of detector known in the pertinent art capable of receiving radiation and producing an electrical signal that is proportional to the intensity of the radiation received. For example, the detectors 24 can be solid state detectors (separate or having a charge couple detector structure), gas-filled detectors or scintillators with photo-multipliers. Preferably, each detector 24 is a small-area X-ray detector. For the present invention, the output of each detector 24 is electrically wired to a computer (not shown) to allow the signals generated by the detectors 24 to be processed. Also shown in FIG. 2, the X-ray source 12 can be slideably mounted on a circular track 28 that extends around the object 26. Additionally, as shown, each detector 24 or the entire detector array 22 can be slideably mounted on the track 28. As such, the X-ray source 12 and detectors 24 can be moved either continuously or incrementally around the track 28 and relative to the object 26. The dashed lines in FIG. 2 show an exemplary second position for the X-ray source 12 and detectors 24. By moving the X-ray source 12, each radiation beam 18 emanating from the X-ray source 12 can be caused to successively travel on different paths 20 through the object 26. For example, as shown in FIG. 2, when X-ray source 12 is in the initial position represented by the solid lines, beam 18a travels substantially along path 20a, and when X-ray source 12 is moved to a second position represented by dashed lines, beam 18a travels substantially along path 20d. Similarly, beam 18b travels substantially along path 20e and beam 18c travels substantially along path 20f when the X-ray source 12 is in the position indicated by dashed lines. Accordingly, the detector array 22 can be moved in conjunction with the X-ray source 12 to allow each detector 24 to track a single X-ray beam 18, as that X-ray beam 18 travels on successive paths 20 through the object 26. An important aspect of the present invention is that the X-ray radiation 14 is filtered between the X-ray source 12 and the detectors 24. By cross-referencing FIGS. 2 and 3, it can be seen that a wheel 30 having attached filters 32, 34, 36 and 38 can be used to successively filter each X-ray beam 18a-c on each path 20. For the present invention, the relative position of each filter 32, 34, 36; 38 with respect to the other filters 32, 34, 36, 38 is inconsequential. As further shown, a motor 40 having a shaft 42 can be used to rotate the wheel 30 and filters 32, 34, 36, 38 to successively filter each beam 18 four times while the beam 18 travels substantially along a single path 20, with each of the four filtrations occurring with a different filter 32, 34, 36, 38. Accordingly, each time a beam 18 is moved to a new path 20, the wheel 30 is rotated through one complete revolution to once again filter the beam 18 four times. Alternatively, the wheel 30 can be located between the X-ray source 12 and the collimator 16 (this configuration not shown). As shown, a bracket 44 can be used to attach the motor 40 to the X-ray source 12 to allow the wheel 30, the filters 32, 34, 36, 38, the motor 40 and the shaft 42 to travel with the X-ray source 12 as the source 12 moves along the track 28 relative to the object 26. Each time a beam 18 is successively filtered four times, four different electrical signals are produced by a detector 24. For the present invention, a computer processor (not shown) can be configured to manipulate the four electrical signals created for each path 20 to produce an image signal for the path 20. For example, each path 20 can be used to produce an image signal that represents a single pixel in the final image. Or stated another way, a computer process can be configured to subtract, pixel by pixel, the digital images of each pair of the four images obtained with the filtered beam 18. The two digital difference images are further subtracted to finally produce the contrast enhancement image. Once an image signal is established for each desired path 20, conventional tomography techniques known in the pertinent art can be used to combine all the image signals (one image signal for each path 20) into a composite image that shows the internal features of the object 26. Referring now to FIG. 3, a filter set having four different filters 32, 34, 36, 38 is mounted on the wheel 30 to allow each beam 18 on each path 20 to be successively filtered four times. As further detailed below, a unique filter set is designed for use with a specific contrast agent that is prescribed for introduction into the object 26. Specifically, the chemical constituents and thickness of each filter 32, 34, 36, 38 is determined with reference to the specific contrast agent that is being used. FIG. 4 shows an exemplary filter 32 having layers 46, 48, 50 and 52. Specifically, the filter 32 can include an optional transparent layer 46, a filtering layer 48, an optional additional balance layer 50 and an optional protective layer 52. It is to be appreciated that each filter 32, 34, 36, 38 will have different layers 46, 48, 50, 52, the layers 46, 48, 50, 52 differing in both chemical makeup and thickness. For the present invention, the optional transparent layer 46 can be included to support as well as protect the other layers 48, 50, 52. The optional protective layer 52 can be included to protect the other layers 48, 50 from corrosion or other environmental factors. The function of the filtering layer 48 and the additional balance layer 50 are discussed below. As seen by cross-referencing FIGS. 3 and 4, a metal ring 54 can be used to hold the layers 46, 48, 50, 52 together and attach them to the wheel 30. When used in conjunction with a contrast agent containing a chemical element having a KEDGE, CONTRAST AGENT, a filter set is constructed in accordance with the present invention having a filter 32 with a filtering layer 48 that contains a chemical element having a KEDGE that is greater than KEDGE, CONTRAST AGENT, and a filter 34 with a filtering layer 48 that contains a chemical element having a KEDGE that is greater than or equal to KEDGE, CONTRAST AGENT. Further, the filter set is constructed in accordance with the present invention having a filter 36 with a filtering layer 48 that contains a chemical element having a KEDGE that is less than or equal to KEDGE, CONTRAST AGENT, and a filter 38 with a filtering layer 48 that contains a chemical element having a KEDGE that is less than KEDGE, CONTRAST AGENT. For most contrast agents, both the filter 36 and the filter 38 include a filtering layer 48 that contains the same chemical element that is used in the contrast agent (i.e. a chemical element having a KEDGE, CONTRAST AGENT), but the filtering layer 48 of filter 36 may differ in thickness from the filtering layer 48 of filter 38. The invention includes specific chemical elements and thickness"" sufficient to create filter sets for various contrast agents as shown in Table 1. Referring back to FIG. 2, in the operation of the present invention, a contrast agent is first introduced into the object 26. Once introduced, the contrast agent will be selectively absorbed or localized in specific regions to thereby establish portions of the object 26 having differing concentrations of contrast agent. Table 1, below, lists a number of suitable contrast agents that are either in current use for imaging portions of the human body or are contemplated for future use. It is to be appreciated that conventional methods of administering the contrast agent that are known in the pertinent art can be employed. Further, it is anticipated that the present invention is applicable to the imaging of a non-human object 26, such as a structural component for a machine or device (not shown). In this case, a material in the structural component can be used as a contrast agent and a suitable filter set constructed accordingly. Once a contrast agent has been introduced, the object 26 can be placed between the X-ray source 12 and the detector array 22 as shown in FIG. 2. Next, the X-ray source 12 is located at a first position and activated to produce one or more beams 18a-c travelling through the object 26 on a first set of paths 20a-c. Next, the wheel 30 containing the filters 32, 34, 36, 38 is rotated to successively interpose each of the four filters 32, 34, 36, 38 between the X-ray source 12 and the object 26 to filter each of the beams 18 with each of the four filters 32, 34, 36, 38. This results in the production of four intensity-proportional signals by a detector 24 for each beam 18. It is to be appreciated that the four signals will be temporally spaced from each other, the spacing corresponding to the time the beam 18 strikes the wheel 30 between adjacent filters 32, 34, 36, 38. Referring now to FIG. 5A, a typical emission spectrum for a conventional X-ray source 12 that has passed through a portion of the body having no contrast agent is shown by curve 56. When the spectrum represented by curve 56 reaches a detector 24, an electronic signal that is approximately proportional to the area under curve 56 (the intensity of the emission) is produced. Curve 60 in FIG. 5A represents the spectrum that results after radiation produced by a typical X-ray source 12 is passed through a portion of the body having exemplary contrast agent, Gd, in the absence of filters. Referring now to FIG. 5B, curve 58 represents the spectrum that results after radiation producing curve 56 in FIG. 5A is now passed through filter 38 and a portion of the body having no contrast agent. In this case, filter 38 has a filtering layer 48 having a chemical element with a KEDGE of approximately 49 keV. Accordingly, the electronic signal produced by a detector 24 when filter 38 is interposed between the X-ray source 12 and the detector 24 will be approximately proportional to the area under curve 58. Filter 36, in general, has a filtering layer 48 having the same chemical element that is used in the contrast agent. In this example, filter 36 has a chemical element with a KEDGE, CONTRAST AGENT of approximately 50 keV. The spectrum received by a detector 24 when filter 36 is interposed between the X-ray source 12 and the detector 24 is approximately represented as curve 60. Accordingly, the signal produced by a detector 24 when filter 36 is interposed between the X-ray source 12 and the detector 24 will be approximately proportional to the area under curve 60. For the present invention, the electrical signal produced by a detector 24 while filter 38 is interposed along a path 20 containing no contrast agent can be subtracted from the electrical signal, after digitization, produced by the detector 24 while the filter 36 is interposed along the same path 20 to produce the second intermediary difference signal. This second intermediary difference signal simulates the image signal that would be obtained if a quasi-monochromatic beam having an average energy slightly below KEDGE, CONTRAST AGENT were to be passed through the object 26. More specifically, the second intermediary difference signal produced for paths 20 through a portion of the body having no contrast agent simulates the exemplary quasi-monochromatic spectrum shown in FIG. 6A and designated 62. It is to be appreciated that the curve of the quasi-monochromatic spectrum shown in FIG. 6A and designated 62 represents a resultant area obtained by subtracting the area under curve 58 (FIG. 5B) from the area under curve 60 (FIG. 5A). The resultant spectrum is essentially the same as the spectrum when a beam of narrow energy band, or a quasi-monochromatic beam, were used as the source. Similarly, the second intermediary difference signal produced for paths 20 through a portion of the body having a contrast agent simulates the quasi-monochromatic spectrum shown in FIG. 6B and designated 64. In a similar fashion, the processor subtracts the digital signal produced by the detector 24 with the filter 34 interposed along the path 20 from the digital signal produced by the detector 24 with the filter 32 interposed along the path 20 to produce a first intermediary difference signal. A curve representing the spectrum that results after radiation producing curve 56 in FIG. 5A is now passed through filter 32 and a portion of the body having no contrast agent is shown in FIG. 5C and designated curve 65. It is to be appreciated that the first intermediary difference signal simulates the image signal that would be obtained if a quasi-monochromatic beam having an average energy slightly above KEDGE, CONTRAST AGENT were to be passed through the object 26. More specifically, the first intermediary difference signal produced for paths 20 having no contrast agent simulates the exemplary quasi-monochromatic spectrum shown in FIG. 6A and designated 66. Similarly, the first intermediary difference signal produced for paths 20 having contrast agent simulates the exemplary quasi-monochromatic spectrum shown in FIG. 6B and designated 68. Next, the processor subtracts the second intermediary difference signal from the first intermediary difference signal to produce an image signal for the path 20. More specifically, the image signal produced for paths 20 having no contrast agent simulates the difference in intensity between spectrum curve 66 and spectrum curve 62 in FIG. 6A. Similarly, the image signal produced for paths 20 having a contrast agent simulates the difference in intensity between spectrum curve 68 and spectrum curve 64 in FIG. 6B. This final difference is the data to be processed for tomography or angiography. The final difference signal strongly varies with concentration and thickness of the contrast element due to the variation of absorption. This results in an enhanced contrast image between the region with the contrast agent and the region without. Referring now to FIG. 7, the effect of additional balance layers 50 in a filter set is shown. Specifically, FIG. 7 compares the quasi-monochromatic signal that is simulated without additional balance layers 50 (curve 70) and the quasi-monochromatic signal that is simulated with additional balance layers 50 (curve 72). The curve 72 was generated for a filter set having a filter 32 with a filtering layer 48 that includes 140.0 xcexcm of 65Tb and an additional balance layer 50 of 260.0 xcexcm of 65Tb and a filter 34 that includes 152.0 xcexcm of 64Gd and an additional balance layer 50 of 260.0 xcexcm of 65Tb. With cross reference to Table 1 and FIG. 7, these two filters 32, 34 can be used in a filter set in conjunction with the contrast agent Gd to generate the first intermediary difference signal. As shown in FIG. 7, the use of additional balance layers 50 reduces the non-zero difference of the filter transmission outside the energy pass band. Of course, this effect is obtained by paying the price of reducing the radiation intensity within the pass band (by a factor of about two, in this case). In practice, the additional balance layer 50 is designed to provide a compromise between the enhancement of the quality of monochromatization (i.e. a thicker additional balance layer 50 providing better balance) and the intensity level within the energy pass band (i.e. a larger number of photons to provide a better Signal-To-Noise ratio). Referring back to FIG. 2, once image signals are obtained for the first set of paths 20a-c, the X-ray source 12 and collimator 16 can be moved to a second position (shown by dashed lines) to cause the beams 18a-c emanating from the collimator 16 to travel along a new set of paths 20d-f. While the X-ray source 12 and collimator 16 are at the second position, the wheel 30 is again rotated to successively interpose each of the four filters 32, 34, 36, 38 between the X-ray source 12 and the object 26 to again filter each of the beams 18a-c with each of the four filters 32, 34, 36, 38. Again, four intensity-proportional signals are produced by a detector 24 for each beam 18. For the present invention, these four signals can be manipulated by a processor (not shown) to produce an image signal for each new path 20d-f. This process of moving the X-ray source 12 and producing an image signal for each new path 20 can be repeated as desired. Further, it is to be appreciated that the X-ray source 12 can be moved continuously around the object 26. When this technique is used, the wheel 30 containing the filters 32, 34, 36, 38 can be rotated continuously as the X-ray source 12 moves. By rotating the wheel 30 very rapidly, each beam 18 can be filtered four times before significant movement of the beam 18 occurs. Thus, in effect, each beam 18 remains on a single path 20 while the successive filtration takes place. Once an image signal is produced for all paths 20 of interest, conventional tomography techniques can be used to combine all the image signals (one image signal for each path 20) into a composite image that shows the internal features of the object 26. While the particular imaging systems and methods as herein shown and disclosed in detail are fully capable of obtaining the objects and providing the advantages herein before stated, it is to be understood that they are merely illustrative of the presently preferred embodiments of the invention and that no limitations are intended to the details of construction or design herein shown other than as described in the appended claims. |
|
description | The present application is a continuation application of U.S. patent application Ser. No. 14/645,221, filed on Mar. 11, 2015, entitled “COMPACT PROTON THERAPY SYSTEM WITH ENERGY SELECTION ONBOARD A ROTATABLE GANTRY,” which is a continuation application of U.S. patent application Ser. No. 14/033,950, filed on Sep. 23, 2013, entitled “COMPACT PROTON THERAPY SYSTEM WITH ENERGY SELECTION ONBOARD A ROTATABLE GANTRY,” which claims the priority to and benefit of U.S. Provisional Patent Application No. 61/798,354 filed on Mar. 15, 2013. The present application claims priority to and benefit of PCT application No. PCT/US14/22092, filed on Mar. 7, 2014, entitled “COMPACT PROTON THERAPY SYSTEM WITH ENERGY SELECTION ONBOARD A ROTATABLE GANTRY,” which claims the priority to and benefit of U.S. Provisional Patent Application No. 61/798,354 filed on Mar. 15, 2013. The present application is related to the U.S. patent titled “Irradiation device,” U.S. Pat. No. 8,053,736, filed on Apr. 5, 2007, which claims priority to German patent application No. 202006019307.3, filed on Dec. 21, 2006. The foregoing patent applications and patent are hereby incorporated by reference in their entirety for all purposes. Embodiments of the present disclosure relate generally to medical devices, and more particularly, to radiation therapy devices. In a typical proton therapy system used for tumor radiation treatments for example, a proton beam is produced in a cyclotron or a synchrotron in a specific level of energy that can be adjusted to a prescribed energy level by virtue of energy selection then provided to a treatment station via a beam transportation system. Such a therapy system includes a particle accelerator, such as a cyclotron or a synchrotron, for providing the particle beam at a specific energy level. The beam transport system can tune and deliver the particle beam to a radiation station. At the end of the beam transport system, a rotational gantry associated with a radiation nozzle delivers the beam onto an irradiation object, e.g. a tumor of a patient, in a fixed position supported by the irradiation station during operation. Similar systems can be used for other heavy particle radiation treatment, such as neutron, He or C ion beam. Typically a beam output from an accelerator has a fixed energy, e.g. 250 MeV. Depending on the diagnosis of a patient's condition, for example the depth of a tumor to be treated, different patients are prescribed with different depth doses of radiation. An energy selection system (ESS) is usually used to tune the fixed energy to the prescribed energy, e.g. 170 MeV. Conventionally, an ESS comprises an energy degrader for attenuating the beam energy roughly, followed by a set of energy selection dipole magnets dedicated for fine energy selection by filtering the undesired traverse emittances, momentum spread and energy spread resulted from the energy degrader. The transport system also includes a plurality of other magnets for beam focusing and steering purposes. Due to the high cost for purchasing and maintaining such a radiation system, a medical facility usually uses one accelerator for a plurality of treatment stations so the high expenditure for the accelerator facilities is distributed. FIG. 1 illustrates a configuration of a medical facility that accommodates a proton radiation system 100 providing proton beams for multiple treatment stations in accordance with the prior art. The system 100 comprises a single stationary cyclotron 101 located in a dedicated room 110, a carbon wedge energy degrader 102 disposed in a vacuum component of the beam line, a gantry 121 and 122 for each treatment room 131 and 132, and an ESS, several sets of quadrupole magnets for focusing the beam, e.g. 104, and a plurality sets of bending magnets that directs the proton beams from the cyclotron to respective treatment rooms, e.g. 131 and 132. As shown, the ESS of this system is composed of a carbon wedge degrader 102, and two dipole magnets 105 and 106 with an energy slit (not explicitly shown) sitting in between. The dipole magnets 105 and 106 are located proximate to the accelerator 101 and dedicated for selectively passing the particles with the targeted energy. In order to supply the particle beams to different rooms located in various places relative to the accelerator room 110, the system 100 is equipped with long beam lines, e.g. 111 and 112, along different paths in which dipole magnets are used to change beam directions. For example, dipoles 107 and 108 are used to redirect the particle beam into the room 110. The dipole 141 bends the beam by 45° at the entrance of the gantry 121. Another dipole 142 bends the beam by 135° and toward the isocenter. Collectively, the two dipoles 141 and 142 in the gantry bends the beam by 90° from the beam line 111. Although using a multi-station single-cyclotron system is effective to distribute the cost for large medical facilities, the overall cost for such a multi-gantry system may be prohibitively high for smaller facilities that may only need one treatment station. Also, some multi-station systems do not support simultaneous treatment in multiple stations. This contribute to further disadvantage that a delay at one treatment station can cause delay at the other station. Among the costly factors in the conventional proton radiation system, the dipole magnets consume significant expenditure associate with manufacture, installation, control, maintenance, and space that is limited and valuable in the medical facility. Moreover, connecting to the stationary cyclotron and the rotating gantry, the beam line pipe comprises a rotating portion that can rotate along with the gantry and a stationary or non-rotating portion leading to the cyclotron, both portions being maintained under continuous low pressure (vacuum) typically in the 10E-05 mbar range. Conventionally, a rotating vacuum seal is used at the beam line connection between the stationary part of the beam line and the rotating part of the beam line to keep the pipe sealed from outside air during rotation. Thus, it would be advantageous to provide a compact proton radiation system that has reduced cost and dimension and is feasible for single room proton therapy facility. Accordingly, embodiments of the present disclosure advantageously provide a radiation system that utilizes a set of dipole magnets on the gantry for the dual purposes of energy selection and redirecting the particle beam. By integrating the energy selection magnets onto the gantry, rather than in a dedicated section of the beam line, consumption of cost and space can be advantageously decreased, making the system suitable for a compact single-room design. Embodiments of the present disclosure further simplifies a proton radiation system by placing the energy degrader in the atmosphere and by replacing the vacuum seal with an air gap at the joint between the stationary portion and the rotating of the beam line. In one embodiment of the present disclosure, a radiation therapy system for irradiating an irradiation object with particle beam in a predetermined energy comprises a stationary particle accelerator, a beam line assembly, an energy degrader, and a swiveling gantry assembly. The beam line assembly is operable to direct and focalize a particle beam along a first direction. The energy degrader is operable to attenuate the energy of the particle beam and may be exposed to an air pressure. The swiveling gantry assembly comprises a set of dipole magnets as well as additional quadrupole and steerer magnets, all with controllable magnetic fields, and a collimator disposed in between the dipole magnets. The set of dipole magnets are operable to select a portion of the particle beam with a predetermined energy, and redirect the portion of the beam to a second direction. The set of dipole magnets may comprise a 45° and a 135° magnet arranged in sequence. The swiveling gantry may be capable of rotating 360° about the first direction and may comprise a housing that has a first member made of low-Z material and a second member made of high-Z material. The beam line assembly may comprise a rotating segment and a stationary segment couple to respective vacuum apparatuses. The rotating segment and the stationary segment may be separated by an air gap. The foregoing is a summary and thus contains, by necessity, simplifications, generalizations and omissions of detail; consequently, those skilled in the art will appreciate that the summary is illustrative only and is not intended to be in any way limiting. Other aspects, inventive features, and advantages of the present invention, as defined solely by the claims, will become apparent in the non-limiting detailed description set forth below. Reference will now be made in detail to the preferred embodiments of the present invention, examples of which are illustrated in the accompanying drawings. While the invention will be described in conjunction with the preferred embodiments, it will be understood that they are not intended to limit the invention to these embodiments. On the contrary, the invention is intended to cover alternatives, modifications and equivalents, which may be included within the spirit and scope of the invention as defined by the appended claims. Furthermore, in the following detailed description of embodiments of the present invention, numerous specific details are set forth in order to provide a thorough understanding of the present invention. However, it will be recognized by one of ordinary skill in the art that the present invention may be practiced without these specific details. In other instances, well-known methods, procedures, components, and circuits have not been described in detail so as not to unnecessarily obscure aspects of the embodiments of the present invention. Although a method may be depicted as a sequence of numbered steps for clarity, the numbering does not necessarily dictate the order of the steps. It should be understood that some of the steps may be skipped, performed in parallel, or performed without the requirement of maintaining a strict order of sequence. The drawings showing embodiments of the invention are semi-diagrammatic and not to scale and, particularly, some of the dimensions are for the clarity of presentation and are shown exaggerated in the drawing Figures. Similarly, although the views in the drawings for the ease of description generally show similar orientations, this depiction in the Figures is arbitrary for the most part. Generally, the invention can be operated in any orientation. It should be borne in mind, however, that all of these and similar terms are to be associated with the appropriate physical quantities and are merely convenient labels applied to these quantities. Unless specifically stated otherwise as apparent from the following discussions, it is appreciated that throughout the present invention, discussions utilizing terms such as “processing” or “accessing” or “executing” or “storing” or “rendering” or the like, refer to the action and processes of a computer system, or similar electronic computing device, that manipulates and transforms data represented as physical (electronic) quantities within the computer system's registers and memories and other computer readable media into other data similarly represented as physical quantities within the computer system memories or registers or other such information storage, transmission or display devices. When a component appears in several embodiments, the use of the same reference numeral signifies that the component is the same component as illustrated in the original embodiment. FIG. 2 is an exemplary configuration of a medical facility equipped with a single-room proton therapy system 200 in accordance with an embodiment of the present disclosure. The compact radiation system 200 is designed to deliver a proton beam from the stationary cyclotron 201 to an adjacent single treatment room 203. The proton radiation system 200 includes an accelerator 201, e.g. a cyclotron as shown, a short beam line 202 transporting the particle beam from the cyclotron 201 to the single treatment room 203 along a linear axis, an energy degrader 204 disposed in the beam line 202, a single set of dipole magnets 206 and 207, and a swiveling gantry 205 operable to deliver a proton beam to the treatment station through a nozzle in different angles. In the single-room configuration 200, the cyclotron can be placed near the treat room as close as practically possible, and thus the beam line 204 can be short and linear, reducing the need for dipole magnets used for reorienting a particle beam. The system may further comprise a plurality of sets of focusing magnets mounted in the beam path to focus the particle team. In contrast to the multi-station system in FIG. 1, the single-room system 200 has a simplified arrangement of dipole magnets as well as the entire transporting system. Particularly, the dipole magnets 206 and 207 installed on the gantry 205 undertake the dual functions of energy selection as well as deflecting the particle beam from the beam line axis to the isocenter of the treatment station. In the illustrated embodiment, the 45° dipole magnet 206 located at the entry point of the gantry and the 135° dipole magnet 207 downstream can collectively bend the particle beam by 90° from the beam line 202 axis. At the same time, when the current in the coils of the magnets 206 and 207 is controlled to a precise current according to a target energy level, the magnets 206 and 207 in combination with a beam collimator are operable to perform the energy selection function. By integrating the ESS magnets in the gantry assembly, rather than in a dedicated section of the beam line as in the prior art, system consumption of cost and space can be advantageously and remarkably reduced, making the system suitable for a single-room design and more accessible to relatively small clinics. A beam optics simulation on the beam profile along the beam path proves that the simplified magnet system as illustrated in FIG. 2 is feasible to provide substantially identical clinical specification, such as beam size and shape for example, as resulted from a corresponding conventional multi-room radiation system that has separate dedicated ESS magnets and the deflection magnets. The disclosure is not limited by the angles, configurations or locations of the dual-function magnets. For instance, the magnets may comprise three 90° magnets that can collectively bend the beam by 90°. However, using the minimum number (two) of dipole magnets to reorient the magnets furthers the purposes of cost-efficiency and compact design. A set of scanning magnets can be used to control the raster scan of the particle beam. In some embodiment, the scanning magnets 211 can be placed in between the set of dual-function magnets 206 and 207. In the illustrated embodiment, the scanning magnets can be placed downstream after the magnet 207 and near the nozzle, which contributes to yet another design for a smaller gantry. The magnetic fields generated by the deflection/energy selection magnets 206 and 207 can be controlled by software programs to guide the beam as well as select the beam of desire energy. The software program can be implemented by any known computer implemented methods. In some other embodiment, the deflection magnets may comprise two 45° dipole magnets and collectively bend the beam by 90°. In some other embodiments, the deflection magnets may collectively bend the beam by 135° or any other angle. Any other suitable configuration of the deflection magnets can be used to practice the present disclosure. The deflection/energy selection magnets can be controlled by software programs to achieve a specific particle energy dictated by each specific treatment plan. The present disclosure can be implemented with any type of collimator suitable for particle beam energy selection that is disposed downstream after an energy selection magnet. The collimator 212 may comprise energy slits, apertures, and/or orifices disposed in between the two magnets 206 and 207. In some embodiments, the positions and openings of the collimators may be controllable. In some embodiments, the collimator features a compact design, such as an energy selection slit in a slim form, which can advantageously contribute to further reduction in system consumption of cost and space. Still in some other embodiments, the energy selection function can be solely assumed by a combination of suitable magnetic components and one or more energy degraders, which may advantageously eliminate the need for a collimator in an energy selection system. For example, a set of additional magnets may be used to narrow the spatial cross section and/or energy spectrum of the beam that exits from an energy degrader. In the illustrated embodiment, the energy degrader 204 comprises a carbon wedge disposed under a vacuum chamber situated in the beam line 202 and proximate to the accelerator 201. Any other suitable energy degrader can be used to implement the present disclosure. In some other embodiments, the energy degrader material can be integrated in the gantry as well, and disposed proximate to the deflection/energy selection magnets. In still some other embodiments, the degrader may be exposed to ambient pressure, which can advantageously save cost related to material, manufacturing and installation etc. Still in some other embodiments, the degrader, in conjunction with other magnet fields on the system, may be configured such that the beam at its exit point has a narrow spatial cross section and energy spectrum, eliminating the need for a collimator. The gantry assembly 205 may be rotatable while the accelerator remains stationary. The system may be equipped with a swiveling device that renders the rotations of the gantry such that the particle beam can impinges on the isocentrically arranged irradiation station in various directions. In some embodiments, the gantry can swivel 360° about an axis that is substantially parallel to the beam line axis such that the particle beam can impinge on an isocenter in a full circle. The gantry is coupled to a nozzle operable to emit the particle beam onto the radiation object. The nozzle may be coupled to a set of deflection magnets 211 that deflect the beam in mutually orthogonal directions for purposes of traverse scan, e.g. X-Y scan. The nozzle may be coupled to means for monitoring beam position and means for monitoring the radiation dose. The nozzle and the focusing magnets may also be integrated in the gantry. In some embodiments, the nozzle is rotatable and capable of raster scan in two or three dimensions. In some embodiments, the nozzle is capable of pencil-beam scan where the particle beam can be focused on a beam cross-section which lies distinctly below the size of typical irradiation volumes. The peak deposition of the radiation dose along the radiation path corresponds to the Bragg peak location determined by the particle energy. By using a suitable focused pencil beam, many small volumes, so-called voxels, thus can be irradiated, so that the irradiation volumes of any shape, conformal to the specific shape of a tumor, can be raster-scanned. The depth scan can be achieved by varying the particle energy, for example through the ESS. The gantry may comprise a shielding plug 210 which reduces neutron dose risk near the patient. There may be additional shielding around the beam line. The shielding plug may be a cylindrical sphere or any other suitable configurations. The gantry may comprise hybrid materials to balance the cost reduction and protection against undesirable neutron dose risk. In some embodiments, high-Z material shields, such as lead (Pb), are used at places along gantry that would be prevalent to radiation/neutron emission. Cheaper/lighter materials, such as C, can be used in any other places, for example, the part of the gantry that does not face the patient. The present disclosure is not limited to any particular type of accelerator or the associated particle source. In some embodiments, the accelerator may be a cyclotron, for example a superconducting synchrocyclotron in a compact design. In some embodiments, the accelerator may be able to provide protons, neutron, electrons, or heavy ion, such as He2+ or C6+ particles. FIG. 3 is a side view diagram illustrates the mechanical schematics of the compact radiation system equipped with a set of deflection/energy selection magnets 301 and 302 in accordance with an embodiment of present disclosure. In some embodiments of a single-room radiation system in accordance with the present disclosure, the entire beam line, including the rotating portion leading to the gantry and the non-rotating portion leading to the accelerator, is under vacuum. The rotating portion and the non-rotating portion are connected through a vacuum seal. In the illustrated embodiment, the beam is transferred from stationary to rotating parts via a small air gap 303 and two thin kapton (polymide film) windows for example. Thus, each portion has its own vacuum devices and independent of the other portion. This advantageously further simplifies the system, by eliminating the need for a rotating, mechanical vacuum joint, and reduces material cost, simplifies maintenance and less vacuum leaks on the beam pipe. Besides the components described with reference to FIG. 2, FIG. 3 also illustrates the other pertaining components, including storage activated parts and a PV control alcove, and can be appreciated by those with ordinary skills in the art. FIG. 4 is a 3D view diagram illustrating the exterior mechanical schematics of the compact radiation system equipped with a set of deflection/energy selection magnets 401 and 402 in accordance with an embodiment of present disclosure. FIG. 5A and FIG. 5B illustrate a side view and a top view of the beam line in that transport the particle beam from the cyclotron to the gantry in accordance with an embodiment of the present disclosure. Although certain preferred embodiments and methods have been disclosed herein, it will be apparent from the foregoing disclosure to those skilled in the art that variations and modifications of such embodiments and methods may be made without departing from the spirit and scope of the invention. It is intended that the invention shall be limited only to the extent required by the appended claims and the rules and principles of applicable law. |
|
claims | 1. A computer-implemented method of determining pin enrichments for a fuel bundle of a nuclear reactor, comprising:inputting a plurality of input parameters pertaining to a fuel bundle;inputting a plurality of target conditions including each of a target local peaking value, a target exposure peaking value, a target bundle R-factor and a target lattice average enrichment for the fuel bundle;calculating enrichment changes to be made across the fuel bundle, using at least one computer executing response matrix technology so as to satisfy the target conditions; andoutputting fuel bundle pin enrichment data that satisfies the target conditions. 2. The computer-implemented method of claim 1, wherein the input parameters include at least one of user performance criteria, base fuel bundle lattice design, R-factor data related to the base fuel bundle lattice design, and data related to a response surface model used in said calculating of enrichment changes. 3. The computer-implemented method of claim 2, wherein said calculating further includes using the response surface model to calculate pin-by-pin enrichment changes to be made across the fuel bundle so as to satisfy the target conditions. 4. The computer-implemented method of claim 3, wherein the response surface model is a matrix that defines relationships between the input parameters and the target conditions. 5. The computer-implemented method of claim 3, wherein the response surface model includes an exposure dependent local peaking response, an exposure peaking response and R-factor response for every pin in a N by N fuel bundle lattice design as a function of a change in enrichment in each pin in the N by N fuel bundle lattice design. 6. The computer-implemented method of claim 1, wherein said calculating further includes:determining an exposure point for each fuel rod where a margin to the target local peaking value is the most limiting, where a margin to the target exposure peaking value is the most limiting and where a margin to a target bundle R-factor is the most limiting;calculating a pin by pin enrichment change required based on the target local peaking value using a response surface matrix, separately based on target bundle maximum exposure peaking using the response surface matrix and separately based on target bundle R-factor using the response surface matrix;selecting a fuel rod that has the most limiting enrichment change from the calculated enrichment changes based on target local peaking value, exposure peaking value and target bundle R-factor, the most limiting change representing the smallest enrichment change from a base fuel bundle lattice design of the fuel bundle;reducing enrichment, by a given amount, in a pellet in the selected fuel rod where an enrichment change reduction is greater than an acceptable tolerance;calculating an impact on all pellet local peaking, exposure peaking and R-factors across the fuel bundle using the response surface matrix;re-calculating modified pin by pin enrichment changes using based on the impact;selecting a fuel rod that has the most limiting enrichment change from the re-calculated modified enrichment changes;searching for a pellet within the selected fuel rod that exceeds the acceptable tolerance; andupdating current local peaking and R-factors if no further pellet is found to exceed the acceptable tolerance. 7. The computer-implemented method of claim 1, wherein said outputting further includes:outputting a two-dimensional enrichment distribution that satisfies target local peaking and target R-factor requirements. 8. The computer-implemented method of claim 1, further comprising:determining an estimated lattice average enrichment for a desired fuel bundle by applying the enrichment changes to a known average lattice enrichment of a base fuel bundle lattice design; andcomparing a difference between the estimated lattice average enrichment and a target lattice average enrichment to a threshold. 9. The computer-implemented method of claim 8, wherein, if the difference exceeds the threshold, the method further comprises:determining which fuel rod has the most limiting enrichment change from the calculated enrichment changes based on target local peaking, target exposure peaking and target bundle R-factor, the most limiting change representing the smallest enrichment change from the base fuel bundle lattice design;reducing enrichment, by a given amount, in a pellet in the selected fuel rod where an enrichment change reduction is outside an acceptable tolerance;calculating an impact on all pellet local peaking, exposure peaking and R-factors in the fuel bundle using the response surface matrix;re-calculating modified pin by pin enrichment changes based on the impact;comparing the most limiting modified enrichment change to an enrichment tolerance; andcalculating a revised estimated average lattice enrichment when the most limiting modified enrichment change is within the enrichment tolerance. 10. The computer-implemented method of claim 9, further comprising:iteratively repeating each of the steps of claim 9 until the difference equals the threshold; andoutputting a two-dimensional enrichment distribution of the resultant fuel bundle lattice design that meets local peaking, exposure peaking and R-factor target conditions. 11. The computer-implemented method of claim 8, wherein, if the difference is less than the threshold, the method further comprises:determining which fuel rod has the least limiting enrichment change from the calculated enrichment changes based on target local peaking, target exposure peaking and target bundle R-factor, the least limiting change representing the largest enrichment change from the base fuel bundle lattice design;increasing enrichment, by a given amount, in a pellet in the selected fuel rod where an enrichment change increase is outside an acceptable tolerance;calculating an impact on all pellet local peaking, exposure peaking and R-factors in the fuel bundle using the response surface matrix using the response surface matrix;re-calculating modified pin by pin enrichment changes based on the impact;comparing the most limiting modified enrichment change to an enrichment tolerance; andcalculating a revised estimated average lattice enrichment, if the most limiting modified enrichment change is within the enrichment tolerance. 12. The computer-implemented method of claim 11, further comprising:iteratively repeating the steps of claim 11 until the difference equals the threshold; andoutputting a two-dimensional enrichment distribution of the resultant fuel bundle lattice design that meets local peaking, exposure peaking and R-factor target conditions. 13. The computer-implemented method of claim 1, further comprising:determining an estimated lattice average enrichment for the fuel bundle by applying the enrichment changes to a known average lattice enrichment of a base fuel bundle lattice design;storing a list of pellet types useable in the fuel bundle and a list of pellet locations to perturb in the fuel bundle;recursively creating an array of test fuel bundle lattice designs for the fuel bundle using the perturbed pellet locations, andfor each test fuel bundle lattice design:calculating an impact of particular pellet type(s) on all pellet local peaking, exposure peaking and R-factors in the test fuel bundle lattice design using the response surface matrix;calculating pin by pin enrichment changes based on the impact using the response surface matrix;comparing a most limiting enrichment change in the test fuel bundle lattice design to a tolerance; andcalculating a revised estimated average lattice enrichment for those test fuel bundle lattice designs having a most limiting enrichment change that is within the tolerance. 14. The computer-implemented method of claim 13, further comprisingselecting a test fuel bundle lattice design from the test fuel bundle lattice designs where revised estimated average lattice enrichment were calculated based on the fewest number of pellet types used in the design; andoutputting a two-dimensional enrichment distribution of the selected test fuel bundle lattice design. 15. A computer-implemented method of determining pin enrichments for a fuel bundle of a nuclear reactor, comprising:using a computer-implemented response surface model to calculate pin-by-pin enrichment changes to be made across the fuel bundle so as to satisfy target conditions, the target conditions including each of a target local peaking value, a target exposure peaking value, a target bundle R-factor and a target lattice average enrichment for the fuel bundle, wherein the response surface model defines relationships between input parameters which include at least one of user performance criteria, base fuel bundle lattice design, R-factor data related to the base fuel bundle lattice design and data related to the response surface model, and the target conditions; andoutputting a two-dimensional enrichment distribution for the fuel bundle providing modified pin-by-pin enrichments that satisfies target local peaking and target R-factor requirements. 16. An apparatus for determining pin enrichments for a fuel bundle of a nuclear reactor, comprising:inputting means for inputting a plurality of input parameters and target conditions pertaining to a fuel bundle, the target conditions including each of a target local peaking value, a target exposure peaking value, a target bundle R-factor and a target lattice average enrichment for the fuel bundle;calculating means for calculating enrichment changes to be made across the fuel bundle, using at least one computer executing response matrix technology so as to satisfy the target conditions; andoutputting means for outputting fuel bundle pin enrichment data that satisfies the target conditions. 17. The apparatus of claim 16, wherein the input parameters include at least one of user performance criteria, base fuel bundle lattice design, R-factor data related to the base fuel bundle lattice design and data related to a response surface model included in the calculating means. 18. The apparatus of claim 16, wherein said inputting means include one or more of an input device, communication medium and interface for inputting the plurality of input parameters and target conditions. 19. The apparatus of claim 17, wherein the interface is a web-based internet browser. 20. The apparatus of claim 16, wherein said calculating means include one or more of a host computer, memory and a plurality of calculation servers. 21. The apparatus of claim 16, wherein said outputting means include one or more of a host processor, interface, communication medium and terminal unit. 22. The apparatus of claim 21, wherein the interface is a graphical user interface. 23. The apparatus of claim 16, wherein said calculating means employs a response surface model to calculate pin-by-pin enrichment changes to be made across the fuel bundle so as to satisfy the target conditions. 24. The apparatus of claim 23, wherein the response surface model is a matrix that defines relationships between the input parameters and the target conditions. 25. The apparatus of claim 23, wherein the response surface model includes an exposure dependent local peaking and R-factor response for every pin in a N by N fuel bundle lattice design as a function of a change in enrichment in each pin in the N by N fuel bundle lattice design. |
|
054689708 | summary | FIELD OF THE INVENTION The present invention concerns a device for collimating beams of a radiation. BACKGROUND OF THE INVENTION It is applicable more particularly for collimating beams of neutrons and beams of X rays. Frequently, it may be desired to limit the angular distribution of a radiation beam without actually losing its luminosity. In order to achieve this, a Soller collimator is used. An example of this type of collimator is diagrammatically shown on FIG. 1. It includes a plurality of fine parallel thin strips 2 which are able to absorb the incident radiation 4 it is desired to collimate, or strips which are coated with a material able to absorb this radiation. The ratio Do/Lo of the distance between the strips 2 to the length Lo of these strips is equal to the tangent of half the desired maximum divergence 2.phi. for the radiation beam 6 coming out of the collimator. So as to avoid wasteful losses, the finest thin strips are used. A Soller collimator does, however, have drawbacks. In fact, if the radiation to be collimated arrives below a certain critical angle of incidence on the thin strips, it is reflected (total reflection effect), despite the presence of the absorbing material. Accordingly, it is not possible to collimate the incident radiation beam below the critical angle. In addition, this total reflection risks contaminating (this not being desired) the radiation beam diffused by a sample (not shown on FIG. 1) which is illuminated by the collimated beam. SUMMARY OF THE INVENTION The object of the present invention is to resolve these drawbacks. In order to achieve this, the device of the present invention for collimating beams of a radiation is characterized in that it includes a plurality of parallel plys of wires which are made of or coated with a material able to absorb the radiation. The use of such wires makes it possible to significantly reduce the surface which partly causes the total reflection of the radiation. According to a preferred embodiment of the device of the invention, the wires used are round. In this case, the surface partly causing total reflection is almost nil. Preferably, in each ply, each wire of row n for any whole number greater than or equal to 3 is tangent to the plane which passes between the wire of row n-1 of this ply and the wire of row 1 of an adjacent ply and which is tangent to this wire of row 1 and the wire of row n-1. This makes it possible to have wires placed at maximum distances from one another and thus use a minimum number of wires, this allowing for a further reduction of the surface partly causing total reflection. According to a particular embodiment of the device of the invention, said material is able to absorb neutrons, the device thus being able to collimate beams of neutrons. In that case, as an absorbant material, it is possible to use cadmium or gadolinium but boron is preferably used. With boron wires and a neutronic radiation, the irradiation dose due to the absorbtion of the neutrons is reduced by a factor 10 with respect to an absorbant material made of cadmium or gadolinium. |
abstract | Methods and devices for removing inflammable gases produced by radiolysis in a closed chamber containing radioactive matters comprising organic compounds and possibly water, or radioactive matters in the presence of organic compounds and possibly water. Inside the chamber there may be placed a catalyst of at least one reaction for oxidizing the inflammable gases by oxygen contained in the chamber atmosphere, supported by an inert solid support; a catalyst of at least the reaction for oxidizing CO to CO2; possibly an oxygen source; and possibly a hygroscopic microporous inert solid support. Also, chambers for radioactive matters containing such devices. |
|
abstract | A CRDS unlatching tool includes a support assembly and a latching assembly, wherein the support assembly is received within the latching assembly in a manner wherein the latching assembly is moveable relative to the support assembly. The support assembly has a plurality of latch fingers and at least one pin, each of the latch fingers being movable between a latched position wherein the latch finger is structured to engage and hold the CRDS an unlatched position wherein the latch finger is structured to not engage the CRDS. The latching assembly includes a first sleeve member and a second sleeve member, the second sleeve member having at least one slot, wherein the at least one pin is moveably received within the at least one slot. The latching assembly is movable from a latched state to an unlatched state wherein the latch fingers are actuated by the first sleeve member. |
|
046474242 | abstract | A refueling machine (100) is provided with a latching/unlatching rod (116) which is provided with a hexagonally configured head portion for mated engagement with a hexagonally configured socket (34) defined within a latching/unlatching screw (22) of a fuel assembly (10) whereby the fuel assembly (10) may be securely mechanically connected to the lower core support plate of the reactor internals. The latching/unlatching rod (116) is fixedly connected to a housing (118) which is co-axially disposed within a torque tube (140), the latter of which is fixedly secured to the lower end of a spur gear (130). The spur gear (130) is rotatably engaged with a drive spur gear (148) through means of an idler gear (128), whereby torque is transmitted to the torque tube (140). The torque tube (140) has a square-shaped configuration in cross-section, and the housing (118) has similarly configured flanged portion (144, 146) for cooperation therewith whereby rotary torque is transmitted to the housing (118) and the latching/unlatching rod ( 116). The housing (118), latching/unlatching rod (116), and torque tube (140) are all co-axially disposed within the refueling machine gripper tube (108) and outer stationary mast (102), and a dual winch drive system (112, 124) is provided for independently controlling the vertical movements of the gripper tube (108) and latching/unlatching rod (116), respectively. |
summary | ||
claims | 1. A plasma diagnosis system using multiple-pass Thomson scattering, comprising:a laser which supplies a laser pulse having predetermined polarization state and wavelength;an optical system configured to make the laser pulse travel multiple roundtrips along a predetermined optical path and focus the laser pulse to a predetermined location in plasma, and rotate a plane of polarization by 90 degrees after each complete roundtrip;a collection optics which is configured with a lens or a combination of lenses, collects lights scattered from a focal point in plasma, whereas the collected light by the vertical polarization of the laser pulse is referred to as ‘first collected scattering’ and the collected light by the horizontal polarization of the laser pulse is referred to as ‘second collected scattering’;a polychromator which is consisted of multiple channels of band pass filters which filter the collected lights provided from the collection optics according to spectral characteristics and output the filtered lights;a computer which measures spectral characteristics of the first and second collected scatterings by using the filtered lights provided from the polychromator and outputs a Thomson scattering signal contaminated with a background noise and the background noise, respectively,wherein the background noise is generated by scattering in plasma due to stray lights and is obtained from the second collected scattering, and the Thomson scattering signal contaminated with the background noise is obtained from the first collected scattering. 2. The plasma diagnosis system according to claim 1, wherein the optical system includes:a polarizing beam splitter (PBS) which is inserted in the predetermined optical path and reflects or transmits an incident laser pulse according to a polarization state of the incident laser pulse;a first reflecting mirror which is inserted in the optical path and reflects the laser pulse exited from the PBS back into the optical path;a Faraday rotator which rotates a plane of polarization of the laser pulse passing through the PBS by 45 degrees;a focusing lens which focuses the laser pulse outputted from the Faraday rotator on the predetermined location in plasma; anda second reflecting mirror which reflects the laser pulse outputted from the focusing lens back along the incident laser pulse path and refocuses the laser pulse to the predetermined location in plasma. 3. The plasma diagnosis system according to claim 1, further comprising an optical isolator between the laser and the optical system, andwherein the optical isolator prevents any back reflected lights from feeding back into the laser. 4. The plasma diagnosis system according to claim 1, further comprising a trigger module which is configured with a photo detector and outputs a trigger signal when the photo detector detects a part of the laser pulse on a predetermined position,wherein a signal processing of the polychromator is synchronized by using the trigger signal. 5. The plasma diagnosis system according to claim 4, wherein the photo detector is placed between the laser and the optical system or at an arbitrary position where stray light reflected from or transmitted through an optical component is accessible and outputs the trigger signal when detecting that the laser pulse is supplied from the laser to the optical system, detecting that the laser pulse is supplied from the optical system to the plasma, or detecting that the laser pulse is supplied at an arbitrary position of the optical system. 6. Plasma diagnosis system according to claim 1, wherein the computer measures a pure Thomson scattering signal without the background noise by subtracting the background noise from the Thomson scattering signal contaminated with the background noise. 7. Plasma diagnosis system according to claim 1, wherein the computer measures temperature and density of electrons in plasma by analyzing the spectral characteristics obtained in the polychromator. |
|
description | 1. Field of the Invention The invention relates generally to neutron absorbing alloys, and in particular to an iron base alloy and an article of manufacture made from said alloy that can be processed to provide a unique combination of mechanical properties, corrosion resistance, and thermal neutron absorbability. 2. Description of the Related Art Boron-containing stainless steels are used by the nuclear power industry for the storage, transportation, and control of radioactive materials. The suitability of this type of material in those applications is related to the increased thermal neutron absorption capability provided by the addition of boron, specifically the B10 isotope, to the base material. An example of such a material is a modified Type 304 stainless steel sold under the registered trademark MICRO-MELT® NEUTROSORB PLUS® and described and claimed in U.S. Pat. Nos. 4,891,080 and 5,017,437. Boron may be present in that material as natural boron, which contains approximately 18.3 weight percent B10 isotope (the balance being the B11 isotope), enriched boron, or a combination thereof. Applications for the known material in the nuclear power industry include wet spent fuel storage racks, baskets for spent fuel dry storage transportation casks, reactor control rods, burnable poison, and neutron shielding plates. The rising costs associated with natural gas and petroleum as well as the environmental issues surrounding the use of coal in the generation of electricity have sparked a renewed interest world-wide in the use of nuclear power to augment the use of fossil fuels to generate electric power. The waste products generated by current and future nuclear power plants will need to be stored either on-site or at regional or national repositories. The benefit provided by boron to the nuclear power industry is related to its effect of increasing a material's thermal neutron absorption cross-section. Higher boron loads or the use of alternative neutron absorbers by themselves or when coupled with boron could provide a technical and marketing advantage for many articles used in these applications. Boron is the traditional standard bearer for neutron absorption in containment materials. Although boron has only the sixth largest thermal neutron cross-section of all naturally occurring materials, its low atomic mass makes it the second most effective alloying addition on a weight percent basis. All of the neutron absorption capabilities of boron are derived from the B10 isotope. However, B10 enriched boron is generally cost prohibitive for use in commercial alloy systems, and as such, natural boron is normally used. Boron has little or no solubility in stainless steel or nickel-based alloys. Instead, it generally forms borides that are enriched with Cr, Mo and Fe. For example, in the MICRO-MELT NEUTROSORB PLUS alloy an M2B phase forms with a composition of about 46% Cr, 40% Fe, 3.5% Mn, 1.0% Ni and 9.5% B. Boron additions to austenitic stainless steels result in improved neutron absorption characteristics, increased hardness, yield strength and tensile strength, but reduced tensile ductility, impact toughness, and corrosion resistance. The reduced corrosion resistance results from a depletion of matrix Cr as a result of the formation of the Cr-rich M2B phase. Typically, boron-containing stainless steels have not been used as structural components in the United States because of the toughness and ductility limitations that are usually associated with the use of boron additions in conventionally processed alloys. Through the use of alloy modifications and powder metallurgy processing, MICRO-MELT NEUTROSORB PLUS alloys minimize the reductions in corrosion resistance, ductility, and impact toughness that are associated with the addition of boron to conventional Type 304 stainless steel. The MICRO-MELT® NEUTROSORB PLUS® alloys contain up to 2.25% B and are covered by ASTM A887 Grade “A”, while conventionally processed materials are covered by ASTM A887 Grade “B”. Some steel producers will not sell cast and wrought borated stainless steels with B contents higher than about 1.85%. This is related to the fact that there are significant processing issues with conventional cast and wrought borated stainless steels containing more than this amount of B. Such processing issues include cracking and tearing of the alloy material when it is mechanically hot worked. The powder metallurgy (P/M) borated stainless steels sold under the MICRO-MELT NEUTROSORB PLUS and MICRO-MELT NEUTROSORB trademarks offer the opportunity to provide customers with a higher neutron absorption capability as a result of the reduced segregation associated with P/M produced material. However, there is a limit of nominally 3.5% boron that can be added to NEUTROSORB PLUS alloys and still have a processable alloy (i.e., one that can be hot worked into plate or bar). In addition, if the amount of B can be reduced through the use of a second and stronger neutron attenuating material, then a higher B equivalency (BEq) can be obtained in P/M processed alloys. The NEUTROSORB PLUS alloys contain enriched B10 or enriched B10 plus natural B to obtain BEq values higher than what would be attainable by using only natural B. Enriched B10 is, however, very expensive (on the order of $1600/lb), which makes using it not cost effective in many applications. Use of a non-enriched element that has a higher BEq than natural B and that is significantly less expensive than enriched B10 would be preferred. The disadvantages associated with the known corrosion resistant, neutron absorbing alloys are solved to a large degree by the material in accordance with the present invention. In accordance with a first aspect of the present invention there is provided a corrosion-resistant, austenitic alloy powder having the following composition in weight percent. C0.08 max.Mnup to 3Siup to 2P0.05 max.S0.03 max.Cr17-27Ni11-20Mo + (W/1.92) up to 5.2BEq0.78-13.0O 0.1 max.N up to 0.2Yless than 0.005The balance of the alloy composition is iron and usual impurities. BEq is defined as % B+4.35×(% Gd) and the alloy contains at least about 0.25% B and at least about 0.05% Gd. In accordance with another aspect of the present invention, there is provided an article of manufacture made from consolidated alloy powder having the weight percent composition set forth above. The powder metallurgy article according to this invention also includes a plurality of boride and gadolinide particles dispersed within a matrix. The boride and gadolinide particles are predominantly M2B, M3B2, M5X, and M3X in form where X is gadolinium or a combination of gadolinium and boron and M is selected from the group consisting of silicon, chromium, nickel, molybdenum, iron, and combinations thereof. An alloy and articles made therefrom, in accordance with the present invention provide a novel combination of strength, toughness, corrosion resistance, and processability. Here and throughout this specification the terms “processability” and “processable” relate to the ability of an alloy or article to be worked thermomechanically without sustaining substantial cracking and/or tearing. The degree of cracking or tearing can be measured with respect to crack volume and crack depth of as hot-worked material. Processability can be assessed based on the ductility and toughness of the alloy material as determined by standard testing procedures. Here and throughout this specification the following definitions apply. The term “percent” and the symbol “%” designate percent by mass (percent by weight), unless otherwise indicated. The term “boron” or the symbol “B” when used without further qualification means natural boron. The term “powder”, “alloy powder”, or “metal powder” means an aggregate of discrete alloy or metal particles that are typically in the size range of 1 to 1000 μm. An alloy and articles made therefrom in accordance with the present invention include the following constituents. The alloy contains not more than about 0.08% and preferably not more than about 0.05% carbon. In this alloy system carbon, which is an austenite stabilizer, is considered to be a residual element. However, with the chromium content of this material, carbon is restricted to not more than about 0.08% and preferably to not more than about 0.05% to avoid the formation of chromium carbides which could adversely affect the corrosion resistance of the material because of sensitization. Sensitization is the precipitation of chromium carbides in an alloy, particularly at the grain boundaries after exposure to certain elevated temperatures. The alloy grains are thus depleted of chromium in their boundary regions creating areas that are susceptible to corrosive attack. The alloy according to this invention contains up to about 3% manganese. Manganese like nickel is an austenite stabilizer. This level of manganese permits the use of a reduced amount of nickel than would otherwise be required. Nickel is a more expensive alloying element than manganese. Preferably, the alloy contains at least about 1% manganese. Manganese also increases the solubility of nitrogen in this alloy which beneficially affects the corrosion resistance provided by the alloy. The alloy also contains up to about 2% silicon. Silicon is typically present in the austenitic stainless steel at a level of about 0.5%. At this level silicon is an effective deoxidizer and thus obviates the need to use aluminum and/or yttrium to deoxidize the molten alloy. Also, because the alloy contains gadolinium as a supplemental neutron absorber, there is some partitioning of silicon to the gadolinide phase. The alloy also contains about 17-27% chromium. Chromium is a ferrite stabilizer and is necessary in the alloy primarily to benefit the corrosion resistance of the alloy. Chromium also combines with boron to form borides (particularly M2B borides) which are needed to absorb thermal neutrons. At least about 17% chromium is present to provide corrosion resistance beyond what is currently provided by the known alloys. On the other hand more than about 27% chromium will result in the formation of excessive ferrite (i.e., more than about 10% by volume), particularly in any subsequent welds that might be produced by end users of this product. The alloy contains at least about 11% nickel, and preferably at least about 12% nickel, in order to avoid the formation of ferrite which adversely affects the corrosion resistance of the alloy. Nickel is an austenite stabilizer and is present to offset the ferrite stabilizing effects of chromium and molybdenum. Because of the presence of manganese, the alloy contains less nickel than would otherwise be needed to provide the same degree of phase stability. In addition to offsetting the ferrite stabilizing effects of chromium and molybdenum, nickel partitions to the gadolinide phase that forms. The partitioning of nickel to the gadolinide phase results in a lower iron content in that phase. The lower iron, higher nickel gadolinide phase benefits the hot-workability of the alloy. Too much nickel increases the cost of the alloy without providing a significant benefit in properties. Therefore, the alloy contains not more than about 20% nickel, and preferably not more than about 16% nickel. The alloy may contain up to about 5.2% molybdenum. Molybdenum like chromium is a ferrite stabilizer and when present it contributes to the corrosion resistance of the alloy. The benefit to corrosion resistance is obtained when the alloy contains at least about 2.8%, better yet at least about 3.0%, and preferably at least about 3.5% molybdenum. When the additional corrosion resistance provided by the addition of molybdenum is not needed, the alloy may contain a residual amount of molybdenum, preferably, not more than about 0.5% molybdenum. Molybdenum also partitions to the boride phases that form in articles according to this invention. In the chromium-rich M2B boride phase, there is approximately 2.0% Mo. However, the M3B2 boride phase is believed to contain almost 60% Mo. The M3B2 phase that forms is more highly enriched in boron compared to the chromium-rich M2B phase, and consequently results in the formation of less of the neutron absorbing second phase. This is important because as the area fraction of the neutron absorbing second phase increases, mechanical properties are adversely affected. Thus, by controlling the amount of these second phase particles, properties can be modified. In particular, tensile and yield strength increases with higher amounts of the second phase boride particles. However, ductility, toughness, NTS/UTS ratio (notch tensile strength to ultimate tensile strength ratio), and bend radius decrease with increasing amounts of the second phase boride particles. Tungsten is an element that behaves in a manner similar to molybdenum in this alloy. However, because of the differences in atomic weight between molybdenum and tungsten, it takes nearly twice as much tungsten on a weight percent basis to obtain the same effect as a given amount of molybdenum. It is envisioned that tungsten can substitute for all or part of the molybdenum in this alloy system. To ensure the appropriate levels of molybdenum and tungsten are present, a molybdenum equivalency factor, MoEq, has been derived. The factor MoEq is defined as % Mo+% W/1.92. It is used to determine the proper level of tungsten substitution for molybdenum to obtain an equivalent amount of molybdenum plus tungsten relative to 3.0-5.1% molybdenum. The alloy may contain up to 0.2% nitrogen and preferably contains up to about 0.1% nitrogen. Nitrogen is a strong austenite stabilizer. Although nitrogen reduces the toughness and ductility properties of borated stainless steel, the inclusion of nitrogen in the alloy allows for the use of nitrogen gas atomization of the metal powder to reduce the cost of producing the alloy powder relative to argon gas atomization. The use of nitrogen gas for atomization enhances the corrosion resistance. Nitrogen gas atomization also enhances the weldability of the alloy because an article made from nitrogen atomized alloy powder does not develop micro-porosity in the weld zone as does an article made from argon-atomized material. Therefore, when atomized with nitrogen gas the alloy powder contains more than 300 ppm nitrogen, for example, at least about 0.05%. The alloy powder of this invention preferably contains at least about 0.25% boron. Boron benefits the thermal neutron absorption capability of the product. This is accomplished through the formation of the second phase boride particles such as M2B and M3B2. Since those particles contain chromium and molybdenum, it is important to maintain the required matrix level of those elements to ensure that the corrosion resistance and phase stability are not adversely affected. At least about 0.25% boron is needed to effectively co-nucleate with the gadolinide phase that forms in the material, such co-nucleation benefits the processability of the alloy. Too much boron adversely affects the toughness, ductility, and processability of the alloy. Therefore, boron is restricted to not more than about 2.5%, better yet to not more than about 2.0%, and preferably to not more than about 1.0% in this material. Good strength and acceptable toughness and ductility are obtained when the alloy contains more than 2% boron. A good combination of strength, toughness, and ductility are obtained when the alloy contains 2% boron or less. The best toughness and ductility are obtained when the alloy contains not more than about 1% boron. The alloy further contains at least about 0.05% and preferably at least about 0.12% gadolinium. Gadolinium is a neutron absorber like boron. However, unlike boron, gadolinium forms a gadolinide phase that is rich in nickel and iron. Because the gadolinide phase ties up nickel, it is important to balance out this partitioning effect to ensure proper phase stability. Gadolinium is 4.35 times more potent as a thermal neutron absorber compared to naturally occurring boron. Therefore, gadolinium can be used to cost effectively reduce the overall amount of thermal neutron absorbing second phase boride particles to create improved property performance. Consequently, the volume fraction of the second phase boride particles in this alloy can be reduced relative to the known alloy while the material provides neutron absorption capability that is at least as good as that provided by the known boron-only alloy. Nevertheless, it is important that boron be present in conjunction with gadolinium because some of the boron in the alloy partitions to the gadolinide phase and the gadolinide phase co-nucleates with the boride phases during solidification. This is significant because straight gadolinium-bearing alloys have limited hot-workability because of the formation of a low melting phase. The combination of gadolinium, boron, and nickel as set forth above, together with powder metallurgy processing, benefits the hot workability of the material compared to the known gadolinium-bearing materials. Too much gadolinium adversely affects the hot workability and processability of the alloy. The alloy powder of this invention may contain up to about 2.6% gadolinium. The beneficial contribution of both boron and gadolinium relative to neutron absorption capability can be quantified by reference to a boron equivalency factor, BEq. The factor BEq is a means to express the boron level that is equivalent to the combination of boron and gadolinium, in terms of neutron absorption. According to this invention, BEq is described as % B+(4.35×% Gd) because Gd is 4.35 times more potent as a thermal neutron absorber compared to natural boron. The balance of the alloy composition is iron and the usual impurities found in commercial grades of iron-base neutron absorbing alloys. Phosphorus is an impurity and is preferably restricted to a residual level of about 0.05% max. in order to avoid an adverse effect on the workability of the alloy resulting from hot shortness. Sulfur, like phosphorus, is an impurity and is preferably restricted to a residual level of about 0.03% max. in order to avoid an adverse effect on the workability of the alloy resulting from hot shortness. Deoxidizing additions such as aluminum and yttrium are not intentionally present in this alloy, but may be present as inevitable impurities. Accordingly, the alloy powder contains less than 0.01% aluminum and less than 0.005% yttrium. Oxygen is inevitably present in this alloy, and the alloy may contain up to about 0.1% oxygen depending on how fine the powder is. It is expected that the alloy powder will contain at least about 100 ppm oxygen unless special techniques are employed. Although a broad range of alloy compositions is described above, it is contemplated that preferred ranges of alloys will be realized depending on the property requirements for particular applications of the articles according to this invention. For example, subranges of the various element ranges described above can be selected to optimize particular properties such as corrosion resistance, neutron absorption, strength, toughness, and combinations thereof, to mention a few. An article in accordance with the present invention is preferably formed by a powder metallurgy process. The preferred powder metallurgy process is as follows. The alloy is first melted under an oxygen-free atmosphere, e.g., vacuum induction melting (VIM), and atomized by means of an inert atomizing fluid such as argon gas or nitrogen gas. The particle size of the prealloyed powder is not critical, but it is desirable to remove excessively large particles. Sifting the prealloyed powder through a 40 mesh screen for that purpose gives good results. Segregation of the powder by particle size can be advantageously minimized by blending the powder. Thus, before the powder material is placed in a container, it is preferably blended to obtain a uniform particle size distribution. The alloy powder is filled into one or more metal canisters prior to consolidation. When the canister is made from an austenitic stainless steel, such as AISI type 304 or 316 stainless steel, the alloy powder and the canister are preferably baked to remove moisture prior to the powder being loaded into the canister. The baking temperature in air is preferably less than 400° F. to avoid oxidation. A baking temperature of 250° F. has provided good results. The dried powder is loaded into the canister which must be clean and essentially free of oxides. A canister made of a low carbon, mild steel can also be used. In such event, it is not necessary to bake the alloy powder or the canister prior to filling the canister. When the canister is filled with the powder it is closed and then preferably evacuated to remove air and any absorbed moisture. To this end the canister is preferably evacuated to less than 100 microns Hg. The canister can be heated during the evacuation process to facilitate the removal of moisture. When the air and water vapor levels inside the canister are satisfactory, evacuation is stopped and the canister is sealed and then compacted. Hot isostatic pressing (HIP'ng) is the preferred method for compacting the metal powder. As is well known, the temperature, pressure, and the duration for which the material is held at the selected temperature and pressure depend on the alloy powder and the canister size and shape, all of which are readily determined. The temperature to be used must be below the incipient melting temperature of the alloy. The HIP'ng temperature is kept low, preferably about 2000°-2100° F. to limit growth of the boride/gadolinide particles. The HIP cycle is preferably conducted at a pressure of about 15 ksi for a time sufficient to obtain a substantially fully dense compact. The time required at temperature and pressure depends on the section size of the canister, i.e., more time is needed for larger canister section thickness. Although preparation of an alloy compact used in the present invention has been described with reference to a conventional powder metallurgy technique, it is contemplated that it can be prepared by other methods. For example, the simultaneous consolidation and reduction of metal powder disclosed in U.S. Pat. No. 4,693,863 could be utilized. Rapid solidification casting techniques are also applicable to the present invention. It is important that the method of preparation selected provide rapid cooling of the alloy from the molten state and that any intermediate consolidation steps be limited with respect to temperature, in order to limit the growth of the boride particles. The compacted alloy powder can be hot and/or cold worked to the desired article form. More particularly, the alloy is mechanically hot worked from a starting temperature in the range of 2050°-2125° F., by pressing, hammering, rotary forging, or flat rolling. A preferred method of hot working the material includes hot forging the alloy powder compact from a starting temperature of about 2050°-2125° F. followed by hot rolling from a starting temperature of about 2050°-2125° F. to provide a flat form such as strip or plate. The flat form can be cold rolled or ground to finish size as required. The final form of the article is preferably annealed at about 1900°-1950° F. for 30 minutes and rapidly quenched to room temperature preferably in water. Twenty-six (26) nominal 140-300 lb heats were vacuum induction melted and atomized. Twenty-four of the heats were atomized with argon gas and the other two heats (878 and 879) were atomized with nitrogen gas. The weight percent compositions of the heats are set forth in Tables IA and IB below. Table IA shows the compositions of the alloy according to the present invention and Table IB shows the compositions of comparative alloys. The balance of each heat was iron and usual impurities. The atomized alloy powder from each heat was screened to −40 mesh (420 micron and finer) and blended. A portion of the blended powder of Heat 105 was further screened to −140 mesh and −270 mesh to provided three separate batches, hereinafter designated Heats 105-1, 105-2, and 105-3, respectively). The alloy powder from each heat and from the three batches of Heat 105 was vibration filled into two (2) 1¾ inches×6 inches×26 inches canisters formed from 0.125 inch thick, low carbon steel. The canisters were degassed at 250° F., evacuated to a pressure of less than 20 microns Hg, welded shut, and then hot isostatically pressed (HIP'd) at 15 ksi and 2050° F. for 4 to 6 hours at temperature and pressure to substantially full density. One HIP'd canister per heat was hot-rolled to ¾-inch thick plate. In order to facilitate handling each canister was sectioned prior to hot rolling. All material was hot-rolled from a starting temperature of 2050° F. using ⅛-inch reductions per pass and 2 passes per heating cycle. The intermediate material was reheated at 2050° F. for 20-30 minutes after each rolling pass. Upon completion of the final roll pass, the ¾-inch plate sections were straightened and then annealed for 1 hour at 1950° F. followed by water quenching to room temperature. The heats containing boron and gadolinium according to the present invention were successfully hot rolled as evidenced by an absence of tears or cracks in the as-processed plate. Accordingly, it was verified that the alloys according to the present invention demonstrated processability despite the presence of boron and gadolinium in the alloy material. Heat 876 having a BEq higher than the alloy of the present invention and a boron content very near the upper limit of this alloy was not processable as evidenced by significant hot tearing during the hot-rolling step. TABLE IAEle-Composition (%)ment7157167577587607617628738748758778788798801051C0.0210.0440.0320.0360.0240.0390.0400.0220.0400.0380.0150.0050.0150.0080.022Mn1.991.762.262.122.122.382.392.222.552.532.132.032.222.002.12Si0.530.460.380.440.440.380.360.500.440.430.590.550.490.560.52P0.0100.0060.0040.0050.0040.0060.004<0.0050.0080.009<0.005<0.005<0.005<0.0050.003S0.0020.002<0.001<0.0010.0010.0010.0010.0020.0040.0020.003<0.0010.0050.0010.002Cr22.1224.3822.7021.2021.1824.3924.1020.0723.5123.5118.3017.8220.0017.9520.07Ni13.5013.6812.9213.6413.6412.2912.6512.9111.6211.9214.0313.1712.8313.1913.18Mo4.040.014.543.983.985.245.193.855.115.173.262.873.862.873.70Cu<0.01<0.01<0.01<0.01<0.01<0.01<0.01<0.01<0.01<0.01<0.01<0.01<0.01<0.01<0.01Co0.010.01<0.01<0.01<0.01<0.01<0.01<0.01<0.01<0.01<0.01<0.01<0.01<0.01<0.01TiN.A.N.A.N.A.N.A.N.A.N.A.N.A.<0.01<0.01<0.01<0.01<0.01<0.01<0.01<0.01N0.00140.00180.00140.00170.00130.00170.00120.0020.0020.0020.0020.1620.0750.0020.002B1.143.041.521.821.142.012.031.041.982.020.690.230.970.281.02O0.02120.01990.02320.02320.02040.02010.01770.0150.0130.0240.0160.0160.0380.0120.024Gd0.060.140.180.260.220.561.201.681.802.522.560.131.450.171.68Al0.0020.0040.0020.0030.0060.0050.006N.A.N.A.N.A.N.A.N.A.N.A.N.A.N.A.Y<0.001<0.001<0.001<0.001<0.001<0.001<0.001N.A.N.A.N.A.N.A.N.A.N.A.N.A.N.A.BEq1.403.652.302.952.14.457.258.359.8112.9811.830.807.281.028.33N.A.: element not analyzed1 Heat 105 is blend of the powders from two argon atomized heats (871 and 872), the wt. % compositions of which are set forth below.CMnSiPSCrNiMoCuCoTiNBOGdAlYBEqHt. 8710.0172.140.49<0.0050.00220.0813.253.85<0.01<0.01<0.010.0031.000.0221.57N.A.N.A.7.83Ht. 8720.0182.220.49<0.0050.00220.0213.053.72<0.01<0.01<0.010.0021.040.0101.66N.A.N.A.8.26 TABLE IBComposition (%)Element708709710711712713754755756876C0.0370.0400.0400.0460.0250.0410.0210.0380.0360.042Mn1.681.711.731.751.711.762.092.442.432.66Si0.540.520.480.480.520.480.480.390.400.41P<0.0050.0050.0060.0060.0110.0110.0030.0050.0050.011S0.0010.0010.0010.0020.0020.0010.0010.0010.0020.001Cr19.4822.0524.3626.2724.0124.1221.2824.7124.6725.33Ni13.5213.5813.6613.6813.4413.5313.4811.9312.0011.32Mo<0.01<0.01<0.01<0.014.423.894.005.285.345.74Cu<0.01<0.010.010.01<0.010.01<0.01<0.01<0.01<0.01Co0.010.010.010.010.010.02<0.01<0.01<0.01<0.01TiN.A.N.A.N.A.N.A.N.A.N.A.N.A.N.A.N.A.<0.01N<0.0010<0.0010<0.0010<0.00100.00110.0013<0.00100.00120.00120.002B2.062.603.033.511.502.981.121.971.972.48O0.01860.01930.01740.02270.01920.02090.02060.01780.01810.014Gd——————<0.01<0.01<0.012.52Al0.0020.0020.0020.0030.0010.0020.0010.0010.001N.A.Y<0.001<0.001<0.001<0.001<0.001<0.001<0.001<0.001<0.001N.A.BEq2.062.603.033.511.502.981.121.971.9713.44N.A.: element not analyzed. Standard size, longitudinal specimens for tensile, Charpy V-notch, bend angle, Huey corrosion testing were prepared from the ¾-inch thick plates of each heat. The results of room temperature mechanical testing including the 0.2% offset yield strength (0.2% YS) and the ultimate tensile strength (UTS) in ksi, the percent elongation (% El.) and the percent reduction in area (% R.A.) are presented in Table HA below for the heats representing the alloy of this invention (Table IA). The results for the comparative heats (Table IB) are presented in Table IIB. Also shown in Tables IIA and IIB are the results of room temperature Charpy V-notch impact testing (CVN) in foot-pounds (ft.-lbs.), the ratio of the notch tensile strength (Kt=8.0) to ultimate tensile strength (NTS/UTS), the results of bend testing (Bend Angle) in degrees, and the results of Huey corrosion testing (Con. Rate) in mils per year (mpy). The bend test is performed as follows. The test specimen, which is a standard Strauss corrosion test coupon (as per ASTM A262, Practice A), is bent through a 1 9/16 inch wide slot using a ⅜ inch diameter mandrel and 1200 psi hydraulic ram. The Huey corrosion testing was performed in accordance with ASTM A262, Practice C. TABLE IIA0.2%%BendCorr.HeatYSUTS% El.R.A.CVNNTS/UTSAngleRate71556.2113.829.345.032.11.13106.125.0071680.5142.917.89.73.90.8143.0206.5075759.9122.023.032.018.71.05104.091.5075858.3123.719.526.714.61.0497.0134.4076056.3113.229.242.128.11.11106.042.8076170.0134.013.617.37.91.0060.092.6076273.7134.311.113.55.40.8938.0230.9087352.9113.020.522.317.71.06105.0295.187468.3123.55.16.34.70.9535.3457.487567.2121.33.85.33.60.8430.0750.587747.2104.918.919.816.11.00107.0549.787845.6100.546.262.569.71.21108.015.687954.2113.121.728.323.01.11106.3129.888040.293.948.464.084.91.20109.023.0105-151.7111.720.220.218.11.00107.0335.4105-253.8112.519.019.718.21.02107.0185.8105-352.6112.221.622.417.71.02106.7231.4 TABLE IIB0.2%%BendCorr.HeatYSUTS% El.R.A.CVNNTS/UTSAngleRate70885.2116.922.435.719.41.03107.2209.3570968.4130.313.813.88.70.9580.6293.5071075.0137.97.610.24.50.8538.6279.5071185.2150.33.55.71.70.5922.3365.5571259.6121.424.736.223.21.09105.232.2571383.5144.45.28.02.80.7531.4231.0075448.6111.531.746.433.51.07108.057.3075568.0132.317.120.710.71.0163.0111.1075667.2131.815.619.210.10.9673.0105.0087684.8133.92.20.8Note0.6316.0852.8Note: Not tested because usable test specimens could not be obtained. The values presented are the averages of the measured values. For Heats 708 to 716 the mechanical, CVN, and NTS/UTS results are the averages for five (5) tested specimens of each heat. For Heats 754 to 762, 873 to 880, 105-1, 105-2, and 105-3, the mechanical, CVN, and NTS/UTS results are the averages for three (3) tested specimens of each heat. For Heats 708 to 716 and 754 to 762, the bend testing and Huey corrosion testing results are the averages for two (2) specimens of each heat. For the other heats, the bend testing and Huey corrosion testing results are the averages for three (3) specimens of each heat. Graphical analyses of the test results shown in Tables IIA and IIB as a function of BEq are presented in FIGS. 1-7. There is generally good agreement between the variable being plotted and the BEq content. Both the 0.2% YS and UTS plots (FIGS. 1 and 2) show that the trend line of the heats having B≧2% lies above that of the trend line for the heats with a B≦1% at a comparable BEq. Plots of tensile ductility, CVN impact toughness, and bend angle as a function of BEq content (FIGS. 3-6) clearly show the superior ductility, toughness, and fabricability provided by the heats with boron content≦1% at a comparable BEq content when compared to the heats with a boron content≧2% and the straight B-bearing 304 L heats. The graphs in FIG. 7 for Huey corrosion rate show the superior corrosion resistance provided by the 316 L-based (Mo-containing) heats containing boron and gadolinium when compared to the 304 L-base heats (<0.5% Mo) containing boron, but no positive addition of gadolinium. The bend angle test results were analyzed as a function of mean area fraction of the boride and gadolinide particles. A graphical analysis is shown in FIG. 8 which clearly indicates a rapid drop-off in the bend angle achieved when the mean particle area fraction is greater than about 22%. Comparison of Powder Metallurgy Product to Cast-Wrought Product In order to demonstrate the significant improvement in processability provided by the powder metallurgy product according to this invention, a series of powder metallurgy heats was prepared for comparison with a series of cast and wrought heats of known alloys that contains B and Gd. The weight percent compositions of the test heats are set forth in Table III below. TABLE IIIHeatHeatHeatHeatHeatHeatHeatHeatHeatHeatElement046881047866048869049870050868C0.0070.0080.0090.0060.0120.0150.0130.210.0080.011Mn0.310.390.830.891.141.181.201.221.141.16Si0.200.200.230.240.340.270.380.400.330.34P<0.005<0.005<0.0050.006<0.005<0.005<0.005<0.0050.005<0.005S<0.0010.001<0.001<0.001<0.001<0.001<0.0010.001<0.001<0.001Cr22.1222.1218.1218.1620.2220.4420.6620.7620.3420.52Ni18.5618.2510.3510.1611.6211.7311.6411.6415.1415.16Mo2.782.884.134.220.060.11<0.01<0.014.054.13Cu<0.01<0.01<0.10<0.01<0.01<0.01<0.01<0.01<0.01<0.01Co<0.01<0.01<0.01<0.01<0.01<0.01<0.010.01<0.01<0.01Ti0.01<0.01<0.01<0.01<0.01<0.01<0.01<0.01<0.01<0.01O0.0030.0100.0020.0150.0010.022<0.0010.014<0.0010.014Gd0.420.440.320.270.790.660.460.540.330.26N0.0010.0010.0020.0020.0020.0020.0020.0020.0030.003B0.390.400.120.260.620.621.101.140.540.58Al0.03—0.03—0.10—0.09—0.12—Y0.028—————————Mg————0.032—0.032—0.034—BEq2.222.311.511.434.063.493.103.491.981.71Note:The balance of each heat was iron and usual impurities. The weight percent compositions of Heats 046 and 047 are similar to Examples 8 and 20, respectively, described in U.S. Pat. No. 5,820,818. The weight percent compositions of Heats 881 and 866 were selected to be similar to Heats 046 and 047, respectively. The weight percent compositions of Heats 048, 049, and 050 are similar to Examples 3, 5, and 16, respectively, described in published Japanese patent application JP 06-1902792. The weight percent compositions of Heats 869, 870, and 868 were selected to be similar to Heats 048, 049, and 050, respectively. Heats 046, 047, 048, 049, and 050 were vacuum induction melted, cast as 35 lb. ingots, and allowed to solidify. During melting, these heats were deoxidized with aluminum and yttrium in accordance with the descriptions in the respective patent documents. Heats 881, 866, 869, 870, and 868 were vacuum induction melted, nominal 170 pound heats. Each of these heats was atomized with argon gas to form metal powder. The metal powder of each heat was blended and screened to −40 mesh and then filled into two (2) 4½ inch square by 9 inch long canisters formed from 0.125 inch thick, low carbon steel. The filled canisters were sealed, degassed, and then hot isostatically pressed (HIP) at 2050° F. and 15 ksi for 4-6 hours at temperature and pressure to substantially full density. The cast VIM ingots and one of each of the HIP'd canisters were heated to forging temperature and then press forged to billets having a cross-section of 1⅝ inches high by 5 inches wide. The ingots of Heats 046 and 047 and the canisters of Heats 881 and 866 were pressed forged from a starting temperature of 1922° F. The ingots of Heats 048, 049, and 050 and the canisters of Heats 869, 870, and 868 were pressed forged from a starting temperature of 1832° F. The forging temperatures were selected based on the processing described in the US patent and in the Japanese patent application. All heats were press forged using ½-inch increments per pass and ½-hour reheats between passes. The cast VIM heats were single end forged and the powder metallurgy heats were double-end forged. The press forged billets were then hot rolled to ¾-inch thick plate using the same hot-working temperatures described above for the press forging. The hot rolling was conducted with ⅛-inch increments per pass with a 20-30 minute reheat between passes. Following the conversion to ¾-inch thick plate material, each heat was annealed as follows. Heats 046, 047, 881, and 866 were heated at 1922° F. for 1 hour and then water quenched. Heats 048-050 and 868-870 were heated at 2012° F. for 1 hour and water quenched. The cast VIM heats, 046, 048, 049, and 050 all experienced significant hot tearing during forging. Following the hot rolling to plate, those same heats experienced additional significant hot tearing. In particular, heat 050 broke-up during the first hot-rolling pass and the hot tearing of heat 049 became so pronounced that it was not possible to obtain blanks for mechanical and corrosion testing. Heat 047 was successfully forged and hot rolled. However, that result is attributable to the presence of ferrite in the microstructure. Consequently, heat 047 is not considered a truly austenitic grade. All of the powder metallurgy heats, 881, 866, 868, 869, and 870 were successfully forged and hot rolled. Heat 866 also had a duplex (austenite+ferrite) microstructure. Triplicate notched tensile, smooth tensile, and Charpy V-notch test specimens were cut and machined from the plate material of each heat in accordance with the standard requirements for such test specimens. The notched tensile specimens were prepared using a stress concentration factor, Kt, of 8.0. All tensile and Charpy samples were tested at room temperature in accordance with standard ASTM requirements. Blanks for bend testing were sectioned along the long axis of the plate material to yield three ¼ inch×¾ inch×3¼ inch blanks that were subsequently fabricated into standard Strauss coupons as described above. Triplicate bend tests were performed using a ⅜-inch mandrel that bent the sample through a 1 9/16-inch slot with a 1,200 psi hydraulic ram. Upon completion of the testing the bend angle was measured. Six (6) Huey corrosion blanks each measuring 3/16 inch×¾ inch×1⅝ inches were prepared. The blanks were subsequently fabricated into standard Huey corrosion coupons. Triplicate tests were run in boiling 65% nitric acid for five 48 hour time periods per the requirements of ASTM A262-C (Huey test). One untested coupon was tested for immersion density per ASTM B311 at room temperature in order to facilitate corrosion rate calculations. The results of the longitudinal and transverse, room temperature, tensile testing are reported in Tables IV-VII including the 0.2% offset yield strength (0.2% YS), the ultimate tensile strength (UTS), and the notched tensile strength (NTS) all in ksi, the NTS/UTS ratio, the percent elongation in four diameters (% El.), and the percent reduction in area (% R.A.). The results of room temperature Charpy V-notch (CVN) testing in ft-lbs are shown in Table VII. Examination of the data in Tables IV to VIII shows that the strength, ductility, and toughness of the powder metallurgy heats are consistently better than the same properties of the cast/wrought heats. This behavior is graphically depicted in FIGS. 9 (0.2% YS), 10 (UTS), 11 (% El.), 12 (% R.A.), and 13 (CVN impact toughness). FIG. 14, which is a plot of CVN toughness versus UTS clearly shows the superior combination of strength/toughness of the powder metallurgy materials relative to the cast/wrought materials. TABLE IVLong. Annealed Tensile PropertiesHeatCompositionStrength (ksi)NTS/UTSDuctilityNumberCrNiMoBGdBEqTest0.2% YSUTSNTSRatio% El.% R.A.04622.1218.562.780.390.422.22137.585.195.91.1332.942.9238.485.896.81.1431.236.7339.383.694.71.1230.829.7Avg.38.484.895.81.1331.636.488122.1218.252.880.400.442.31142.296.9114.21.1837.351.1242.596.9115.11.1935.448.8343.496.7115.71.1937.748.4Avg.42.796.8115.01.1936.849.404718.1210.354.130.120.321.51140.190.2112.01.2449.756.3240.090.7109.91.2250.759.9342.689.5110.71.2348.049.1Avg.40.990.1110.91.2349.555.186618.1610.164.220.260.271.43141.0101.9125.81.2448.666.6241.7101.9120.41.1847.259.2341.5101.6121.11.1946.153.4Avg.41.4101.8122.41.2047.359.7 TABLE VTrans. Annealed Tensile PropertiesHeatCompositionStrengthNTS/UTSDuctilityNumberCrNiMoBGdBEqTest #0..2% YSUTSNTSRatio% El% R.A.04622.1218.562.780.390.422.22137.581.893.81.1524.925.5238.482.390.61.1127.828.8337.981.691.61.1227.828.8Avg.37.981.992.01.1226.827.788122.1218.252.880.400.442.31143.797.0113.71.1739.055.2243.097.3113.61.1739.555.1342.997.4114.31.1837.346.2Avg.43.297.2113.91.1738.652.204718.1210.354.130.120.321.51142.091.0109.81.2045.542.7242.191.2108.21.1942.433.3342.991.3107.61.1841.135.8Avg.42.391.2108.51.1943.037.386618.1610.164.220.260.271.43153.5105.7116.11.1134.634.3248.4104.2114.31.0948.264.6349.7105.1116.51.1147.764.7Avg.50.5105.0115.61.1043.554.5 TABLE VILong. Annealed Tensile PropertiesHeatCompositionStrengthNTS/UTSDuctilityNumberCrNiMoBGdBEqTest0..2% YSUTSNTSRatio% El% R.A.04820.2211.620.060.620.794.06137.984.384.70.9930.029.1239.985.384.81.0025.024.7338.185.985.11.0026.527.9Avg.38.685.284.91.0027.227.286920.4411.730.110.620.663.49137.5101.3102.01.0138.442.4237.2100.6101.01.0033.729.8336.9101.0101.31.0038.148.4Avg.37.2101.0101.41.0036.740.204920.6611.64<0.011.100.463.10(Could not be tested, ¾″ platehad too many hot tears)87020.7611.64<0.011.140.543.49143.9110.6109.60.9930.134.3244.3110.7109.50.9927.327.0344.2110.4109.70.9928.830.4Avg.44.1110.6109.60.9928.730.605020.3415.144.050.540.331.98(Could not be tested, billetbroke-up on first pass to plate)86820.5215.164.130.580.261.71144.5102.0119.11.1737.952.7245.3101.9119.01.1737.352.7341.3101.9119.61.1735.644.6Avg.43.7101.9119.21.1736.950.0 TABLE VIITrans. Annealed Tensile PropertiesHeatCompositionStrengthNTS/UTSDuctility (%)NumberCrNiMoBGdBEqTest0.2% YSUTSNTSRatio% El% R.A.04820.2211.620.060.620.794.06138.580.182.11.0429.020.7236.677.582.11.0416.816.3338.679.483.11.0519.622.2Avg.37.979.082.41.0421.819.786920.4411.730.110.620.663.49137.9100.598.30.9836.427.9237.9100.599.50.9936.436.7337.2100.397.10.9736.237.0Avg.37.7100.498.30.9836.333.904920.6611.64<0.011.100.463.10(Could not be tested, ¾″ plate hadtoo many hot tears)87020.7611.64<0.011.140.543.49143.7109.3107.20.9830.836.2244.4109.2106.80.9827.630.9343.7109.0107.70.9928.831.5Avg.43.9109.2107.20.9829.132.905020.3415.144.050.540.331.98(Could not be tested, billetbroke-up on first pass to plate)86820.5215.164.130.580.261.71147.0101.8118.31.1635.540.8246.1101.8115.11.1335.443.1346.2102.2115.21.1336.945.5Avg.46.4101.9116.21.1435.943.1 TABLE VIIICVN Impact ToughnessHeatBGdOrientation#1#2#3Avg.0460.390.42L-S30.524.231.028.6T-L14.217.416.916.28810.400.44L-S72.370.970.971.4T-L67.768.565.267.10470.120.32L-S47.448.950.148.8T-L32.233.333.332.98660.260.27L-S85.587.381.084.6T-L77.372.781.877.30480.620.79L-S15.715.215.715.5T-L12.512.912.612.78690.620.66L-S43.943.744.243.9T-L37.738.538.138.10491.100.46(Could not be tested, ¾″plate had too many hot tears)8701.140.54L-S33.034.532.233.2T-L27.327.227.427.30500.540.33(Could not be tested, billetbroke-up on first pass to plate)8680.580.26L-S58.059.157.558.2T-L47.347.649.848.2 TABLE IXCorrosion Test ResultsHeatComposition (w/o)Test Data (mpy)Average RateNumberCrNiMoBGdBEqTest #Rate #1Rate #2Rate #3Rate #4Rate #5mpyipmComments04622.1218.562.780.390.422.22124.582.5203.7384.5448.5228.70.0191Heavy uniform224.583.4199.2378.5468.3230.80.0192attack on all323.875.3183.6354.1466.8220.70.0184surfaces88122.1218.252.880.400.442.3116.34.14.45.45.75.20.0004Light uniform26.74.44.44.85.45.10.0004general attack36.34.14.45.05.05.00.0004on all surfaces04718.1210.354.130.120.321.51165.6911.5106810981112851.00.0709Heavy uniform259.5878.5103310791119833.80.0695attack on all355.5812.0104710941085818.70.0682surfaces86618.1610.164.220.260.271.43110.311.619.628.439.421.90.0018Moderate29.311.417.928.237.620.90.0017uniform310.411.018.627.839.421.40.0018general attackon all surfacesmpy = mils per yearipm = inches per month TABLE XHuey Corrosion Test ResultsHeatComposition (w/o)Test Data (mpy)Average RateNumberCrNiMoBGdBEqTest #Rate #1Rate #2Rate #3Rate #4Rate #5mpyipmComments04820.2211.620.060.620.794.061136.5454.4878.1941.7909.0663.90.0553Heavy uniform2123.2388.4760.11185936.0678.50.0565attack on all3134.1452.6848.5777.4831.4608.80.0507surfaces86920.4411.730.110.620.663.49111.17.18.59.910.49.40.0008Light uniform212.28.58.69.49.89.70.0008general attack311.77.08.79.29.59.20.0008on all surfaces04920.6611.64<0.011.100.463.101, 2 & 3Could not be tested, ¾″ plate had too many hot tears87020.7611.64<0.011.140.543.49117.518.018.720.520.419.00.0016Moderate217.517.619.320.020.919.10.0016uniform general317.318.019.220.320.319.00.0016attack on allsurfaces05020.3415.144.050.540.331.981, 2 & 3Could not be tested, billet broke-up on first pass to plate86820.5215.164.130.580.261.7119.68.411.817.019.413.20.0011Light uniform29.38.411.117.320.213.30.0011general attack39.78.412.017.320.313.50.0011on all surfacesmpy = mils per yearipm = inches per month The results of the Huey corrosion testing set forth in Tables IX (cast/wrought heats) and X (powder metallurgy heats) show pronounced and significant differences between the two types of material. In all cases the Huey corrosion rates of the powder metallurgy material are lower and more stable (i.e., the corrosion rate does not increase appreciably with successive 5 hour test periods). The significant difference in corrosion behavior between the powder metallurgy heats and the cast/wrought heats is graphically depicted in FIG. 15. That difference is completely unexpected since the two sets of heats do not appear to differ significantly with respect to alloy composition. It should also be noted that of the three cast/wrought heats that could be tested, Heat 047 exhibited the worst Huey corrosion rate, and that behavior is attributed to the observed presence of ferrite in the matrix material of the alloy. The metallographic results presented in FIGS. 16-25 qualitatively show the differences in the size and distribution of the second phase boride and gadolinide particles between the cast/wrought heats (FIGS. 16A, 16B, 18, 20A, 20B, 22A, 22B, and 24) and the powder metallurgy processed heats (FIGS. 17, 19, 21, 23, and 25). Compared to the cast/wrought heats, the annealed microstructures of the powder metallurgy heats show smaller and more uniformly distributed borides and gadolinides without pronounced alloy segregation. It will be recognized by those skilled in the art that changes or modifications may be made to the above-described embodiments without departing from the broad inventive concepts of the invention. It is understood, therefore, that the invention is not limited to the particular embodiments that are described, but is intended to cover all modifications and changes within the scope and spirit of the invention as described above and set forth in the appended claims. |
|
abstract | Methods and systems for controlling x-ray exposure during a dynamic pitch helical scan using a translatable table are provided. The system includes a collimator positioned between an x-ray source and an object to be scanned configured to shutter an x-ray fan beam generated by the x-ray source to at least one of translate the x-ray fan beam along a z-axis of the scan and vary the width of the x-ray beam along the z-axis, and a collimator controller configured to dynamically position the collimator using at least one of predetermined trajectory of the translatable table and a current position of the translatable table. |
|
claims | 1. A method of operating a modular nuclear fission deflagration wave reactor, the method comprising: shielding at least a portion of a nuclear fission deflagration wave reactor module; installing the shielded nuclear fission deflagration wave reactor module in a modular nuclear fission deflagration wave reactor, removing the installed shielding from at least a portion of the shielded nuclear fission deflagration wave reactor module, and neutronically coupling the previously-shielded nuclear fission deflagration wave reactor module with at least one adjacent nuclear fission deflagration wave reactor module in the modular nuclear fission deflagration wave reactor, wherein neutronically coupling includes initiating a nuclear fission deflagration wave in the previously-shielded nuclear fission deflagration wave reactor module. 2. The method of claim 1, wherein shielding at least a portion of the nuclear fission deflagration wave reactor module includes removably disposing nuclear shielding material proximate the nuclear fission deflagration wave reactor module. 3. The method of claim 2, wherein removably disposing the nuclear shielding material proximate the nuclear fission deflagration wave reactor module includes removably installing the nuclear shielding material adjacent the nuclear fission deflagration wave reactor module. 4. The method of claim 1, further comprising propagating at least one nuclear fission deflagration wave in the previously-shielded nuclear fission deflagration wave reactor module. 5. The method of claim 1, wherein shielding at least a portion of a nuclear fission deflagration wave reactor module attenuates at least one radiation type chosen from neutron radiation and γ radiation. |
|
claims | 1. A method for monitoring ion implantation, comprising:providing an ion beam and a workpiece;implanting said workpiece by said ion beam and generating a profile having a plurality of signals relevant to respectively a plurality of relative positions between said ion beam and said workpiece when said ion beam is scanned through said workpiece, wherein said profile comprises a higher portion, a gradual portion and a lower portion, a width of said ion beam is acquired by measuring a span of said gradual portion when a relative moving direction between said ion beam and said workpiece coincides with a minor axis of said ion beam and crosses a diameter of said workpiece, and a height of said ion beam is acquired by measuring a span of said gradual portion when said relative moving direction coincides with a major axis of said ion beam and crosses said diameter of said workpiece; andanalyzing said profile without referring to a pre-determined profile, wherein at least one of the following of said ion beam is monitored: a beam contour of said ion beam and a current distribution of said ion beam along an ion beam radial cross-section. 2. The method as claimed in claim 1, said profile being a current curve formed with a plurality of current values measured at said relative positions by a Faraday cup close to said workpiece. 3. The method as claimed in claim 1, said profile being a capacitance-related current curve formed with a plurality of capacitance-related current values measured at said relative positions by a capacitance meter electrically coupled with said workpiece. 4. The method as claimed in claim 1, further comprising scanning said workpiece by said ion beam along a plurality of first lines parallel to a specific diameter of said workpiece and along a plurality of second lines vertical to said specific diameter of said workpiece, such that a plurality of widths as a function of vertical position and a plurality of heights as a function of horizontal position are found respectively and then at least a contour and a center of a cross-section of said ion beam are converted accordingly. 5. The method as claimed in claim 1, further comprising converting said gradual portion to generate said current distribution of said ion beam along said ion beam radial cross-section. 6. The method as claimed in claim 1, further comprising using an N-points smoothing process to modify said profile, wherein N is a positive integer. 7. The method as claimed in claim 1, further comprising performing a correcting process to modify said profile when at least one specific said relative position has no proper measured said signal, said correcting process comprising at least one of following:generating a pseudo signal for each said specific relative position by extrapolating from at least two said signals measured at other said relative positions, and then generating said profile by both said signals and said pseudo signal; andgenerating a pseudo signal for each said specific relative position by interpolating from at least two said signals measured at other said relative positions, and then generating said profile by both said signals and said pseudo signal. 8. A method for monitoring ion implantation, comprising:(a) providing an ion beam and a plurality of workpieces;(b) implanting one or more said workpieces by said ion beam, wherein a profile having a plurality of signals relevant to respectively a plurality of relative positions between said ion beam and a said workpiece is generated when said ion beam is scanned through said workpiece, and said profile is analyzed without referring to a pre-determined profile for each implanted said workpieces, wherein each said profile comprises a higher portion, a gradual portion and a lower portion, wherein at least one of the following of said ion beam is monitored: a beam contour of said ion beam and a current distribution of said ion beam along an ion beam radial cross-section;(c) generating a reference being a function of said profiles corresponding to implanted said workpieces, wherein said reference is an average ion beam geometric message acquired by averaging one or more ion beam geometric messages of said profiles, and said ion beam geometric messages has at least one of the following: said ion beam contour, an ion beam height, an ion beam width and an ion beam center of said ion beam, wherein said ion beam width is acquired by measuring a span of said gradual portion of a said profile when a relative moving direction between said ion beam and said workpiece coincides with a minor axis of said ion beam and crosses a diameter of said workpiece, said ion beam height is acquired by measuring a span of said gradual portion of a said profile when said relative moving direction coincides with a major axis of said ion beam and crosses said diameter of said workpiece, and said ion beam contour and said ion beam center are converted from a plurality of said ion beam widths as a function of vertical position and a plurality of said ion beam heights as a function of horizontal position which are acquired by scanning said workpiece through said ion beam along a plurality of first lines parallel to a specific diameter of said workpiece and along a plurality of second lines vertical to said specific diameter of said workpiece;(d) implanting another one of said workpieces by said ion beam and generating an another profile when said ion beam is scanned through said another one of said workpieces, wherein said another profile having a plurality of signals relevant to respectively a plurality of relative positions between said ion beam and said another one of said workpieces, wherein said another profile comprises a higher portion, a gradual portion and a lower portion;(e) analyzing said another profile without referring to said pre-determined profile to generate an analyzed result, wherein at least one of the following of said ion beam is monitored: said beam contour of said ion beam and said current distribution of said ion beam along said ion beam radial cross-section; and(f) comparing said analyzed result of said another profile with said reference. 9. The method as claimed in claim 8, further comprising one or more of the following:repeating step (d), step (e) and step (f) in sequence until all said workpieces are implanted by said ion beam;tuning said ion beam whenever a difference between said analyzed result and said reference is un-acceptable, wherein said reference is updated by performing step (b) and step (c) again after said ion beam being tuned and before step (d), step (e) and step (f) being repeated in sequence for at least a said workpiece not yet implanted;tuning one or more ion implantation parameters whenever a difference between said analyzed result and said reference is un-acceptable, wherein said reference is updated by performing step (b) and step (c) again after one or more ion implantation parameters being adjusted and before step (d), step (e) and step (f) being repeated in sequence for at least a said workpiece not yet implanted, wherein said implantation parameters comprise: an ion beam energy, an ion beam direction, an ion beam diverse, a position of a said workpiece being implanted by said ion beam, and an alignment between said ion beam and a said workpiece being implanted by said ion beam; andcomparing said reference with a measured result measured by using a profiler to measure said ion beam after step (c), wherein step (d), step (e) and step (f) are not processed when a difference between said reference and said measured result is un-acceptable. 10. The method as claimed in claim 8, wherein said reference is an average current distribution along said ion beam radial cross-section acquired by averaging one or more said current distributions where each is converted from a said gradual value of a said profile. 11. The method as claimed in claim 9, further comprising one or more of the following:using a Faraday cup close to said workpiece to measure a plurality of current values at said relative positions, so that at least one said profile is formed as a current curve; andusing a capacitance meter electrically coupled with said workpiece to measure a plurality of capacitance-related current values at said relative positions, so that at least one said profile is formed as a capacitance-related current curve. 12. A method for monitoring ion implantation, comprising:providing an ion beam and a workpiece;implanting said workpiece by said ion beam and generating a profile having a plurality of signals relevant to respectively a plurality of relative positions between said ion beam and said workpiece when said ion beam is scanned through said workpiece, wherein said profile comprises a higher portion, a gradual portion and a lower portion;analyzing said profile without referring to a pre-determined profile, wherein at least one of the following of said ion beam is monitored: a beam contour of said ion beam and a current distribution of said ion beam along an ion beam radial cross-section; andscanning said workpiece by said ion beam along a plurality of first lines parallel to a specific diameter of said workpiece and along a plurality of second lines vertical to said specific diameter of said workpiece, such that a plurality of widths as a function of vertical position and a plurality of heights as a function of horizontal position are found respectively and then at least a contour and a center of a cross-section of said ion beam are converted accordingly. 13. The method as claimed in claim 12, said profile being a current curve formed with a plurality of current values measured at said relative positions by a Faraday cup close to said workpiece. 14. The method as claimed in claim 12, said profile being a capacitance-related current curve formed with a plurality of capacitance-related current values measured at said relative positions by a capacitance meter electrically coupled with said workpiece. 15. The method as claimed in claim 12, wherein a width of said ion beam is acquired by measuring a span of said gradual portion when a relative moving direction between said ion beam and said workpiece coincides with a minor axis of said ion beam and crosses a diameter of said workpiece, and a height of said ion beam is acquired by measuring a span of said gradual portion when said relative moving direction coincides with a major axis of said ion beam and crosses said diameter of said workpiece. 16. The method as claimed in claim 12, further comprising converting said gradual portion to generate said current distribution of said ion beam along said ion beam radial cross-section. 17. The method as claimed in claim 12, further comprising using an N-points smoothing process to modify said profile, wherein N is a positive integer. 18. The method as claimed in claim 12, further comprising performing a correcting process to modify said profile when at least one specific said relative position has no proper measured said signal, said correcting process comprising at least one of following:generating a pseudo signal for each said specific relative position by extrapolating from at least two said signals measured at other said relative positions, and then generating said profile by both said signals and said pseudo signal; andgenerating a pseudo signal for each said specific relative position by interpolating from at least two said signals measured at other said relative positions, and then generating said profile by both said signals and said pseudo signal. |
|
claims | 1. A method, comprising: changing a size of an electron object image with a set of electron lenses; creating an interference pattern from the electron object image; and imaging interference fringes of the interference pattern onto an image plane, while not changing a magnification of the interference pattern, wherein changing the size of the electron object image includes maintaining a rotation of the electron object image defined by an astigmatic object illumination with respect to a final image plane constant by adjusting the astigmatic object illumination by adjusting a condenser. 2. The method of claim 1 , wherein changing the size of the electron object image includes maintaining an aspect ratio defined by an astigmatic object illumination with respect to the final image plane constant by adjusting the astigmatic object illumination by adjusting a condenser electron lens set. claim 1 3. The method of claim 1 , wherein changing the size of the electron object image includes demagnifying the electron object image at a power of {fraction (1/20)}. claim 1 4. The method of claim 1 , wherein changing the size of the electron object image includes demagnifying the electron object image. claim 1 5. A method, comprising: changing a size of an electron object image with a set of electron lenses; creating an interference pattern from the electron object image; and imaging interference fringes of the interference pattern onto an image plane, while not changing a magnification of the interference pattern, wherein changing the size of the electron object image includes maintaining an aspect ratio defined by an astigmatic object illumination with respect to the final image plane constant by adjusting the astigmatic object illumination by adjusting a condenser electron lens set. 6. The method of claim 1 , wherein changing the size of the electron object image includes maintaining an intensity distribution with respect to a final image plane by adjusting an illumination. claim 1 7. The method of claim 5 , wherein changing the size of the electron object image includes maintaining the aspect ratio as well as an intensity distribution defined by an astigmatic object illumination with respect to a final image plane by adjusting the astigmatic object illumination. claim 5 8. The method of claim 5 , wherein changing the size of the electron object image includes maintaining rotation of the electron object image with respect to a final image plane constant. claim 5 9. An apparatus, comprising an electron beam emitter; a condenser electron lens set coupled to the electron beam emitter; a first set of electron lenses coupled to the condenser electron lens set, the first set of electron lenses adapted to change an electron object image size; an electron biprism coupled to the first set of electron lenses; and a second set of electron lenses coupled to the electron biprism, the second set of electron lenses adapted to image interference fringes of an interference pattern created by the electron biprism onto an image plane without changing a magnification of the interference pattern, wherein the condenser electron lens set, which may include the pre-field of the objective lens, is sufficiently strong to maintain an astigmatic illumination condition with respect to a final image plane independent of a selected magnification of the first set of electron lenses and the astigmatic illumination condition includes rotation of the electron object image with respect to the final image plane. 10. The apparatus of claim 9 , wherein the first set of electron lenses includes a wide-gap objective lens. claim 9 11. The apparatus of claim 10 , further comprising a spherical aberration corrector coupled to the wide-gap objective lens. claim 10 12. The apparatus of claim 9 , wherein the condenser electron lens set is sufficiently strong to maintain another astigmatic illumination condition with respect to a final image plane independent of the selected magnification of the first set of electron lenses and the another astigmatic illumination condition includes an aspect ratio with respect to the final image plane. claim 9 13. The apparatus of claim 9 , wherein the condenser lens set includes stigmators that maintain the astigmatic illumination condition of rotation of the electron object image with respect to the final image plane. claim 9 14. The apparatus of claim 9 , wherein the first set of lenses demagnify the electron object image. claim 9 15. The apparatus of claim 9 , wherein the condenser electron lens set is sufficiently strong to maintain another astigmatic illumination condition with respect to a final image plane independent of the selected magnification of the first set of electron lenses and the another astigmatic illumination condition includes an intensity distribution with respect to the final image plane. claim 9 16. The apparatus of claim 17 , wherein the condenser electron lens set is sufficiently strong to maintain another astigmatic illumination condition with respect to a final image plane independent of the selected magnification of the first set of electron lenses and the another astigmatic illumination condition includes an intensity distribution with respect to the final image plane. claim 17 17. An apparatus, comprising an electron beam emitter; a condenser electron lens set coupled to the electron beam emitter; a first set of electron lenses coupled to the condenser electron lens set, the first set of electron lenses adapted to change an electron object image size; an electron biprism coupled to the first set of electron lenses; and a second set of electron lenses coupled to the electron biprism, the second set of electron lenses adapted to image interference fringes of an interference pattern created by the electron biprism onto an image plane without changing a magnification of the interference pattern, wherein the condenser electron lens set, which may include the pre-field of the objective lens, is sufficiently strong to maintain an astigmatic illumination condition with respect to a final image plane independent of a selected magnification of the first set of electron lenses and the astigmatic illumination condition includes an aspect ratio with respect to the final image plane. 18. The apparatus of claim 17 , wherein the first set of electron lenses demagnify the electron object image. claim 17 19. The apparatus of claim 17 , wherein the condenser electron lens set is sufficiently strong to maintain another astigmatic illumination condition with respect to a final image plane independent of the selected magnification of the first set of electron lenses and the another astigmatic illumination condition includes rotation of the electron object image with respect to the final image plane. claim 17 20. The method of claim 5 , wherein changing the size of the electron object image includes demagnifying the electron object image. claim 5 21. The method of claim 1 , wherein maintaining rotation of the electron object image with respect to a final image plane constant includes adjusting stigmators that are incorporated in the condenser. claim 1 |
|
050358537 | description | Referring now to the figures of the drawing in detail and first, particularly, to FIG. 1 thereof, there is seen a nuclear reactor fuel assembly having a fuel assembly top with a square grid plate 2, on the top of which two stay bolts 3 and a handle 4 are provided. The grid plate 2 is provided with a plurality of flow ducts 5, which are diagrammatically represented by broken lines. The flow ducts 5 run in the longitudinal direction of the fuel assembly and the coolant in the reactor core of a boiling water reactor flows through the ducts. The grid plate 2 is disposed at right angles to the longitudinal direction of the fuel assembly. The fuel assembly of FIG. 1 is also provided with a fuel assembly bottom, which likewise has a square grid plate 6 disposed at right angles to the longitudinal direction of the fuel assembly. The square grid plate 6 likewise has a plurality of flow ducts 7 which are diagrammatically indicated by broken lines i the longitudinal direction of the fuel assembly, for the coolant in the core of a boiling water reactor. At the bottom of the grid plate 6 of the fuel assembly bottom is a fitting device 8 that is open toward the grid plate 6 and inserted vertically from above into one mesh of a so-called core lattice located in the core of the boiling water reactor. The fuel assembly of FIG. 1 also has a number of fuel rods 9, which are filled with nuclear fuel, are screwed into the grid plate 6 of the fuel assembly bottom and extend through the grid plate 2 of the fuel assembly top, where they are screwed to the grid plate 2 with a nut 10 located on the top of the grid plate 2. These fuel rods 9 serve as so-called retaining rods for the top and bottom of the fuel assembly. Other fuel rods 11 filled with nuclear fuel and a central water rod 12 have ends which are loosely inserted into openings in the grid plates 2 and 6 of the top and bottom of the fuel assembly. Holding down springs 13 and 14, which are compression springs that are constructed as helical springs, are seated on the upper ends of the fuel rods 11 and the water rod 12. One end of each holding down spring is supported on the fuel rod 11 or the water rod 12 and the other end is supported on the lower surface of the grid plate 2 of the fuel assembly top. The central water rod 12 is a tube made of a zirconium alloy that is plugged at both ends. Radial flow openings 16 for liquid water are provided in the ends of the jacket of the water rod 12. The fuel assembly of FIG. 1 also has a plurality of spacers 17 between the top and bottom of the fuel assembly, which are spaced apart from one another and from the top and bottom of the fuel assembly in the longitudinal direction of the fuel assembly. As seen in FIG. 2, these spacers 17 are square, are in alignment with the grid plate 2 of the fuel assembly top and the grid plate 6 of the fuel assembly bottom, and are formed of a grid made up of sheet-metal struts that intersect one another at right angles, stand on end and are made of a zirconium alloy. One of the fuel rods 9 or 11 or the water rod 12 is disposed in each respective space, mesh or opening 33, 36 of the spacers 17. Each of the spacers 17 is positioned between two fins 20 that are located on the outside of the jacket surface of the water rod 12, are suitably spaced apart from one another in the longitudinal direction of the water rod 12 and therefore in the longitudinal direction of the fuel assembly as well, and are in alignment with one another. Also associated with the fuel assembly of FIG. 1 is a duct tube or cladding case 21 with a square cross section, which is the so-called fuel channel or fuel assembly case, that is also made of a zirconium alloy and is mounted on the outside of the fuel assembly top, the spacers 17 and the fuel assembly bottom and envelops the fuel rods 9 and 11 and the water rod 12, forming a flow channel for the coolant in the core of a boiling water reactor. As FIGS. 2-5 show, the square spacer 17 has a first group of mutually parallel inside struts 31 and a second group of mutually parallel inside struts 32 of sheet metal. The inside struts 31 and 32 of the two groups intersect one another at right angles forming 81 square spaces, meshes or openings 33, nine to a side. Both the inside struts 31 as well as the inside struts 32 which are at right angles to the inside struts 31, are disposed on end; that is, the lateral surfaces thereof are parallel to the longitudinal direction of the fuel assembly of FIG. 1. The inside struts 31 and 32 are surrounded by sheet-metal outer struts 34 and 35 which are disposed on end. The outer struts 35 are parallel to the inner struts 31 and the outer struts 34 are parallel to the inner struts 32. The outside of the outer struts 34 and 35 are each provided with two contact knobs 60 which point outward, for the fuel channel or cladding case 21. Each of the inner spaces, meshes or openings 33 has four contact elements 40 associated therewith. A contact element is formed of a sheet-metal strip made of a nickel-based alloy, which is bent to form a hairpin-like clamp with two legs 41 and 42. The contact element fits with the legs 41 and 42 over both sides of a single inner strut 31 or 32 of the spacer 17, in the middle of one side of the space, mesh or opening 33. The two ends of the sheet-metal strip and thus the ends of the legs 41 and 42 are rigidly joined together by spot welding. The lower edge of each respective inner strut 31 or 32 in the middle of each side of the inner spaces, meshes or openings 33 is provided with a detent notch 43 for receiving the flexing point of the sheet-metal strip forming the contact element 40. One leg 41 of the contact element 40 rests flush on the respective inner strut 31 or 32, while the other leg 42 on the other side of the inner strut is curved outward, forming a strip-like contact spring that is parallel to a fuel rod 9 or 11 located in the associated inner space, mesh or opening 33. Accordingly, both strip ends of the contact spring formed by the leg 42 rest on the inner strut 31 or 32, where it is also retained. The middle of the leg 42 forming the contact spring has two undulatory or wavy transverse curves 61 in the associated inner space, mesh or opening 33, at a contact location for the fuel rod 9 or 11 that is resilient beyond or relative to the inner strut 31 or 32. The two transverse curves 61 are disposed on the side of the contact spring oriented toward the inner strut 31 or 32 on which the contact spring is retained. Otherwise, the contact spring formed by the leg 42 is continuously smooth and flat at the respective strip ends thereof resting on the inner strut 31 or 32, beginning at the contact location for the fuel rod 9 or 11 that is provided with the undulatory transverse curves 61 and is resilient beyond or relative to the associated inner strut 31 or 32. The outside of the leg 41 of the contact element 40 which rests flush on the other flat side of the inner strut 31 or 32, is provided with two stationary transverse curves 44 which form rigid contact knobs for the fuel rod 9 or 11 located in the associated inner space, mesh or opening 33. The contact element on the opposite side of the inner space, mesh or opening 33 has a leg 42 which is constructed as an outwardly curved contact spring, so that a three-point support for the fuel rod 9 or 11 disposed in the associated inner space, mesh or opening 33 is assured in each inner space, mesh or opening 33 between two inner struts 31 and 32 that are parallel to one another. In the middle of the sides of the outer spaces, meshes or openings 36, each of the outer struts 34 and 35 of the spacer 17 also have contact elements 47 positioned in detent notches 43 on the lower edges thereof. Like the contact elements 40, the contact elements 47 are bent into a clamp from a sheet-metal strip. As with the contact elements 40, the ends of the sheet-metal strips are also rigidly joined together by spot welding. However, only the leg 48 of the contact elements 47 located in the outer spaces, meshes or openings 36 is bent outward to form a contact spring with a contact location that is resilient beyond or relative to the outer strut 34 or 35 for a fuel rod 9 or 11. The leg 49 of the contact element 47 located on the outside of the outer struts 34 or 35 is continuously flat and rests flatly and largely flush on the outside of the outer strut 34 or 35. As with the contact element 40, the contact spring formed by the leg 48 has two undulatory transverse curves 61 at the contact surface and is resilient beyond or relative to the outer strut 34 or 35, for a fuel rod 9 or 11. The undulatory transverse curves 61 are located on the side of the contact spring that surfaces the outer strut 34 or 35 on which the contact spring is retained As with the contact element 40, the strip ends of the contact spring rest on the outer strut 34 or 35 and it is continuously smooth and flat from its contact location, which is resilient beyond or relative to the outer strut 34 or 35 for the rod 9 or 11, to the strip ends thereof resting on the outer strut 34 or 35. A central inner space or mesh 37 is provided in the spacer 17 for the water rod 12. Two openings 38 and 39 for a contact element 50 are provided the inner struts 31 and 32 in the middle of each side of the inner mesh 37. The openings 38 and 39 are mutually spaced apart and alongside one another in the longitudinal direction of the water rod 12 and therefore in the longitudinal direction of the fuel assembly. The contact element 50 is again made of a strip of sheet metal formed of a nickel-based alloy, which is threaded through one of the openings 38 and 39 and bent into a clamp with two legs 51 and 52. The ends of the legs 51 and 52 are rigidly joined together by spot welding in the other of the openings 38 and 39. All of the legs 51 of the contact elements 50 located in the central inner mesh 37, are curved outward, forming a contact spring for the water rod 12, while the other legs 52 rest flush on the associated inner struts 31 or 32 and have two transverse curves 53 serving as rigid contact knobs for fuel rods 9 or 11 in adjoining inner spaces, meshes or openings 33. The fuel assembly of FIG. 1 is disposed vertically in the reactor core of a boiling water reactor, with the fuel assembly top facing upward. Liquid water flows from the bottom in the reactor core through the fuel assembly bottom and into the fuel assembly which is provided with the duct tube or cladding case 21, and the water evaporates there, so that water vapor flows upward and out through the fuel assembly top. In order to improve the moderation, liquid water that enters the water rod 12 through the openings 16 located at the lower end, flows through the water rod 12 without evaporating and exits through the openings 16 at the upper end of the water rod while still in liquid form, and only then evaporates in the steam flowing past it. As FIG. 5 shows in particular, the gap for coolant flowing from bottom to top through a space, mesh or opening 33 between the leg 42 forming the contact spring and the fuel rod 11 located in the space, mesh or opening 33, initially narrows uniformly and then widens again uniformly, so that the flow resistance in the space, mesh or opening 33 is optimally low. The contact element of FIG. 6, in which identical elements are provided with the same reference numerals as in FIGS. 2-5, only differs from the contact element 40 of FIGS. 2-5 due to the fact that only a single undulatory transverse curve 61a is provided at the contact location of the contact spring for a rod, which is formed by the leg 42. The contact spring becomes particularly streamlined if the leg 42 forming the contact spring has transition points 61b and 61c leading to the undulatory transverse curve 61a, which are unequally spaced apart from the strut 41 on which the contact element 40 is attached, and through which the contact spring formed by the leg 42 is retained. It is particularly advantageous if the transition point 61b located closer to the bottom of the fuel assembly is spaced apart from the strut 31 by a greater distance than the other transition point 61c located closer to the fuel assembly top. |
summary | ||
claims | 1. A catheter, comprising: a flexible body extending from a proximal end to a distal end thereof, an x-ray generator disposed in the distal end region of the flexible body for generating radiation in a biologically effective wavelength range, and a transformer also disposed in the distal end region in proximity of the x-ray generator and electrically coupled thereto, the transformer having a primary winding for receiving an AC input voltage and a secondary winding for generating an AC output voltage for powering the x-ray source. 2. The catheter of claim 1 , wherein the primary winding receives an AC input voltage having a root mean square (rms) amplitude in a range of about 100 V to about 4 kV, and the secondary winding generates an AC output voltage having a root mean square (rms) amplitude in a range of about 10 to about 40 kV. claim 1 3. The catheter of claim 2 , wherein the primary winding receives an AC input voltage having a frequency in a range of about 60 Hz to about 10 MHz. claim 2 4. The catheter of claim 1 , wherein the x-ray generator has a length less than about 30 millimeters. claim 1 5. The catheter of claim 4 , wherein the x-ray generator has a maximum cross-sectional dimension equal or less than approximately 3 millimeters. claim 4 6. The catheter of claim 1 , wherein the transformer has a length less than about 50 millimeters. claim 1 7. The catheter of claim 6 , wherein the transformer has a maximum cross-sectional dimension equal or less than approximately 3 millimeters. claim 6 8. The catheter of claim 1 , wherein the transformer and the x-ray generator form a monolithic device. claim 1 9. The catheter of claim 1 , wherein the x-ray generator produces radiation having an energy in a range of about 10 keV to about 40 keV. claim 1 10. The catheter of claim 1 , further comprising a flexible electrical cable having a diameter in a range of about 1 mm to about 3 mm extending from the proximal end to the distal end for transmitting an AC input voltage from an AC source to the primary winding of the transformer. claim 1 11. The catheter of claim 10 , wherein the electrical cable comprises a pair of elongate coaxial conductors and an inner insulating layer having a thickness in a range of about 0.01 mm to about 0.2 mm which insulates the coaxial conductors from one another. claim 10 12. The catheter of claim 9 , wherein the inner insulating layer of the electrical cable can withstand a voltage differential in a range of about 100 V to about 4 kV. claim 9 13. The catheter of claim 11 , wherein the electrical cable further comprises an outer insulating layer having a thickness in a range of about 0.001 mm to about 0.2 mm which covers the conductors. claim 11 14. The catheter of claim 11 , wherein the insulating layer of the electrical cable is formed of any of polyethylene, Teflon, or polyimide. claim 11 15. The catheter of claim 1 , wherein the transformer includes a primary to secondary ratio is in a range of approximately 1/10 to approximately 1/100. claim 1 16. The catheter of claim 1 , wherein the x-ray generator generates x-ray output power in a range of about 1 mW to about 100 mW. claim 1 17. The catheter of claim 1 , wherein the x-ray generator comprises an evacuated housing and one or more cathodes disposed in the housing, the cathode being electrically coupled to the secondary winding to generate electrons in response to a voltage applied thereto by the secondary winding. claim 1 18. The catheter of claim 17 , wherein the cathode is formed of a refractory metal. claim 17 19. The catheter of claim 18 , wherein the cathode emits electrons during each negative half-cycle of the AC voltage applied thereto. claim 18 20. The catheter of claim 17 , wherein the x-ray source further comprises an anode disposed in said housing and separated axially from said cathode, the anode being maintained at an electrical potential difference relative to the cathode for generating an electric field for accelerating the electrons emitted by the cathode to the anode such that the impact of the electrons with anode generates x-ray radiation. claim 17 21. The catheter of claim 17 , wherein the x-ray source further comprises a window disposed in the housing, the window being substantially transparent to x-ray radiation to facilitate transmission of the generated x-ray radiation to outside environment. claim 17 22. The catheter of claim 18 , wherein the window has a transmission coefficient of approximately 99% for x-ray radiation having an energy in a range of about 10 keV to about 40 keV. claim 18 23. The catheter of claim 19 , wherein the window of is formed of beryllium and has a thickness in a range of about 10 microns to about 100 microns. claim 19 24. The catheter of claim 1 , further comprising an insulation casing in which the x-ray generator and the transformer are disposed, said insulation being capable of withstanding a voltage differential of at least approximately 40 kV. claim 1 25. The catheter of claim 21 , further comprising a beam-forming electrode disposed between the cathode and the anode for focusing electrons emitted by the cathode onto the anode. claim 21 26. The catheter of claim 22 , wherein the transformer further comprises any of a secondary tap or another secondary winding electrically coupled to the beam-forming electrode to apply an AC voltage thereto. claim 22 27. The catheter of claim 1 , wherein the transformer comprises a cylindrical core having a diameter in a range of about 0.1 mm to about 2 mm, and a length in a range of about 5 mm to about 30 mm. claim 1 28. The catheter of claim 24 , wherein the transformer core is formed of a ferromagnetic material. claim 24 29. The catheter of claim 20 , wherein the secondary winding of the transformer comprises a coil having about 40 to about 1000 turns wound on the core. claim 20 30. The catheter of claim 26 , wherein the secondary winding coil is formed of a copper wire having a diameter in a range of about 0.01 mm to about 0.1 millimeter. claim 26 31. The catheter of claim 25 , wherein the primary winding of the transformer comprises a coil having about 5 to about 60 turns wound on the core. claim 25 32. A catheter, comprising: a flexible body extending from a proximal end to a distal end thereof, an x-ray generator disposed in the distal end region of the flexible body for producing x-ray radiation in a wavelength range effective for treating tissue, the x-ray generator having a maximum cross-sectional dimension equal or less than approximately 3 millimeters, a transformer also disposed in the distal end region in proximity of the x-ray generator and electrically coupled thereto, the transformer having a maximum cross-sectional dimension equal or less than about 3 millimeter and having a primary winding for receiving an AC input voltage and a second winding for generating an AC output voltage for powering the x-ray source, and a flexible electrical cable having a diameter in a range of about 1 to about 2 mm extending from the proximal end to the distal end of the flexible body and electrically coupled to the primary winding for transmitting an AC voltage in a range of about 100 V to about 4 kV from an voltage generator to the primary winding. 33. A catheter, comprising: a flexible body having a lumen extending from a proximal end to a distal end thereof, an x-ray generator disposed in the distal end region of the flexible body for generating radiation in a biologically effective wavelength range, the x-ray generator having at least two cathodes and two anodes, a transformer also disposed in the distal end region in proximity of the x-ray generator and electrically coupled thereto, the transformer having a primary winding for receiving an AC input voltage and one or more secondary windings for generating an AC output voltage for powering the x-ray source, wherein the cathodes and the anodes are mechanically and electrically coupled to one another and to the transformer such that one of the cathodes emits electrons during a first portion of each cycle of the AC output voltage to strike one of the anodes and the other cathode emits electrons during a second portion of the cycle to strike the other anode. |
|
06132356& | description | DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT Referring to FIGS. 1 and 1a, there is shown a hazardous material containment apparatus 2 which comprises a vapor containment vessel 4. The vessel has a cover 6 and side walls 8 attached around the perimeter of the cover. The cover 6 and side walls 8 define an open central cavity which in FIG. 1 is under the downwardly positioned cover 6 and side walls 8. Each of the cover and side walls is composed of a material which resists penetration of a hazardous material therethrough. Such materials can be rubber, PVC or a variety of plastics with a low probability of reacting with or allowing the penetration of the hazardous material. The side walls can be substantially rigid or may be flexible or most preferably the side walls are inflatable. The outside diameter of the side walls can be of any convenient dimension such as from about one foot or less to about twenty feet or more in diameter. Although the drawing shows the apparatus to be circular, it can have a non-circular configuration. A tube 10 extends through the vessel at any convenient point. The tube has a first end 12 which is open inside the cavity and a second end 14 outside the vessel 4. Attached to the second end 14 of tube 10 is a means for extracting and filtering a hazardous material from inside the cavity to outside the vessel through the tube and preferably into a suitable container. In the preferred embodiment, the means is a vacuum system 16 which may be powered by a portable generator 18. In the preferred embodiment, there a suitable filter 20 attached either at the first end of the tube, at the second end of the tube, or between the first and second ends of the tube. This vacuum pump/filtration system maintains a negative pressure (a pressure less than atmospheric) within the system with respect to ambient, thus preventing or mitigating leakage out of the containment system. The filter may be any filter which is suitable to the type of hazardous material to be confined. Such may include a High-Efficiency Particulate Air (HEPA) filter, a chemical or biological material filter, a canister for organic vapors, acid gases, dusts, mists, chlorine, ammonia, methylamine, pesticides or other filters as would be well known to the skilled artisan. In the preferred embodiment, the apparatus also includes a sampling port 22 extending through the vessel for sampling the hazard or verifying the effectiveness of decontamination materials used on the hazard. Such may be done, for example by a syringe. The apparatus may preferably have an injection orifice 24 extending through the vessel through which one may insert decontaminants, absorbents or foam suppression materials onto the hazard. Decontaminants such as bleach or a variety of well known foams or other neutralizing material may be inserted into the containment area to reduce the hazard. The apparatus may also have pads, rolls, booms, mini-booms, pillows or rugs of absorbent material which may be attached inside the containment area perimeter by VELCRO or hook and loop type fastener or other means of attachment to preclude gross liquid seepage around the perimeter of the device. Alternatively, the orifice 24 can also be used as an opening for vacuuming out the hazard while containing the vapor. This assists in reduction of the hazard. Preferably the apparatus has an apron 26 attached to and surrounding the side walls 8 at a wall edge opposite to the cover. Such may be used to hold the overall apparatus to the ground or other foundation by means of weights such as sandbags 28, water bags, or by means of tie downs through rings or grommets. In the event of a hazardous material spill, the apparatus can be easily deployed by a single individual. The user inflates or otherwise erects the side walls 8, places weights 28 around apron 26 and connects the filter 20 and vacuum mechanism 16. The apparatus is placed over the contaminated area such that it surrounds the hazardous material to effect hazard suppression within the walls and cover. One optionally inserts a contamination neutralization material through injection port 24 and then extracts the hazardous material from inside the cavity to outside the vessel through the tube 10 and filter 20 by creating a negative pressure environment under the vessel by engaging vacuum pump 16. In the usual case, normal air leakage from under the apron provides sufficient make-up air to keep the apparatus from collapsing under the negative pressure from the vacuum. If desired, additional make-up air may be provided by adding an automatic or manual one way damper valve through the vessel. Such may allow sufficient make-up air to enter into the apparatus to preclude collapse but yet not so much to negate the effect of the negative pressure inside the containment area. As a result, vaporization of volatile liquids and re-aerosolization of particulates is greatly mitigated. In addition, since the apparatus is a covering which stands a few inches off the ground, it allows for the addition of sorbents and neutralizers, allows facile viewing of the hazard, if necessary, and allows for a better negative pressure than if plain plastic sheets were used. It is to be understood that the foregoing preferred embodiment is provided for illustrative purposes, and is not intended to limit the scope of the invention in any way. |
052992462 | claims | 1. A spacer grid for use in a nuclear reactor fuel assembly comprising: openings for receiving, supporting and spacing a plurality of elongated nuclear fuel rods; and a plurality of spring members biased into said openings for applying lateral forces against said fuel rods, said spring members comprising a shape-memory metal having a two-way memory characteristic and an overall transformation temperature range substantially above atmospheric temperature and substantially below an operating temperature experienced by said spring members such that said spring members assume a first configuration below said overall transition temperature range wherein said lateral forces are minimized and a second configuration above said overall transition temperature range wherein said lateral forces are maximized. openings for receiving, supporting and spacing a plurality of elongated nuclear fuel rods; and a plurality of lateral projections laterally extending into said openings, said lateral projections further comprising a shape-memory metal having a two-way memory characteristic and an overall transformation temperature range substantially above atmospheric temperature and substantially below an operating temperature experienced by said lateral projections such that said lateral projections assume a first configuration below said overall transition temperature range wherein said lateral projections extend into said openings to a maximum extent and a second configuration above said overall transition temperature range wherein said lateral projections extend into said openings to a minimum extent. 2. The spacer grid of claim 1, wherein said shape-memory metal comprises a titanium-nickel alloy. 3. A spacer grid for use in a nuclear reactor fuel assembly comprising: 4. The spacer grid of claim 3, wherein said shape-memory metal comprises a titanium-nickel alloy. 5. The spacer grid of claim 3, wherein said lateral projections are arches. 6. The spacer grid of claim 3, wherein said lateral projections are spring members. 7. A support structure for supporting one or a plurality of nuclear reactor components, said support structure comprising a shape-memory metal having a two-way memory characteristic and an overall transition temperature range substantially above atmospheric temperature and substantially below a temperature experienced by said shape-memory alloy such that said support structure assumes a first configuration for securely supporting said components at a temperature above said overall transition temperature range while assuming a second configuration for loosely engaging said components below said overall transition temperature range wherein said support structure is a spacer grid and said components are fuel rods. 8. The support structure of claim 7, wherein said shape-memory metal comprises a titanium-nickel alloy. |
description | The present invention relates to a method and apparatus for irradiating products to achieve a radiation dose distribution that satisfies specified dose uniformity criteria throughout the product. The following description is of a preferred embodiment by way of example only and without limitation to the combination of features necessary for carrying the invention into effect. By xe2x80x9cradiation processingxe2x80x9d it is meant the exposure of a product, or a product stack (60) to a radiation beam (40: FIG. 4; or 45; FIG. 5) or a collimated radiation beam (50; FIGS. 4 to 6). The product must be within the radiation chamber (80), and the radiation source must be placed into position and unshielded as required to irradiate the product, for example as in the case of but not limited to a radioactive source (100; for example the radioactive source that is raised from a storage pool), or the radiation source must be in an active state, for example when using an electron-beam (15), or X-rays derived from an electron beam (e.g., 45; FIG. 5) in order to irradiate the product or product stack (60). It is to be understood that any product may be processed according to the present invention, for example, but not limited to, food products, medical or laboratory supplies, powdered goods, waste, for example biological wastes. By the term xe2x80x9cdose uniformity ratioxe2x80x9d or xe2x80x9cDURxe2x80x9d it is meant the ratio of the maximum radiation dose to the minimum radiation dose, typically measured in Grays (Gy) received within a product or product stack, and is expressed as follows: DUR=Dosemax/Dosemin Dosemax (also referred to as Dmax) is the maximum radiation dose received at some location within the product or product stack in a given treatment, and Dosemin is the minimum radiation (also referred to as Dmin) dose received at some location within the same product or product stack in a given treatment. A DUR of 2 indicates that the highest radiation dose received in a volume element located somewhere within the product stack is twice the lowest radiation dose delivered in a volume element located at a different position within the product or product stack. A DUR of about 1 indicates that a uniform dose distribution has been delivered throughout the product material. A xe2x80x9chigh DURxe2x80x9d is defined to mean a DUR greater than about 2. A xe2x80x9clow DURxe2x80x9d is defined to mean a DUR of about 1to less than about 2. These are arbitrary categories. Conventional irradiation system are characterized as producing a high DUR of above 2 for low density products, and above 3 for products with densities greater than or equal to 0.8 g./cm3. By the term xe2x80x9cacceleratorxe2x80x9d (20; FIG. 5) it is meant an apparatus or a source capable of providing high energy electrons preferably with energy and power measured in millions of electron volts (MeV) and in kilowatts (kW) respectively. The accelerator also includes associated auxiliary equipment, such as a RF generator, Klystron, power modulation apparatus, power supply, cooling system, and any other components as would be known to one skilled in the art to generate an electron beam. By the term xe2x80x9cscanning hornxe2x80x9d it is meant any device designed to scan a beam of high energy electrons over a specified angular range. The dimensions may include a horizontal or a vertical plane of electrons. The scanning horn may comprise a magnet, for example, but not limited to a xe2x80x9cbowtiexe2x80x9d magnet, to produce a parallel beam of electrons emitting from the horn. Also, the xe2x80x9cscanning hornxe2x80x9d may be an integral part of the accelerator or it may be a separate part of the accelerator. By the term xe2x80x9cconverterxe2x80x9d (30; FIG. 5) it is meant a device or object designed to convert high energy electrons (10, 15) into X-rays (45; FIG. 5). By the term xe2x80x9ccollimatorxe2x80x9d or xe2x80x9cadjustable collimatorxe2x80x9d (110) it is meant a device that shapes a radiation beam (40, 45) into a desired geometry (50). Typically the shape of the radiation beam is adjusted in its width, however, other geometries may also be adjusted, for example, but not to be considered limiting, its height to both its height and width, as required. It is also contemplated that non-rectangular cross-sections of the beam are also possible. The collimator defines an aperture through which radiation passes. The collimator may have a shallow profile as depicted in FIG. 3(a), or may have an elongated profile as depicted in FIG. 3(b ). An elongated collimator, such as that shown in FIG. 3(b) helps focus the radiation beam by counter acting the penumbra. Adjustments to the aperture of the collimator shape the radiation beam into the desired geometry and dimension required to produce a DUR approaching 1 for a product stack with particular characteristics (such as geometry and density). By the term xe2x80x9cadjustable collimatorxe2x80x9d it is meant a collimator with an adjustable aperture that shapes the radiation beam into any desired geometry, for example, but not limited to adjusting the height, width, offset of the beam axis from the axis of rotation of the turntable, or a combination thereof, before or during radiation processing of a product or product stack. For example, an adjustable collimator may comprise a two or more radiation opaque shielding elements (for example, 115), that move horizontally thereby increasing or decreasing the aperture of the collimator as required. Shielding elements other than that shown in FIGS. 4 to 6 may also be used that adjust the aperture of the collimator. For example, which is not to be considered limiting, the shielding elements may comprise a plurality of overlapping plates each being radiation opaque, or partially radiation opaque, and capable of moving independently of each other. The overlapping plates may be moved as required to adjust the opening of aperture 170 (see Examples 2 and 3for results relating to optimizing DUR by adjusting aperture width of collimator). The shielding elements may also comprise, which again is not to be considered as limiting, a plurality of pipes (e.g. U.S. Pat. No. 5,001,352; which is incorporated herein by reference) each of which may be independently filled, or emptied, with a radiation opaque substance. The filling or emptying of the pipes adjusts the effective width of the collimator aperture as required. By xe2x80x9cauxiliary shieldxe2x80x9d it is meant a device that partially blocks the radiation beam and is placed within the radiation beam, between the converter and product stack (see 300, FIG. 3(d)). The auxiliary shield helps to further shape the radiation beam, regulate penumbra, and reduce the central dose of the radiation beam within the product stack. Preferably the auxiliary shield is movable along the axis of the radiation beam so that it may be variably positioned in the path of the radiation beam, between the converter and product stack. By the term xe2x80x9cdetection systemxe2x80x9d (130) it is meant any device capable of detecting parameters of the product stack before, and during radiation processing. The detection system may comprise one or more detectors, generally indicated as 180 in FIG. 6, that measure a range of parameters, for example but not limited to, radiation not absorbed by the product. If measuring transmitted radiation, such detectors are placed behind the product to measure the amount of radiation transmitted through the product stack. However, detectors may also be placed in different locations around the product, or elsewhere so that other non-absorbed radiation is monitored. Other detectors may also be used to determine parameters before, or during radiation processing, including but not limited to those that measure the position of rotation of the turntable (angular orientation), instantaneous angular velocity of the turn table, collimator aperture, product density product weight, energy and power of the electron beam, and other parameters associated with the conveying system or geometry of the system arrangement. A control system, generally indicated as 120 in FIG. 7, is used to receive the information obtained by the detector system (130) to either maintain the current system settings, or adjust one or more components of the irradiation system of the present invention as required (see FIG. 6). These adjustments may take place before, or during radiation processing of a product. Components that are monitored by the control system (120), and that may be adjusted in response to information gathered by the detector system (130) include, but are not limited to, the size of aperture (170, i.e. the beam geometry), power of the radiation beam (45), energy of the radiation beam (15, speed of rotation of the turntable (70), angular position (orientation) of turntable (230), instantaneous angular velocity of the turntable, distance of the collimator from the source (xe2x80x98Lxe2x80x99, FIG. 3(a), 220, FIG. 7), distance of the turntable from the collimator (xe2x80x98Sxe2x80x99, FIG. 3(a); 250, FIG. 7), and conveying the system (150). In this manner, the control system (120) uses parameters derived from characteristics obtained from a detector system (130) in order to optimize the radiation dose distribution delivered to the product stack (60). The control system includes, in addition to the detection system (130, hardware and software components (190) required to evaluate the information obtained by the detector system, and the interfacing (200, 210) between the computer system (190) and the detector system (interface 200), and the elements or the radiation system (interface 210). FIG. 1, illustrates the radiation dose profiles within a product that has been exposed to irradiation from either one or two sides which are common within the art, for example, irradiation processes involving one side are disclosed in U.S. Pat. No. 4,484,341; U.S. Pat. No. 4,561,358; 5,554,856; or U.S. Pat. No. 5,557,109. Similarly, two-sided irradiation of product is described in, for example, U.S. Pat. No. 3,564,2414; U.S. Pat. No. 4,151,419; U.S. Pat. No. 4,481,652 U.S. Pat. No. 4,852,138; or U.S. Pat. No. 5,400,382. Shown in FIGS. 1(a) and (c) are two dimensional representations of the irradiation of a product stack from a single side with a uniform radiation beam. The radiation dose delivered through the depth of the product stack along line X-Xxe2x80x2 of FIGS. 1(a) and (c) is represented in FIGS. 1(b) and (d), respectively. The dose response curve decreases with distance from the product surface nearest the source to a minimum level (Dmin) at the opposite side of the product stack, at position M. With one sided radiation processing the DUR (Dmax/Dmin) is much greater than 1. xe2x80x98Dxe2x80x99 represents the minimum radiation dose required within the product for a desired specific effect, for example but not limited to, sterilization. A portion of the product has not reached the minimum required dose in FIG. 1(d) therefore a longer irradiation period is required for all of the product to reach at least the minimum required dose (D). This results in over exposure of the product on the side facing the radiation source and this is undesirable for the processing of many products that are modified as a result of exposure to excessively high doses of radiation. Similar modelling for two sided irradiation of a product is presented in FIGS. 1(e) and (f). Under this radiation processing condition two sides of the product receive a high radiation dose, relative to the middle of the product stack at position M. Two sided irradiation still results in a relatively high DUR in the product stack, but the difference between Dmax and Dmin is reduced, and the DUR is improved when compared to one-sided irradiation. FIG. 2(a), illustrates a two dimensional view of the irradiation of a product stack rotating about its axis in a uniform radiation field where the width of the radiation beam is greater than or equal to the diameter of the product. The product stack for simplicity is depicted as having a circular cross section, however, rectangular product stacks, or irregularly shaped products may also be rotated to produce similar results as described below. Shown in FIG. 2(b) is the corresponding radiation dose profile received by the product stack shown along line X-Xxe2x80x2. Under these conditions, the radiation dose distribution delivered in the product stack along X-Xxe2x80x2 approximates the radiation dose distribution delivered to the product stack in two-sided radiation (also along X-Xxe2x80x2; FIG. 1(e)) resulting in relatively high DUR. If a rotated product stack is irradiated using a radiation beam that is much narrower than the diameter (or maximum width) of the product stack, and which passes through the centre of the product stack as shown in FIG. 2(c), then the radiation dose distribution curve along X-Xxe2x80x2 is relatively low at the periphery of the product stack and much greater at the center of the product stack (see FIG. 2(d)). In such a case, the centre of the product is always within the radiation beam, whereas volume elements such as those defined by points R1 and R2 (FIG. 2(c)) only spend a portion of time in the radiation beam. This fractional exposure time is a function of xe2x80x98rxe2x80x99 (FIG. 3(a) and beam width (xe2x80x98Axe2x80x99, FIG. 3(a)). The beam width can be controlled in order to control fractional exposure time and hence dose within the produce. The fractional exposure time may also be controlled by offsetting the beam from the central axis of rotation of the product stack (see FIG. 3(c). Both radiation dose distribution curves (FIGS. 2(b) and (d)) exhibit large differences between Dmax and Dmin and DUR of these product stacks is still much greater than 1. However, by using a radiation beam wider than the product stack, or a radiation beam much narrower than the product stack, the dose distribution profile within the product can be inverted. Therefore, an optimal radiation beam dimensions relative to a rotating product stack such as that shown in FIG. 2(e) can be determined, which is capable of irradiating a rotating product stack and producing a substantially uniform dose throughout the product stack with a DUR approaching 1 (FIG. 2(f)). It is also to be understood that by varying the diameter of the incident radiation beam, for example, by altering the width of the scanning pattern, that the penumbra (390) of the beam may be altered. Typically by increasing the beam width, the penumbra also increases (see FIG. 3(a)). Furthermore, by placing an auxiliary shield (300) between the converter and product, the primary beam intensity can also be adjusted (e.g. FIG. 3(d)). Another method for altering the dose received within the product stack is to offset the position of the radiation beam axis with respect to the product axis of rotations (FIG. 3(c)). In this arrangement, a portion of the product is always out of the radiation beam as the product stack rotates, while the central region of the product receives a continual, or optionally reduced, radiation does. The optimal beam dimension must also account for other factors involved during radiation processing, for example but not limited to, product density, the size of aperture (170, i.e. the beam geometry), power of the radiation beam (45), energy of the radiation beam, speed of rotation of the turntable (70), angular position (orientation) of turntable (230), instantaneous angular velocity of the turntable, distance of the collimator from the source (xe2x80x98Lxe2x80x99; 220), and distance of the turntable from the collimator (xe2x80x98Sxe2x80x99; 250; also see FIG. 7). As indicated above, the ratio of the radiation beam width (A; FIG. 3) to the width (or diameter) of the product stack (r) is an important parameter for obtaining a low DUR within a product stack. As shown in FIG. 2(d), for product stacks of uniform density, the smaller the ratio of A/r, the higher the accumulated dose is at the centre of the stack relative to that at the periphery. Conversely, the larger the ratio of A/r, the accumulated dose is greater at the stack periphery (FIG. 2(b)). In the case of a cylindrical product stack, the optimum ratio of A/r, producing the lowest DUR within the product stack, can be constant (FIG. 2(f)). However, in the case of a rectangular product stack, such as is found in most pallet loads, the effective principal dimension is a function of its angular position (xcfx86) with respect to the beam, since the width of the product changes as the product stack rotates. Therefore, to maintain an optimal DUR within the product stack, the ratio of A/r is adjusted as required. For example the A/r ratio may be determined for a product stack of known size and density, so that xe2x80x98Axe2x80x99 is set for an average xe2x80x98rxe2x80x99. This determination may be made based on knowledge of the contents, density and geometry of the product and product stack (or tote), and this data entered into the system prior to radiation processing, or it may be determined from a diagnostic scan (see below; e.g. FIG. 6) of a product stack prior to radiation processing. It is also contemplated that the A/r ratio may be modulated dynamically as a rectangular product stack rotates in the radiation beam. The A/r ration may be adjusted by either modifying the aperture (170) of the collimator (170), by adjusting the diameter of the beam (i.e. adjusting beam width, and modulating penumbra), by moving shielding elements 115 appropriately, by placing an auxiliary shield (300) between the converter and product stack, by moving turntable 70 as required into and away from the source, by adjusting the aperture, offset, and modifying the turntable distance from the source, or by adjusting the distance, xe2x80x98Lxe2x80x99, between the collimator (110) and source (100). The geometry of the radiation beam (40, 45) produced from a source, for example, but not limited, to a "Ugr"-radiation (40) emitted by a radioactive source (e.g. 100; for example but not limited to C0-60), or accelerating high energy electrons (10, 15) interacting with a suitable converter (30) to produce X-rays (45), is determined by the relationship between the following parameters: a) the width of the radiation beam, either "Ugr", or X-ray (D; FIG. 3); b) the distance (L) between the source (100) or converter (30) and the collimator (110); c) the distance (S) between the collimator (110) and the product (60) center of rotation, d) the size of the aperture (W) in the collimator (110), and e) the position of an auxiliary shield (290). These parameters determine divergence of the beam and the associated penumbra. Optimisation of these parameters relative to the size and density of a product stack reduces the DUR within the product stack. An initial adjustment of the ratio of beam width to the product stack width (A/r) for a product of a certain density is typically sufficient for a range of product densities and product stack configurations to obtain a sufficiently low DUR. However, in the case of irregular, or irregular rectangular product stack shapes, or product stack containing products with differing densities, modulation of the A/r ratio may be required to obtain a low dose uniformity within a product. Other parameters may also be adjusted optimize dose uniformity within the product stack. These parameters may include adjustment of the speed of rotation of the product stack, modifying the beam power, thereby modulating the rate of energy deposition within the product stack, or both. Modulation of beam power may be accomplished by any manner known in the art including but not limited to adjusting the beam power of the accelerator, or if desired, when using a radioactive isotope as a source, attenuating the radiation beam by reversibly placing partially radiation opaque shielding between the source and product stack. Minor adjustments to the intensity of the radiation beam may also include modulating the distance between the product and source. Design of the converter (30) also may be used to adjust the effective energy level of an X-ray beam. As the thickness of the converter increases, lower energy X-rays attenuate within the converter, and only X-rays with high energy level of all, or of a portion of, the X-ray beam may be modified. For example, in the case where the electrons emitting from the scanning horn are not parallel, it may be desired that the upper and lower regions of the X-ray beam be of higher average energy since the beam travels through a greater depth within the product stack, compared to the beam intercepting the mid-region of the product stack (however, it is to be understood that parallel electrons may be produced from a scanning form using one or more magnets positioned at the end of the scanning horn to produce a parallel beam of electrons). Furthermore, these regions of the product stack experience less radiation backscatter due to the abrupt change in density at the top and bottom of the product stack. Therefore, a converter with a non-uniform thickness, wherein the thickness increases in its upper and lower portions, may be used to ensure higher energy X-rays are produced in the upper and lower regions from the converter. Modifications to converter thickness typically can not be performed in real time. However, different converters may be selected with different thickness profiles that correspond with different densities or sizes of products to be processed. Furthermore, the power of the beam may also be modulated as a function of vertical position within the product stack so that a higher power is provided at the upper and lower ends of the product stack. Other methods may be employed to increase the effective dose received at the ends (upper and lower) of the product stack. Since the upper and lower regions of the product stack experience less radiation backscatter, the density discontinuity at these regions may be reduced or eliminated by placing reusable end-caps of substantial density onto the turntable and top of the product stack as required, thereby increasing back-scatter at these regions. Referring now to FIG. 4, which illustrates an embodiment of the present invention, a radiation source (100) provides an initial radiation beam (40) of an intensity and energy useful for radiation processing of a product. The radiation source may be a radioactive isotope, electron beam, or X-ray beam source. Preferably, the source is an X-ray source produced from an electron beam (see FIGS. 5 and 6). The radiation beam passes through the aperture (generally indicated as 170) of an adjustable collimator (110) to shape the initial radiation beam (40) produced by the radiation source (100) into a collimated radiation beam (50). The aperture of the collimator can be adjusted to produce a collimated radiation beam of optimal geometry for radiation processing a product stack (60) of known size and density. The distance between the product stack and the source, collimator, or both source and collimator (e.g. L and S; FIG. 3) may also be adjusted as required to optimize the A/r ratio, and hence the DUR, for a given product. The product stack (60) rotates on turn table (70) in the path of the collimated radiation beam (50). The product stack rotates at least once during the time interval of exposure to the radiation source. Preferably, the product stack rotates more than once during the exposure interval to smooth any variation of dose within the product arising from powering up or down of the accelerator. Detectors (180), and turn-table (70) are connected to the control system (120) so that the size of the aperture (170) of the adjustable collimator (110), the power (intensity) of the initial radiation beam (40), the speed of rotation of turntable (70), the distance of the turntable from the source (L+S), collimator (S), or a combination thereof, may be determined and adjusted, as required, either before or during radiation exposure of the product stack (60). The embodiment described may also be used to irradiate product stacks (60) of known dimensions and densities and achieve a relatively low DUR within the product. As one skilled in the art would appreciate, the radiation dose being delivered to the product may be varied as required to account for changes in the distance of the product to the source, width of the rotating product, and density of product. For example, but not to be considered limiting, control system (120) may comprise a timer which dynamically regulates the aperture (170) of adjustable collimator (110) to produce a collimated radiation beam of controlled width (A), to account for changes in the width (r) of rotating product stack (60). The beam power of radiation source (100) may also be modulated as a function of the rotation of turn-table (70; as detected by angular position detector 230). In such a case, for example, but which is not to be considered limiting, a rectangular product stack of known dimension may be aligned on turn-table (70) in a particular orientation (detected by 230) such that as turn-table (70) rotates through positions which bring the corners of the product stack closer to radiation source (100) the radiation beam may be modified. Such modification may include dynamically adjusting the collimator (110) to modulate the dimension (e.g. A) of the collimated radiation beam (50), adjusting the width of the beam diameter, for example by adjusting the width of the scanning pattern, adjusting the distance between the product stack and source, or collimator, thereby modifying the relative beam dimension (A) and energy level with respect to the product stack, or placing or positioning an auxiliary shield (300) between the converter and product in order to adjust penumbra, and to shield and reduce the central dose of the radiation beam within the product. The control system may also regulate the energy and power of the initial radiation beam. Alternatively, control system (120) may regulate the rotation velocity of the turn-table as it rotates thereby allowing the corners of the product stack to be irradiated for a period of time that is different than that of the rest of the product stack. It is also contemplated that the control system may dynamically regulate any one, or all, of the parameters described above. Referring now to FIG. 5, which illustrates another embodiment of the invention, wherein radiation source (100) is a source of X-rays produced from converter (30). Electrons (10) from an accelerator (20) interact with a converter (30) to generate X-rays (45). The X-ray beam (45) is shaped by aperture (170) of adjustable collimator (110) into a collimated X-ray beam (50) of optimal geometry for irradiation of the product stack (60) which rests on turn-table (70). Again, control system 120 monitors and, optionally, controls several components of the apparatus, including the rotation of turn-table (70), aperture of the collimator (110), power of the electron beam produced by accelerator (20), distance between turntable and the collimator (L), or a combination thereof. During radiation processing, product stack (60) rotates about its vertical axis and intercepts a vertical collimated radiation beam (50). The product rotates at least once during the time exposed to radiation. In most, but not all instances, the width (A; FIG. 3) of the collimated beam is relatively narrow compared to the width of the product stack (r). Since the vertical plane of the collimated beam (50) is aimed at the centre of the rotating product stack (60), the periphery of the product stack is intermittently exposed to the radiation beam. This arrangement compensates for the relatively slow dose build-up at the centre of the product stack due to attenuation of X-rays by the materials of the product stack and produces a low DUR. With increased product density, for example but not limited to food such as meat, a narrower collimated beam width will be required in order to obtain a low DUR. Conversely, if a product is of a lower density (for example, medical supplies or waste) the beam width may be increased, or the radiation beam offset from the axis of rotation of the product stack, since the central portion of the product stack will receive its minimum dose more readily than that of a product stack of higher density. In the embodiment shown in FIG. 5, the control system (120) is capable of modulating any or all of the irradiation parameters as outlined above. In certain cases however, such as irradiation of cylindrical product stacks of uniform and relatively low densities, for example serialization medical products, or it may be advantageous to irradiate the product stack with a radiation beam having a width approaching or approximately equal to the width of the product stack. The adjustable collimator of the proposed invention effectively allows this to be accomplished. By controlling the processing parameters this basic principle permits a relatively uniform radiation dose distribution and thus a low DUR to be delivered throughout the product stack for a large range of product size, shape and densities. The converter (30) may comprise any substance which is capable of generating X-rays following collision with high energy electrons as would be known to one of skill in the art. The converter is comprised of, but not limited to, high atomic number metals such as, but not limited to, tungsten, tantalum or stainless steel. The interaction of high energy electrons with converter 30, produces X-rays and heat. Due to the large amount of heat generated in the converter material during bombardment by electrons, the converter needs to be cooled with any suitable cooling system capable of dissipating heat. For example, but not wishing to be limiting, the cooling system may comprise one or more channels providing for circulation of a suitable heat-dissipating liquid, for example water, however, other liquids or cooling systems may be employed as would be known within the art. The use of water or other coolants may attenuate X-rays, and therefore the cooling system needs to be taken into account when determining the energy level of the X-ray beam. As indicated above, attenuation of X-rays within the converter affects the energy spectrum of X-rays escaping from the converter. Therefore, adjustments to coolant flow, or the number of channels used for coolant travel within the converter may also contribute to altering the characteristics of the energy of the X-ray beam, providing a threshold cooling of the converter is achieved. For example, which is not to be considered limiting, a tantalum converter of about 1 to about 5 mm thickness, with a cooling channel covering the downstream side of the converter, may be used to generate the bremsstrahlung energy spectrum for product irradiation as described herein. The cooling channel may comprise, but is not limited to two layers of aluminum, defining a channel for coolant flow. FIG. 6 illustrates another embodiment of the present invention, where electrons (10) from an accelerator (20) interact with a converter (30) to generate X-rays (45). The X-rays (45) are shaped by aperture (170) of adjustable collimator (110) into an X-ray beam (50) of optimal geometry for irradiation of a product stack. Transmitted X-Rays (140) passing through product stack (60) are detected by one or more detector units (180). Detection system (130) is connected with detector units (180) and other detectors that obtain data from other components of the apparatus including turntable rotation velocity (70) and angular position (230), distance between turntable and collimator (L), accelerator power (20), collimator aperture width (170), conveyor position (240), via interface 200 and 210. The detection system (130) also interfaces with control system (120; FIG. 7) which also comprises a computer (190) capable of processing the incoming data obtained from the detectors, and sending out instructions to each of the identified components to modify their configuration as required. Detector units (180) may comprise one or more radiation detectors for example, but not limited to, ion chambers placed on the opposite side of the product stack (60) with respect to the incident radiation beam (50). As the product stack turns through the radiation beam (50) the detector units (180) register the transmitted radiation dose rate. The difference between incident and exiting radiation dose, and its variation along the stack height is related to the energy absorbing characteristics of the product stack as a function of several parameters for example, energy of the radiation beam, distance between the turntable (product) and the collimator (L), as a function of the product stack""s angular position. The difference can thus be directly related to the density and geometry of the product stack. A schematic representation of the control system (120) as described above is show in FIG. 7. The control system (120) comprises a computer capable of receiving input data, for example the required minimum radiation dose for a product (190), and data from components of the detection system (180) comprising the accelerator (20), turntable speed of rotation (70), angular position (230), distance to collimator (220), collimator aperture (170), and conveyors (240). The control system also establishes settings for, and sends the appropriate instruction to, each of these parameters to optimizes properties of the radiation beam relative to the product and produce a low DUR. Those of skill in the art will understand that variations of the control system may be possible without departing from the spirit of the current invention. The embodiment outlined in FIG. 6 permits real-time monitoring of radiation processing of a product stack, and for real time adjustment between radiation processing of product stacks that differ in size, density or both size and density, so that an optimal radiation dose is delivered to each product stack to produce a low DUR. Adjustments to the parameters of the apparatus described herein may be made based on information obtained from a diagnostic scan. An optimized radiation exposure may be determined by calculating the difference between the transmitted radiation detected by detector units (180) and the incident radiation at the surface of the product stack closest to the radiation source (this value can be calculated or determined via appropriately placed detectors), as a function of the rotation of the product stack. In this way, the radiation dose of any product stack may be xe2x80x9cfine-tunedxe2x80x9d to deliver a requisite radiation dose to achieve a low DUR within a product stack. The inclusion of a radiation detection system (130) also permits a diagnostic scan of the product stack (60) to determined the irradiation parameters required to deliver a relatively even radiation dose distribution (low DUR) in a product stack. The diagnostic scan characterizes the product stack (60) in terms of its geometry and apparent density before any significant radiation dose is accumulated in the product stack. As suggested in previous embodiments described herein, the diagnostic scan is not required for products of uniform density and stack geometry. The diagnostic scan may be carried out during the first turn of the product stack (60), or the diagnostic scan may be performed during multiple rotations of the product stack. Those skilled in the art would understand that in order to irradiate a product stack to obtained a low DUR, the radiation beam must be capable of penetrating at least to the midpoint of a product. Similarly, if the detection system of the current invention is employed to automatically set the parameters for radiation processing of the product stack, then the radiation must be capable of penetrating the product stack. The control system (120) of the present embodiment is designed to simultaneously adjust any one or all the processing parameters of the apparatus as described herein, for example but not wishing to be limiting, the total radiation exposure time, the ratio of the radiation beam width to the principal horizontal dimension of the product stack, in relation to the angular position (xcfx86) of the X-ray beam (ratio of A(xcfx86)/r(xcfx86)), the power of the radiation beam, the rotational velocity of the turn-table, and the distance between the product and collimator. The control system may adjust the processing parameters based on the total radiation dose required within the product as input by an operator, or the radiation dose may be automatically set at a predetermined value. For example, but not wishing to be limiting, if it is known that a certain base radiation dose is required for a given product stack, for example the treatment of a food product, then this dose may be preset, and the operating conditions monitored to achieve a low DUR for this dose. However, if two product stacks are of different dimensions or different densities then dissimilar irradiation parameters may be required to deliver the predetermined total radiation dose with an optimal DUR to each stack. As shown in FIG. 8, the apparatus of the present invention may be placed within a conveyor system to provide for the loading and unloading of product stacks (60) onto turntable 70. A conveyor (150) delivers and takes away product stacks, for example but not limited to, palletized product stacks or totes, to and from the turntable (70). In the embodiment shown, the collimated radiation beam is produced from a converter (30) that is being bombarded with electrons produced by accelerator 20, and travelling through a scanning form (25). However, it is to be understood that the source may also be a radioactive isotope as previously described. Not show in FIG. 8 are components of the detection or control systems. Products to be processed using the apparatus and method of the present invention may comprise foodstuffs, medical articles, medical waste or any other product in which radiation treatment may promote a beneficial result. The product stack may comprise materials in any density range that can be penetrated by a radiation beam. Preferably products have a density from about 0.1 to about 1.0 g/cm3. More preferably, the range is from about 0.2 to about 0.8 g/cm3. Also, the product stack may comprise but is not necessarily limited to a standard transportation pallet, normally having dimensions 42xc3x9748xc3x9760 inches. However any other sized or shaped product, or product stack may also be used. The present invention may use any suitable radiation source, preferably a source that produces X-rays. The electron beam may be produced using an RF (radio frequency) accelerator, for example a xe2x80x9cRhodotronxe2x80x9d (Ion Beam Applications (IBA) of Belgium), xe2x80x9cImpelaxe2x80x9d (Atomic Energy of Canada), or a DC accelerator, for example, xe2x80x9cDynamitronxe2x80x9d (Radiation Dynamics), also the radiation source may produce X-rays, for example which is not to be considered limiting, through the ignition of an electron cyclotron resonance plasma inside a dielectric spherical vacuum chamber filled with a heavy weight, non-reactive gas or gas mixture at low pressure, in which conventional microwave energy is used to ignite the plasma and create a hot electron ring, the electrons of which bombard the heavy gas and dielectric material to create X-ray emission (U.S. Pat. No. 5,461,656). Alternatively, the radiation source may comprise a gas heated by microwave energy to form a plasma, followed by creating of an annular hot-electron plasma confined in a magnetic mirror which consists of two circular electromagnet coils centered on a single axis as is disclosed in U.S. Pat. No. 5,838,760. Continuous emission of bremsstrahlung (X-rays) results from collisions between the highly energetic electrons in the annulus and the background plasma ions and fill gas atoms. It is also contemplated in the present invention that the radiation source may comprise a gamma source. Since gamma sources comprising high energy radionucleotides such as cobalt-60 emit radiation in multiple directions, one or more of the systems described herein may be positioned around the gamma source, permitting the simultaneous radiation processing of plurality of products. Each system would comprise an adjustable collimator (110), turntable (70), detection system (130), a means for loading and unloading the turntable (e.g. 150), and be individually monitored so that each product stack receives an optimal radiation dose with a low DUR. In this latter embodiment, one control system (120) may monitor and control the individual components of each system, or the control systems may be used individually. The above description is not intended to limit the claimed invention in any manner, furthermore, the discussed combination of features might not be absolutely necessary for the inventive solution. The present invention will be further illustrated in the following examples. However it is to be understood that these examples are for illustrative purposes only, and should not be used to limit the scope of the present invention in any manner. An accelerator capable of producing an electron beam of 200 Kw is used to generate X-rays from a tungsten, water cooled converter. The bremsstrahlung energy spectrum of the X-ray beam produced in this manner extends from 0 to about 5 MeV, with a mean energy of about 0.715 MeV. A cylindrical product stack of 120 cm diameter, comprising a product with an average density of either 0.2 or 0.8 g/cm3 is placed onto a turntable that rotates at least once during the duration of exposure to the radiation beam. The distance from the source plane (converter) to the center to the product stack is 112 cm. The collimator is set to produce a beam width of 10, 50 or 120 cm. The rectangular cross section of height of the beam is set to the height of the product stack. Typically a product stack characterised in having a density of 0.2 g/cm3 is exposed to radiation for about 2 to about 2.5 min, while a product having an average density of 0.8 g./cm3 is exposed for about 10 min in order to achieve the desired Dmin. The photon output over the height of the beam was determined for each aperture width, and is constant in both a horizontal and vertical dimension (FIG. 9). Depth dose profiles are determined for three aperture widths, 10, 50 and 120 cm, for a 5 MeV endpoint bremstrahlung x-ray spectrum, with a mean energy of about 0.715 MeV, for each product average density. The results are presented in FIGS. 10(a) and (b)), and Tables 1 and 2. Bremsstrahlung X-rays are produced as described above using a 5 MeV electron beam with a circular cross section (10 mm diameter) that scanner vertically across the converter. A 1 mm Ta converter backed with an aluminum (0.5 cm) water (1 cm) aluminum (0.5 cm) cooling channel is used to generate the X-rays. A product of 0.8 g./cm3, with two footprints are tested: one involved a cylindrical product with a 60 cm or 80 cm radius footprint, the other is a rectangular product with a footprint of 100 X 120 cm, and 180 cm height, both product geometries are rotated at least once during the exposure time. The distance from the converter to the collimator is 32 cm. In order to optimize DUR, several collimator apertures were tested for a cylindrical product (Table 3). Examples of several determinations of the dose along a slice of the product, for a 60 cm radius cylindrical product stack are presented in FIG. 11. Table 3: DUR determination for cylindrical products (0.8 g/cm3 density), of varying diameter (r), for a range of collimator aperture widths (A) using a 1 cm electron beam producing bremsstrahlung X-rays from a 1 mm Ta converter. In each tested product diameter, the DUR varied as the collimator aperture changed. Typically, for smaller and larger aperture the DUR was higher when compared with the optimal aperture width. For example, a product of 60 cm diameter exhibited an optimal DUR with a collimator aperture of 11 cm. With this aperture width, the dose was generally uniform throughout the product stack (see FIG. 11(a)). With an increased width of collimator aperture, of 20 cm, the dose increased towards the periphery of the product, while with a smaller collimator aperture (10 cm), the central portion of the product received an increase dose (FIG. 11(a)). With a product of increased diameter (80 cm), the DUR increased, and exhibited a greater variation in dose received across the depth of the product (FIG. 11(b)). The general relationship between width of collimator aperture and product diameter, that produces an optimal DUR is shown in FIG. 11(c), where, for a cylindrical product, the lowest DUR is achieved using a narrower aperture with increasing product diameter. For a rectangular product footprint (120 cm X 100 cm), the apparent depth of the product, relative to the incident radiation beam, varies as the rectangular product rotates, relative to the beam. In order to optimize the DUR, the collimator aperture width, beam intensity (power), or both, may be dynamically adjusted in order to obtain the most optimal DUR. An example of adjusting aperture width during product rotation is shown in FIG. 12(a). In this example, 8 aperture width adjustment are made over 90xc2x0 rotation of the product. These same aperture adjustments are repeated for the remaining 270xc2x0 of product rotation so that 32 discrete aperture widths take place during one rotation of a rectangular product. However, it is to be understood that the number of discrete aperture widths may vary from the number shown in FIG. 12(a), and may include fewer, or more, adjustments as required. For example, for products of lower density, fewer or no adjustments may be required. Irradiation of a rectangular product using constant beam power, and adjusting only the aperture width during product rotation produces a DUR of 3.21. An optimized DUR may also be obtained through adjustment of the intensity of the radiation beam during rotation of a rectangular product stack (FIG. 12(c)). In this example, 8 different beam power adjustments are made over 90xc2x0 rotation of the product. The same beam power adjustments are repeated for the remaining 270xc2x0 rotation of the product. Again, the number of adjustments of beam power, as a function of product rotation, may vary from that shown in order to optimize DUR, depending upon the size and configuration of the product stack, as well as density of the product itself. Irradiation of a rectangular product using a constant collimator aperture width, and adjusting the beam power produces a DUR of 1.96. In order to further optimize the DUR, both the aperture and beam power may be modulated as the product rotates. When both parameters are modulated, a DUR of from 1.47 to 1.54 was obtained for irradiation of a 0.8 g./cm3, rectangular product (footprint:120 cm X 100 cm), placed at 80 cm from the collimator aperture, using a 1 mm Ta converter (accelerator running a t 200 kW, 40 mA electron beam at 5 MeV). The Dmax:Dmin ratio may still be further optimized by increasing the overall penetration of the beam within the product. This may be achieved by increasing the thickness of the convertor to produce a X-ray beam with increased average photon energy. In order to balance yield of X-rays and beam energy, a Ta convertor of 2.35 mm (including a cooling channel; 0.5 cm Al, 1 cm H2O, 0.5 cm Al) was selected. This thicker convertor generates fewer photons per beam electron (0.329 photon/beam electron), compared with the 1 mm convertor (0.495 photon/beam electron) due to the increased thickness and attenuation of the X-ray beam. However, even though the number of X-rays produced is lower with a 2.35 mm convertor, the beam that exits the convertor is of a higher average photon energy. As a result of the change in irradiation beam properties, the effect of aperture width and beam power were examined within cylindrical and rectangular products as outlined in Example 2. Results for adjusting the collimator aperture width are presented in Table 4. Table 4: DUR determination for cylindrical products (0.8 g/cm3 density), of varying diameter (r), for a range of collimator aperture widths (A) using a 1 cm electron beam producing bremsstrahlung X-rays from a 2.35 mm Ta converter. For the irradiation of a rectangular product (120 cm X 100 cm; 0.8 g./cm3 density), the collimator aperture may be adjusted to account for changes in the apparent depth of the product relative to the incident radiation beam during product rotation (FIG. 12(b)). Irradiation of a rectangular product using constant beam power, and adjusting only the aperture width produces a DUR of 2.42. As outlined in example 2, the power of the beam may also be adjusted during product rotation (FIG. 12(d)). Irradiation of a rectangular product using a constant aperture width, and adjusting the beam poser, produces a DUR of 1.72. By adjusting both collimator aperture width and beam power during product rotation, a DUR of from 1.27 to 1.32 is achieved. All publications are herein incorporated by reference. The present invention has been described with regard to preferred embodiments. However, it will be obvious to persons skilled in the art that a number of variations and modifications can be made without departing from the scope of the invention as described herein. |
|
summary | ||
049833502 | description | As shown in FIG. 1, the core 2 of the reactor in a pressurized water nuclear power station is constituted by juxtaposing housings such as 4 in a horizontal square mesh grid, which housings extend vertically over the entire height of the core and contain fuel elements (not shown). Some of these housings are occupied by control clusters (not shown) each of which is provided, above the core, firstly with a mechanism (not shown) for controlling vertical displacement thereof, and secondly with measuring means such as CZ2A and CZ2B for permanently measuring the height of the cluster, i.e. the position within its housing. These measuring means provide position signals such as Z2A and Z2B. The core 2 has a vertical axis 6 and is divided about two planes of symmetry 5 and 6 into four quadrants Q1, Q2, Q3, and Q4 which follow one another angularly around said axis and which constitute the said core zones. Elements specific to any one such quadrant are designated by one or more letters followed by one of the numbers 1 to 4 which is the number of the quandrant. An additional letter following the number is used in alphabetical order to distinguish between different ones of a plurality of specific elements of the same nature, for example said cluster positioning measuring means CZ2A, CZ2B, etc. ..., which belong to the same quadrant, e.g. the second quadrant Q2. In each quadrant, the number of control clusters is 18, for example, and likewise there are 18 distinct measuring means used for indicating the positions of the clusters, and 18 distinct position signals such as Z2A and Z2B which are provided by these measuring means. The core 2 is contained in a pressure vessel 8 capable of withstanding the water pressure of a primary cooling circuit and containing an internal skirt 10 surrounding the core. The water arrives at the top of the pressure vessel via inlet ducts, it descends around said skirt to the bottom of the vessel, it passes radially to the inside of the skirt at the bottom of the vessel, and it rises while cooling the fuel elements prior to leaving from the top of the vessel via outlet ducts which are connected for that purpose to the skirt. More precisely, the fuel elements in the quadrants Q1, Q2, Q3, and Q4 are cooled by water which enters via four inlet ducts RA1, RA2, RA3, and RA4, and which leaves via four outlet ducts RB1, RB2, RB3, and RB4 each constituting a part of a respective one of four primary cooling circuits. These ducts are provided with temperature sensors TA1, TA2, TA3, TA4, TB1, TB2, TB3, and TB4 respectively. The two temperature sensors such as TA3 and TB3 associated with the same quadrant such as Q3 are connected to means such as CP3 for measuring the heat flux and generating a heat flux signal such as P3 which is representative of the heat flux removed by the flow of water in the quadrant. In order to obtain this signal, the measuring means such as CP3 multiplies the inlet to outlet temperature difference by the water flow rate, said flow rate being given by means (not shown) which measure, for example, the speed of the pumps in the primary cooling circuit which includes the inlet and outlet ducts in question. The measuring means CP3 also performs various correction operations that are not related to the present invention, in particular measuring the pressure in the cooling circuit pressurizer, so as to ensure that the resulting heat flux signal is as accurate a representation as possible of variations in heat flux over time. The measuring means CP1, CP2, and CP4 operate like the means CP3 for the purpose of providing respective signals P1, P2, and P4. Each of the four quadrants Q1, Q2, Q3, and Q4 is provided with measuring means CF1, CF2, CF3, and CF4 providing a neutron flux signal F1, F2, F3, and F4 representative of the mean neutron flux within each of the quadrants respectively. The signals representative of cluster position, heat flux, and neutron flux obtained in this way constitute the above-mentioned "sensitive" signals, with the "sensitive" parameters being those represented by said signals. As shown in FIG. 2, apparatus in accordance with the invention comprises four acquisition units U1, U2, U3, and U4 which receive said sensitive signals. Each of these units, e.g. U1, receives the neutron flux signal, e.g. F1, corresponding to one of the quadrants, e.g. Q1, the heat flux signal, e.g. P4, corresponding to another quadrant, e.g. Q4, and the group of cluster position signals, e.g. Z2A, Z2B, etc. . . . , corresponding to yet another quadrant, e.g. Q2. For each of these signals, each of said units includes differentiation and comparison means such as 12 which receive a corresponding sensitive signal and which provide a corresponding alarm signal whenever its sensitive signal varies at a rate greater than a corresponding predetermined alarm threshold. The processing to which each sensitive signal is subjected is in fact more complex than that in order to take account, in particular, of the various time offsets in these signals relative to the real physical parameters that they represent and due to measurement conditions. Each alarm signal, e.g. F'1 or Z'2A is designated by the same letters and numerals as the corresponding sensitive signal, e.g. F1 or Z2A, together with a prime symbol. Within each of the acquisition units U1, U2, U3, and U4, a respective primarY logic unit L1, L2, L3, or L4 receives all of the alarm signals provided by the differentiation and comparison means such as 12 and provides a respective primary detection signal D1, D2, D3, or D4 whenever at least one of the arm signals is present. A circuit 14 receives these primary detection signals and provides a signal 16 whenever at least two of the primary detection signals are present. Further, an OR gate 18 receives all of the position alarm signals Z'1A, Z'1B, . . . , Z'2A, Z'2B, . . . , Z'3A, . . . , Z'4A, . . . obtained from said cluster position signals and provides a signal 20 whenever at least one of these position alarm signals is present. An AND gate 22 provides a cluster fall signal 24 whenever the signal 16 and the signal 20 are present simultaneously. The circuit 14 and the gates 18 and 22 constitute the above-mentioned combination circuit. It can be seen in FIG. 2 that an emergency reactor stop can be caused by the cluster fall detection signal 24 only if there is a rapid drop in neutron flux or in heat flux accompanied by at least one rapid drop in a cluster position signal. In particular, there is no danger of an untimely emergency stop when the power station is isolated, i.e. when it is temporarily disconnected from the electricity grid to which it normally supplies power. During such isolation, the reactor power decreases progressively in order to adjust the power to the desired value. The control clusters are inserted so as to travel along their full stroke over a period of several minutes, whereas a falling cluster takes less than one minute to fall. |
abstract | A system for detecting at least one contamination species in an interior space of a lithographic apparatus, including: at least one monitoring surface configured to be in contact with the interior space, a thermal controller configured to control the temperature of the monitoring surface to at least one detection temperature, and at least one detector configured to detect condensation of the at least one contamination species onto the monitoring surface. |
|
claims | 1. A method for monitoring critical dimension (CD) variations of a reticle, comprising:providing a reticle layer over a reticle substrate, said reticle layer including each of:a patterned feature area corresponding to a desired circuitry pattern; anda test pattern area, wherein a portion of said test pattern area is within a step-distance of a portion of said patterned feature area;patterning a resist material by stepping said reticle, the patterning including each of the patterned feature area and test pattern area incorporated in said reticle layer;visually inspecting said resist material for light and dark regions within said test pattern area, said light and dark regions representing a corresponding variance in said patterned feature area of the resist material,using said resist material as patterned by said reticle to form the feature of a semiconductor device after said visually inspecting. 2. The method as recited in claim 1 wherein said portion of said test pattern area is a first portion of said test pattern area and said portion of said patterned feature area is a first portion of said patterned area and wherein said first portion of said test pattern area is within a step-distance of said first portion of said patterned feature area and a second portion of said test pattern area is within a step-distance of a second portion of said patterned feature area, a variance between said first and second portions of said test pattern area being indicative of a variance between said first and second portions of said patterned feature area. 3. The method as recited in claim 1 wherein said test pattern area creates a reflective grating in said patterned resist material, and said reflective grating is configured to provide said light and dark regions if said variance in said patterned feature area exists. 4. The method as recited in claim 3 wherein said reflective grating includes a reoccurring line/space structure. 5. The method as recited in claim 4 wherein said reoccurring line/space structure has a pitch of less than about 3/2 a wavelength used in said patterning step. 6. The method as recited in claim 1 wherein said test pattern area is located in a scribe region defined by said patterned feature area. 7. The method as recited in claim 1 wherein said variance is a systematic variance in critical dimension (CD) in said patterned feature area. 8. The method as recited in claim 1 wherein visually inspecting said material includes visually inspecting said material using an optical microscope. 9. The method as recited in claim 8, further including changing a focus on said optical microscope to cause said light and dark regions to become more or less pronounced. 10. A method for making a semiconductor device, comprising:patterning a resist material by stepping a reticle, wherein said reticle includes each of:a patterned feature area corresponding to a desired feature of a semiconductor device; anda test pattern area, wherein a portion of said test pattern area is within a step-distance of a portion of said patterned feature area; andvisually inspecting said patterned resist material for light and dark regions within a corresponding test pattern area, said light and dark regions representing a systematic variance in critical dimension (CD) in said patterned resist material;using said patterned resist material to form the feature of a semiconductor device after said visually inspecting. 11. The method as recited in claim 10 wherein said patterned resist material is used to form multiple features, and wherein said multiple features are electrically contacted to form an operational integrated circuit. 12. A method for making a semiconductor device, comprising:patterning a resist material using a reticle having a plurality of step areas within the reticle, wherein said reticle includes each of:a patterned feature area corresponding to a desired feature of a semiconductor device; anda test pattern area, wherein a portion of said test pattern area is within a step-area distance of a portion of said patterned feature area; andvisually inspecting said patterned resist material for light and dark regions, differences in said light and dark regions between said plurality of step areas representing a systematic variance in critical dimension (CD) in said patterned resist material;using said patterned resist material to form the feature of a semiconductor device after said visually inspecting. |
|
claims | 1. An imaging system comprising: a radiation point source; at least one detector panel having an array of detector elements disposed thereon; and a collimator comprising a radiation absorbing material, said collimator being disposed at a position between said radiation point source and said detector panel, said collimator further comprising a monolithic block of a radiation-absorbing material having a front face and a rear face, a plurality of channels formed within and through said block, each of said channels being separated by and defined by a plurality of channel walls that collectively comprise a web of said radiation absorbing material, said web being the portion of the slab material remaining after said plurality of channels are formed in said slab; wherein each of said plurality of channels has a longitudinal axis, and said longitudinal axes of said plurality of channels intersect at a position of said radiation point source, and wherein said walls forming each of said plurality of channels converge toward said radiation point source position. 2. An imaging system as recited in claim 1 wherein said radiation-absorbing material is selected from the group consisting of tungsten, lead, and natural uranium. claim 1 3. An imaging system as recited in claim 1 wherein said radiation absorbing material has an atomic number not less than about 72. claim 1 4. An imaging system as recited in claim 1 wherein said collimator further comprises: claim 1 An imaging system comprising: a radiation point source; at least one detector panel having an array of detector elements disposed thereon; and a collimator comprising a radiation absorbing material, said collimator being disposed at a position between said radiation point source and said detector panel, said collimator further comprising a first collimation section made up of a plurality of first plate sets of said radiation absorbing material, each of said plurality of first plate sets being disposed so as to define a passage between plates in the set, each of said passages having a respective longitudinal axis lying in a plane defined by said radiation point source and a predetermined row of said array of detector elements, and a second collimation section disposed adjacent said first collimation section, said second collimation section comprising a plurality of second plate sets of said radiation absorbing material, each of said plurality of second plate sets defining a passage between plates in set, said passages of said second collimation section being oriented orthogonally to said passages of said first collimation section, each of said passages of said second collimation section having a longitudinal axis lying in a plane defined by said radiation point source and a predetermined column of said array of detector elements, the first and second collimation sections being disposed in a fixed relationship to one another so as to produce a plurality of channels having respective longitudinal axes disposed along a direct path between said radiation point source and said array of detector elements. 5. An imaging system as in claim 4 , wherein said first collimation section and said second collimation section are disposed in respective frames, said frames comprising a material that is substantially transparent to x-ray radiation. claim 4 6. An imaging system as in claim 4 wherein said first and second collimation sections are detachably fastened to one another and to said detector array. claim 4 7. An imaging system comprising: a radiation point source; at least one detector panel having an array of detector elements disposed thereon; and a collimator comprising a radiation absorbing material, said collimator being disposed at a position between said radiation point source and said detector panel; said collimator further comprising a first collimation section made up of a plurality of first plate sets, each of said plates sets comprising plates of a radiation absorbing material and disposed with respect to one another so as to define a respective passage between plates in a plate set, each of said passages defining a respective plate set longitudinal plane positioned equidistantly from the two plates in said respective plate set, said respective longitudinal planes of said plurality of respective first plate set passages intersecting at a line disposed a predetermined distance away from a front edge of said first collimation section; and a second collimation section disposed adjacent said first collimation section, said second collimation section comprising a plurality of second plate sets comprising respective plates of a radiation absorbing material and disposed with respect to one another so as to define a respective passage between plates in a respective plate set, each of said passages defining a respective plate set longitudinal plane positioned equidistantly from the two plates in said respective plate set, said respective longitudinal planes of said plurality of passages intersecting at a line disposed at said predetermined distance away from said front edge of said first collimation section, said second collimation section being oriented orthogonal to said first collimator section such that said line of intersection of said longitudinal planes of said passages of said first collimation section and said line of intersection of said longitudinal planes of said passages of said second collimation section intersect at a point at said predetermined distance, and such that radiation from a radiation point source located at said point is collimated in two orthogonal planes. 8. An imaging system as recited in claim 7 wherein said plates of said first collimation section and said plates of said second collimation section are retained in position by a plurality of brackets to secure said plates in position. claim 7 9. An imaging system as recited in claim 7 wherein said plates of said first and said second collimation sections comprises material selected from the group consisting of tungsten, lead, and natural uranium. claim 7 |
|
summary | ||
summary | ||
041347919 | abstract | A stack of clad fuel plates disposed vertically in uniformly spaced and parallel relation constitutes the fuel assembly. The fuel plates are connected together in rigidly fixed relation by coupling means extending at right angles to the plane of the fuel plates and mounted at intervals in the vertical direction on the lateral edges of the plates. Hollow sleeves are rigidly fixed to at least a certain number of fuel plates and extend vertically in the plane of these latter, the sleeves being uniformly spaced in the transverse direction of the plates. |
052232077 | claims | 1. An expert system for determining the operability of a specified pump comprising: a set of pumps of which the specified pump is a member; means for measuring physical parameters representative to the operations condition each pump of said set of pumps; means for acquiring data generated by said measuring means; an artificial-intelligence based inference engine coupled to said data acquiring means where said inference engine applies a sequential probability ratio test to statistically evaluate said acquired data to determine a status for the specified pump and its respective measuring means by continually monitoring and comparing changes in a specific operational parameter signal acquired from a plurality of measurement means; means for transferring said status generated by said interference engine to an output system. monitoring physical parameters representative of the operating condition of said pump through the use of a plurality of sensors; transmitting said data to a data acquisition system and subsequently to an artificial-intelligence inference engine; statistically analyzing on said data arriving at said inference engine through the use of a sequential probability ratio test; using said sequential probability ratio test to establish a status for said sensors and said pump; transmitting said status to an output device. 2. The system of claim 1 wherein said measuring means employs at least two sensors to measure a specific physical parameter associated with each pump. 3. The system of claim 2 in which said measuring means measures a plurality of physical parameters. 4. The system of claim 3 in which said inference engine employs said sequential probability ratio test in an ordered preference for each physical parameter to determine if the specified pump or a sensor is degraded. 5. The system of claim 4 in which an audible alarm is sounded when said sensor or said specified pump is determined to be degraded by said inference engine. 6. A method for early determination of pump or pump sensor degradation comprising the steps of: 7. The method of claim 6 which said sequential probability ratio test is conducted in a series of sequential if-then steps where each sensor is evaluated sequentially to determine if it is degraded and if said sensor is degraded passing said status to an audible alarm system as well as to an output display and if said sensor is not degraded proceeding to the next sensor. 8. The method of claim 7 where if said probability ratio test indicates that the system is degraded and if none of said sensors are degraded then determining that the pump is degraded and transmitting this information to an output device and an audible alarm. 9. The system of claim 1 where said physical parameters include: pump revolutions per minute, pump vibration measurements, pump power, and pump discharge pressure. |
claims | 1. An ion beam irradiation apparatus which scans, when three axes that are orthogonal to each other at one point are taken as an X-axis, a Y-axis and a Z-axis, in a direction along the X-axis, an ion beam traveling in a direction along the Z-axis, and applies the ion beam onto a substrate held by a substrate holding surface of a holder, comprising:an irradiation angle setting motor which holds the holder, and sets an irradiation angle of the ion beam with respect to the substrate holding surface by rotating the holder around a center axis that is substantially parallel to the X-axis;a Y-axis linear motor which supports the irradiation angle setting motor, and causes the holder and the irradiation angle setting motor to ascend and descend in a direction along the Y-axis;a Z-axis linear motor which supports the Y-axis linear motor, and moves the holder, the irradiation angle setting motor and the Y-axis linear motor in the direction along the Z-axis; anda control unit which operation-controls synchronously the Y-axis linear motor and the Z-axis linear motor so that the substrate holding surface of the holder reciprocates and scans linearly along an S-axis that is substantially parallel to the substrate holding surface and substantially orthogonal to the X-axis. 2. An ion beam irradiation apparatus which scans, when three axes that are orthogonal to each other at one point are taken as an X-axis, a Y-axis and a Z-axis, in a direction along the X-axis, an ion beam traveling in a direction along the Z-axis, and applies the ion beam onto a substrate held by a substrate holding surface of a holder, comprising:an irradiation angle setting motor which holds the holder, and sets an irradiation angle of the ion beam with respect to the substrate holding surface by rotating the holder around a center axis that is substantially parallel to the X-axis;a Z-axis linear motor which supports the irradiation angle setting motor, and moves the holder and the irradiation angle setting motor in the direction along the Z-axis;a Y-axis linear motor which supports the Z-axis linear motor, and causes the holder, the irradiation angle setting motor and the Z-axis linear motor to ascend and descend in a direction along the Y-axis; anda control unit which operation-controls synchronously the Z-axis linear motor and the Y-axis linear motor so that the substrate holding surface of the holder reciprocates and scans linearly along an S-axis that is substantially parallel to the substrate holding surface and substantially orthogonal to the X-axis. 3. An ion beam irradiation apparatus which applies, when three axes that are orthogonal to each other at one point are taken as an X-axis, a Y-axis and a Z-axis, an ion beam that is long in the shape of a strip in a direction along the X-axis and travels in a direction along the Z-axis, onto a substrate held by a substrate holding surface of a holder, comprising:an irradiation angle setting motor which holds the holder, and sets an irradiation angle of the ion beam with respect to the substrate holding surface by rotating the holder around a center axis that is substantially parallel to the X-axis;a Y-axis linear motor which supports the irradiation angle setting motor, and causes the holder and the irradiation angle setting motor to ascend and descend in a direction along the Y-axis;a Z-axis linear motor which supports the Y-axis linear motor, and moves the holder, the irradiation angle setting motor and the Y-axis linear motor in the direction along the Z-axis; anda control unit which operation-controls synchronously the Y-axis linear motor and the Z-axis linear motor so that the substrate holding surface of the holder reciprocates and scans linearly along an S-axis that is substantially parallel to the substrate holding surface and substantially orthogonal to the X-axis. 4. An ion beam irradiation apparatus which applies, when three axes that are orthogonal to each other at one point are taken as an X-axis, a Y-axis and a Z-axis, an ion beam that is long in the shape of a strip in a direction along the X-axis and travels in a direction along the Z-axis, onto a substrate held by a substrate holding surface of a holder, comprising:an irradiation angle setting motor which holds the holder, and sets an irradiation angle of the ion beam with respect to the substrate holding surface by rotating the holder around a center axis that is substantially parallel to the X-axis;a Z-axis linear motor which supports the irradiation angle setting motor, and moves the holder and the irradiation angle setting motor in the direction along the Z-axis;a Y-axis linear motor which supports the Z-axis linear motor, and causes the holder, the irradiation angle setting motor and the Z-axis linear motor to ascend and descend in a direction along the Y-axis; anda control unit which operation-controls synchronously the Z-axis linear motor and the Y-axis linear motor so that the substrate holding surface of the holder reciprocates and scans linearly along an S-axis that is substantially parallel to the substrate holding surface and substantially orthogonal to the X-axis. 5. The ion beam irradiation apparatus according to claim 1, wherein the control unit operation-controls synchronously the Y-axis linear motor and the Z-axis linear motor so that: when the control unit causes the Y-axis linear motor to ascend along the Y-axis by the distance Δy, the relation of Δz=Δy tan θ is satisfied or the relation mathematically equivalent to this relation is satisfied; and when the control unit causes the Y-axis linear motor to descend along the Y-axis by the distance Δy, the relation of −Δz=Δy tan θ is satisfied or the relation mathematically equivalent to this relation is satisfied, in which θ represents an irradiation angle of the ion beam applied to the substrate, and Δz represents distance by which the Z-axis linear motor is moved along the Z-axis if the direction in which the ion beam travels is taken as a positive direction. 6. The ion beam irradiation apparatus according to claim 2, wherein the control unit operation-controls synchronously the Y-axis linear motor and the Z-axis linear motor so that: when the control unit causes the Y-axis linear motor to ascend along the Y-axis by the distance Δy, the relation of Δz=Δy tan θ is satisfied or the relation mathematically equivalent to this relation is satisfied; and when the control unit causes the Y-axis linear motor to descend along the Y-axis by the distance Δy, the relation of −Δz=Δy tan θ is satisfied or the relation mathematically equivalent to this relation is satisfied, in which θ represents an irradiation angle of the ion beam applied to the substrate, and Δz represents distance by which the Z-axis linear motor is moved along the Z-axis if the direction in which the ion beam travels is taken as a positive direction. 7. The ion beam irradiation apparatus according to claim 3, wherein the control unit operation-controls synchronously the Y-axis linear motor and the Z-axis linear motor so that: when the control unit causes the Y-axis linear motor to ascend along the Y-axis by the distance Δy, the relation of Δz=Δy tan θ is satisfied or the relation mathematically equivalent to this relation is satisfied; and when the control unit causes the Y-axis linear motor to descend along the Y-axis by the distance Δy, the relation of −Δz=Δy tan θ is satisfied or the relation mathematically equivalent to this relation is satisfied, in which θ represents an irradiation angle of the ion beam applied to the substrate, and 66 z represents distance by which the Z-axis linear motor is moved along the Z-axis if the direction in which the ion beam travels is taken as a positive direction. 8. The ion beam irradiation apparatus according to claim 4, wherein the control unit operation-controls synchronously the Y-axis linear motor and the Z-axis linear motor so that: when the control unit causes the Y-axis linear motor to ascend along the Y-axis by the distance Δy, the relation of Δz=Δy tan θ is satisfied or the relation mathematically equivalent to this relation is satisfied; and when the control unit causes the Y-axis linear motor to descend along the Y-axis by the distance Δy, the relation of −Δz=Δy tan θ is satisfied or the relation mathematically equivalent to this relation is satisfied, in which θ represents an irradiation angle of the ion beam applied to the substrate, and Δz represents distance by which the Z-axis linear motor is moved along the Z-axis if the direction in which the ion beam travels is taken as a positive direction. |
|
043893554 | abstract | An improved method for preparing nuclear fuel pellets and recycling uranium dioxide utilizing microwave radiation wherein microwave induction furnaces replace conventional refractory-type sintering and shaker-air furnaces. |
051620979 | claims | 1. A nuclear reactor comprising: a reactor vessel having a longitudinal centerline axis extending upwardly relative to gravity; a reactor core having a first level and a second level, said first level having a first set of fuel units arranged in a first two-dimensional array, said second level having a second set of fuel units arranged in a second two-dimensional array, each fuel unit of said second set being disposed directly above a fuel unit of said first set; circulation means for circulating a heat transfer fluid axially through said core from said first level toward said second level; said heat transfer fluid being initially in the form of water, and said first level being configured for boiling said water to generate saturated steam, said saturated steam being channeled upwardly to said second level; and said second level being configured for receiving said saturated steam from said first level for cooling said second level and heating said saturated steam for forming superheated steam. said reactor core has a neutron spectrum from said first level to said second level varying from relatively soft to hard, respectively; said second set of fuel bundles includes fertile fuel which forms fissile fuel by conversion due to said hard neutron spectrum; and said first set of fuel bundles includes fissile fuel which is fissionable due to said soft neutron spectrum. each of said lower and upper fuel bundles includes said respective fuel rods spatially joined together by a pair of axially spaced apart tie plates; each of said lower fuel bundles being disposed in a flow baffle which channels through said baffle and over said fuel rods a portion of said water for forming said saturated steam; and each of said upper fuel bundles being disposed over a respective one of said lower fuel bundles for receiving said saturated steam and forming said superheated steam. a plurality of stationary poison curtains disposed between adjacent ones of said upper fuel bundles; and a plurality of axially movable control rods disposed between adjacent ones of said lower fuel bundles. at a design point for said superheated steam formed in said core second level, said poison curtains are essentially transparent to said hard neutron spectrum and allow said core second level to remain critical; and at off-design points wherein said core second level is in a flooded state or density of said superheated steam is below density at said design point, said poison curtains automatically cause said core second level to become subcritical. 2. A nuclear reactor according to claim 1 wherein each of said fuel units is a fuel bundle containing vertically extending fuel rods, each of said fuel rods having a fuel section containing fissile fuel and a plenum section for accumulating gaseous fission byproducts, said fuel rods in fuel bundles of said first set having first plenum sections below their fuel sections, said fuel rods in fuel bundles of said second set having second plenum sections above their fuel sections. 3. A nuclear reactor according to claim 2 wherein: 4. A nuclear reactor according to claim 3 wherein said first set of fuel bundles includes preburned fuel bundles previously disposed in said second set which have undergone said conversion for forming fissile fuel, said preburned fuel bundles undergoing additional burning in said first level. 5. A nuclear reactor according to claim 4 wherein said preburned fuel bundles previously disposed in said second set are disposed in said first set in an inverted position. 6. A nuclear reactor according to claim 5 wherein said first plenums are disposed in said water and extend vertically upwardly therein. 7. A nuclear reactor according to claim 6 wherein said fuel bundles of said first and second sets are identical in configuration. 8. A nuclear reactor according to claim 3 wherein: 9. A nuclear reactor according to claim 8 wherein said flow baffles are disposed solely around said lower fuel bundles and not around said upper fuel bundles. 10. A nuclear reactor according to claim 8 further including: 11. A nuclear reactor according to claim 10 wherein: 12. A nuclear reactor according to claim 11 wherein said poison curtains contain boron and in said flooded state are effective for absorbing neutrons. 13. A nuclear reactor according to claim 12 wherein said upper fuel bundles have a configuration for increasing fast neutron leakage at said off-design points below said design point steam density. 14. A nuclear reactor according to claim 13 wherein said upper fuel bundle configuration includes a tighter pitch and smaller fuel rod diameter than in a conventional boiling water reactor. 15. A nuclear reactor according to claim 14 wherein said lower and upper fuel bundles are each configured in a hexagonal configuration. 16. A nuclear reactor according to claim 15 wherein said lower and upper fuel bundles are identical in configuration including having the same axial length. 17. A nuclear reactor according to claim 16 wherein said first set of fuel bundles includes preburned fuel bundles previously disposed in said second set which have undergone said conversion for forming fissile fuel, said preburned fuel bundles undergoing additional burning in said first level. 18. A nuclear reactor according to claim 17 wherein said preburned fuel bundles previously disposed in said second set are disposed in said first set in an inverted position. 19. A nuclear reactor according to claim 18 further characterized by the absence of a steam separator disposed above said core second level, said core second level being effective for providing said superheated steam with substantially little water therein. 20. A nuclear reactor according to claim 3 further characterized by the absence of a steam separator disposed above said core second level, said core second level being effective for providing said superheated steam with substantially little water therein. |
039473198 | description | In FIG. 1, the numeral 1 represents a nuclear reactor, provided with a core 2, inside which control rods 3 are accommodated. The control rods are moved with the aid of a control rod driving mechanism 4, which in turn is influenced by a control apparatus 5. The contents 6 of the reactor vessel are included in the primary circuit 7. This furthermore comprises a primary circulating pump 8 which is driven by an electric motor 9 with speed regulator. The medium, having been heated in the reactor vessel 1 by passing along the core 2, is pumped by the pump 8 to the heat exchanger 10. This heat exchanger transfers the heat to the secondary circuit 11 which is likewise provided with a pump 12 driven by an electric motor 13 with speed regulator. The secondary circuit comprises successively a superheater 14 and an evaporator 15. Before the superheater, a measuring flange 16 is included which allows the amount of flow of secondary medium to be measured. The heat exchangers 14 and 15 finally transfer the heat to the steam-water-circuit 17 which includes a steam turbine 18 which drives an electric generator 19. The exhaust steam of the steam turbine 18 is condensed in the condenser 20 and finally pumped through a condensate pump 21. A feed water pump 22 pressurizes this condensate so as to force it back to the steam generator. A number of feed water preheaters, not shown in the drawing, can be arranged between the condensate pump and the feed water pump. Such a feed water preheater is 23, which receives steam from the draining point 24 situated in the high pressure part of the steam turbine 18. After the feed water preheater 23, the feed water conduit contains the feed water control valve 25. This is followed by another preheater 26, which collects the condensate that has been separated in the external water separator 27. During normal operation, the valve 28 is closed, and the valves 29 and 30 are open. The steam water circuit likewise comprises a measuring flange, i.e. the flange 31 which measures the amount of steam which is conveyed through the main steam conduit to the turbine 18. The number 32 indicates a measuring point for measuring the steam pressure. The main shutoff valve of the turbine is indicated by 33, it being symbolically shown that this valve is influenced by a speed regulator 34. Control of the installation shown is performed as follows: A control impulse line 35, emitting a signal from a value which is a measure for the load, is supplied to the control circuit 5 of the reactor. The measured steam production in point 31 is supplied by the flow meter 37 to the control element 36. The same control element also receives an impulse through line 38 which comes from the pressure measurement 39. The control impulse emitted by the element 36 is conveyed to the electric motor 13 so as to adjust the pump 12 to the correct speed. This is accomplished with the aid of the control impulse line 40. The measuring flange 16, included in the secondary circuit 11, conveys an impulse to the flow meter 41. Besides giving an impulse through line 42 to the control circuit 5 of the reactor, it sends an impulse to the speed control of the pump motor 9 of the primary circulating pump 8 so as to regulate the speed of this pump. This is done with the aid of the impulse line 43. The control circuit 5, indicated symbolically in the figure, operates in such a way that it controls an outlet temperature at a point 44 near the outlet 45 of the reactor at a constant value with the aid of the control rods 3. The value to which this outlet temperature is adjusted can be influenced both by the impulse line 35 and by the impulse line 42. The line 52, besides comprising a measuring flange 46, also contains a control valve 47. This valve, operating by way of the control circuit 48 and the water level control 49, keeps the liquid level in 27 at a constant value. The measuring flange 46 conveys control impulses to the valve 25 by way of 50. There is a pressure drop across this valve 25, the magnitude of which is measured by the measuring element 51, which causes the result of measurement to act by way of line 53 upon the speed of the motor 54 which drives the pump 22. Shown in FIG. 2 is a portion of the diagram according to FIG. 1, but designated as a variant. The reactor circuit 7 with the nuclear reactor 1 is not entirely shown, because this has undergone no change with respect to FIG. 1. The parts of the diagram already discussed with regard to FIG. 1 are indicated in FIG. 2 by the same reference numerals. Shown here is an assembly with two circuits, i.e. the reactor circuit 7 and the steam-water-circuit 17, 21, 22, 26, 15, 14. The turbine 18 has three draining points for the feed water preheaters 23, 55 and 56. The feed water pump 22 is combined with a hydraulic turbine 60, through which part of the amount of feed water can flow. The magnitude of this partial amount is set with the bypass valve 57 contained in a bypass 61 of the turbine step. With the valve 57 entirely opened, only some of the feed water flow passes through the turbine 60, cooling this. With the valve 57 closed, the entire flow passes through turbine 60. The pressure drop which is required for control reasons can now be brought about economically and simply in the turbine 60, so that the valves 57 and 25 only need to dissipate a small amount of energy by throttling. |
description | This application is a continuation-in-part of U.S. patent application Ser. No. 10/866,057, filed Jun. 10, 2004, now U.S. Pat. No. 7,076,026, which claims the benefit of U.S. Provisional Application No. 60/478,460, filed Jun. 13, 2003. The entire contents of the above applications are incorporated herein by reference. The present invention relates generally to an x-ray optical system for conditioning an x-ray beam. More particularly, the present invention relates to a optical system for reflecting an x-ray beam in two directions. There are a number of x-ray applications that require the use of a two-dimensional conditioned x-ray beam. For example, medical radiotherapy systems utilize x-ray beams to destroy cancerous tissue, x-ray diffraction or microdiffraction analysis systems channel x-ray radiation at a sample crystal generating a diffraction pattern indicative of a lattice structure, and x-ray fluorescence and spectroscopy systems employ directed and conditioned x-ray beams. A Kirkpatrick-Baez optical configuration has been proposed to reflect an x-ray beam in two directions independently. In the Kirkpatrick-Baez configuration, at least two optical elements are oriented sequentially so that their meridian axes are perpendicular. Using two parabolic optical elements, a Kirkpatrick-Baez system is capable of capturing radiation from a point source and collimating it into a parallel beam. Equipped with elliptical optics, a Kirkpatrick-Baez system reflects a perfect point image with a point source at its focal point. More recent developments in the fabrication of multilayer reflective optics have led to further developments in the Kirkpatrick-Baez-type optical systems. For example, a modified Kirkpatrick-Baez system, including the use of sequentially ordered multilayer optics, have been proposed for of inertial confinement fusion. Although the use of multilayer mirrors in a Kirkpatrick-Baez configuration provides increased efficiency, this type of system is not optimal because mirrors positioned at different distances from the source have different capture angles (i.e., a mirror positioned further from the source has lower efficiency), and, additionally, the beam convergence and image size are different in two planes, resulting in a phenomenon known as anamorphotism. To improve efficiency and combat anamorphotism, a proposed confocal optical system employs a pair of multilayer mirrors assembled in a side-by-side configuration. The side-by-side Kirkpatrick-Baez multilayer optic is optimal for applications demanding a beam with low convergence. However, there are other applications which tolerate a higher beam convergence or in which convergence is not limited at all. Examples of such applications include micro x-ray fluorescence analysis (MXRF) and medical radiotherapy systems utilizing a convergence x-ray beam to destroy cancerous tissue. These applications demand a high flux, but a multilayer optic has limited capabilities to provide a high capture angle because of its relatively large d-spacing. Crystals are also capable of reflecting x-rays. Their natural periodic structure, as well as that of multilayer structures, diffracts x-ray according to Bragg's equationnλ=2d sin θ, (1)where n is the integral number describing the order of reflection, λ is the wavelength of x-rays, and d is the spatial periodicity of the lattice structure of the diffractive element. A so-called Johansson crystal provides precise focusing in the diffraction plane similar to an elliptically graded d-spacing multilayer. It is noteworthy that crystals have much smaller d-spacing than multilayers. This allows freedom of design on their base x-ray optical elements with a high capture angle. For example, a Johansson crystal may have a theoretical capture angle up to 4θ. However, crystals have several drawbacks that have heretofore limited their application in certain x-ray related fields. The narrow rocking curve (that is, the angular range over which an element can reflect a parallel beam) of a perfect crystal limits the flux the crystal can utilize from a finite size focal spot. Mosaic crystals have a modest reflectivity and a large penetration depth, which is not favorable in applications requiring sharp focusing. Both types of crystals have a limited acceptance in the axial plane (plane perpendicular to the diffraction plane), and this acceptance drops significantly when an x-ray is not parallel to the diffraction plane. This last feature makes optical systems with two diffractive elements with small d-spacing and narrow rocking curve ineffective. These limiting factors have heretofore rendered optics having crystal combinations ineffective in particular x-ray applications. From the above, it is seen that there exists a need for an improved x-ray optical system for conditioning an x-ray beam using crystals. The present invention provides an x-ray beam conditioning system with a first diffractive element and a second diffractive element arranged sequentially relative to the first diffractive element. One of the diffractive elements is a crystal, and the other element may be a multilayer optic. Among other advantages, the x-ray beam conditioning system may be optimized for high-flux operations. Other features and advantages will be apparent from the following description, and from the claims. An analysis of the efficiency of various diffractive x-ray optical elements provides a basis for the understanding of the present invention. For simplicity, consider a single diffractive element with a cylindrical reflecting surface and with a capability to focus x-rays from a point source to the point image in the diffraction plane. Examples of such diffractive elements are Johansson crystals and elliptical multilayers with a proper grading of d-spacing. The capability of these optical elements to accept and redirect x-rays from a monochromatic x-ray source can be described as:ε=f·α·β·R, (2)where f is a factor describing from which portion of the source size a diffractive element can use radiation, α and β are the acceptance angles in the diffraction and axial planes, respectively, and R is the element reflectivity. The efficiency of the source focal spot usage f can be calculated as a convolution of a source spatial intensity distribution and a diffractive element angular acceptance. But in two extreme cases f can be presented as simple analytical expressions. If the angular size of the source γ as seen from the diffractive element is much larger than an angular acceptance δθ, then f can be calculated as: f = δθ γ . ( 3 ) However, when the diffractive element angular acceptance δθ is much larger than an angular size of the source γ, f is equal to 1. An angular acceptance of a diffractive element is identical to its rocking curve. The angular size of the source is: γ = F L , ( 4 ) where F is the effective width of the source in the diffraction plane and L is the distance from the source to a diffractive element. The angular acceptance in the diffraction plane α is defined by the diffractive element length l and Bragg's angle θ, namely: α = ( l · sin θ ) L . ( 5 ) Equation (5) is a suitable expression for both Johansson crystals and elliptical multilayers. Each diffractive element has a limited acceptance in the axial plane as well, which is caused by the change of the incident angle when a ray propagates out of the diffraction plane. A single element optical system 10 shown in FIG. 1 includes a source 12 that emanates x-rays 13 towards an optical element 16, such as, for example, a Johansson crystal, a Johann crystal, or a logarithm spiral crystal. The optical element 16 diffracts the x-rays 13 to a focus 14. The source 12 and the focus 14 are located on a focusing circle 20. A strip 18 on the optical element 16 defines an area within which the incident angle changes less than the half of the optical element rocking curve. The areas below and above this strip 18 do not reflect the beam effectively because a change of the incident angle is too large compared to the rocking curve. This angular acceptance of a diffractive element in the axial plane β can be described as β = ( δθ tan θ ) 1 2 . ( 6 ) Some other conditions, for instance, an aperture or an angular source distribution may limit the radiation usage in the axial plane. In such cases, β is the smallest of the limitations. The calculated efficiencies of various optical configurations and optical elements for both large and small focal spot of the sources (see, e.g., expressions 3 and 4) are shown below in Table 1. The configurations include a single optical element, a pair of similar optical elements in a side-by-side, confocal configuration (that is, a Kirkpatrick-Baez configuration), and a hybrid pair of optical elements including a multilayer and a crystal element in a side-by-side, confocal configuration. The representative optical elements are a germanium Ge111 crystal, a multilayer with center d-spacing of 20 Angstroms, a lithium fluoride LiF200 crystal, and a pyrolitic graphite C0002 crystal as a single diffractive element. As indicated, pyrolitic graphite provides superior efficiency for both large and small sources, and the multilayer efficiency exceeds the efficiency of the Ge and LiF crystals when the source is large. TABLE 1EFFICIENCY OF OPTICAL CONFIGURATIONSOpticalLithiumPyroliticConfigurationGermaniumMultilayerFluorideGraphiteLarge SourceSingle Element1.3E−051.2E−043.5E−056.2E−03Standard1.8E−138.1E−095.4E−122.9E−05Confocal OpticHybrid2.0E−081.1E−076.8E−06Confocal OpticSmall SourceSingle Element4.8E−034.2E−033.6E−032.1E−02Standard1.4E−065.3E−043.2E−061.9E−02Confocal OpticHybrid6.9E−041.1E−032.2E−−03Confocal Optic To calculate the efficiency of the confocal optical configuration, a capture angle in the diffraction plane for one element is considered the angle of axial acceptance for the second element. However, equation (6) for the angle of axial acceptance is not correct for the confocal arrangement, since it assumes that deviations not in the diffraction plane occur symmetrically in both directions, which is not the case in the confocal arrangement. FIG. 2 is a diagrammatic view of a confocal (or Kirkpatrick-Baez) optical configuration 40 with a first optical element 42 and a second optical element 44 aligned in a side-by-side, orthogonal manner. The first optical element 42 defines a focusing circle 46 and the second optical element 44 defines a focusing ellipse 48. The first and second optical elements 42, 44 are aligned such that the focusing circle 46 intersects the focal points of the focusing ellipse 48 twice, once at the source 50 and once at the image position 52. In one embodiment, the first optical element 42 is a crystal and the second optical element 44 is a multilayer optic. Referring again to FIG. 2, the crystal working surface 54 is vertical and the multilayer working surface 56 is horizontal and positioned below the focusing circle 46. As shown, the crystal Bragg's angle θc defines the axial component of the incident angle of an x-ray from the focus to the mirror surface and vice versa. The cylindrical working surfaces of two optical elements cross, constructing the working corner of the optic, that is, the two strips 58 and 60 shown on the crystal working surface 54 and the multilayer working surface 56, respectively. Note that the axial components for both optical elements are not symmetric with respect to their corresponding diffraction planes. To find the axial acceptance of a diffractive element in these conditions, expression (6) is re-written as: β = ( 2 Δθ tan θ ) 1 2 or as : ( 7 ) Δθ = β 2 tan θ 2 . ( 8 ) In equations (7) and (8), β is an angle between the ray and diffraction plane of an element and Δθ is the corresponding deviation of the incident angle from Bragg's angle. To determine the strength of the incident angle change d(Δθ) caused by a small variation of axial angle dβ, equation (8) is differentiated, yielding:d(Δθ)=β·tan θ·dβ. (9) If d(Δθ)=δθ is an element angular acceptance in its diffraction plane, than its axial acceptance at an average axial angle β is: d β = δθ β tan θ . ( 10 ) In a confocal optic arrangement the crystal axial angle βc is defined through the mirror Bragg's angle θm as:βc=arc tan(tan θm·cos θc), and (11)βm=arc tan(tan θc·cos θm) (12)where θm and θc are Bragg's angles of the mirror and crystal, respectively. Since the confocal optic acceptance angle in a vertical plane is defined by the mirror capture angle and the crystal axial acceptance angle, the smaller of these two angles is employed for the efficiency calculations. The efficiency of a confocal optic based on similar or different elements in two diffraction perpendicular planes can be calculated on the basis of the above equations. The results of such calculations are also presented in Table 1. Again, it is seen that graphite provides the highest efficiency. However, a nontrivial result of these calculations is that the hybrid optic including a multilayer and either a perfect crystal (Ge) or a mosaic crystal (LiF) provides higher efficiency than a pure confocal optic having two similar components in two planes. For instance, with a large source, a Ge confocal optic has an efficiency of 1.8E-13, compared to an efficiency of 8.1E-9 for a multilayer optic. However, a hybrid optic with a multilayer in one plane and Ge in another plane provides an efficiency of 2.0E-8. This latter configuration is of a special interest because optics based on a multilayer and a Ge crystal can provide precise focusing and high efficiency. The following, among others, are examples of combinations of diffractive elements that provide a high efficiency in the confocal arrangement: two mosaic crystals with a low d-spacing and high mosaicity; a multilayer mirror and a mosaic or a perfect crystal with a low d-spacing; and a mosaic crystal with a high d-spacing with a mosaic or a perfect crystal with low d-spacing. The definitions of low/high d-spacing and low/high mosaicity depend on the particular requirements of the collimated beam. For example, d-spacing above about 10 Angstroms and mosaicity more than about 5 to 10 arcminutes may be considered high d-spacing and high mosaicity, respectively. A confocal optic including a Johansson crystal and an elliptical multilayer mirror with laterally graded d-spacing and depth grading is one preferred configuration. This type of optic is an effective diffractive component to form a convergent focusing beam. One particularly effective implementation of hybrid confocal multilayer/crystal optic is when a highly convergence beam in one plane is desired, for example, for high convergence beam reflectometry. A parabolic multilayer mirror with laterally graded d-spacing and depth grading is an optimal diffractive element to form a parallel beam. A highly asymmetric Johansson crystal may be used to form a quasi parallel beam when the requirements of beam divergence in one plane are stricter than that in the other plane. Again, various embodiments of the present invention can utilize many other diffractive optical components to form a quasi parallel beam. The lengths and center positions of two diffractive elements may coincide, or they may be different. Thus, some areas of two diffractive elements are overlapped, creating a side-by-side, confocal optic, in accordance with an embodiment of the present invention. The hybrid confocal optic of the present invention may include two, four or multiple working corners, as described in U.S. Pat. No. 6,014,423, the contents of which is incorporated herein by reference in its entirety. Certain implementations of the x-ray optical system of the present invention may include entrance and exit apertures to clean the x-ray beam and to simplify x-ray shielding. Referring to FIG. 3, there is shown another embodiment of an optical configuration 100 with a first optical element 142 and a second optical element 144 aligned in a sequential, orthogonal manner. The first optical element 142 defines a focusing circle 146 and the second optical element 144 defines a focusing ellipse 148. The first and second optical elements 142, 144 are aligned such that the focusing circle 146 intersects the focal points of the focusing ellipse 148 twice, once at the source 150 and once at the image position 152 (that is, the optic focus). In one embodiment, the first optical element 142 is a crystal and the second optical element 144 is a mirror, such as a multilayer optic. The crystal working surface 154 is vertical and the multilayer working surface 156 is horizontal and positioned below the focusing circle 146. As shown, the crystal's Bragg angle θc defines the axial component of the incident angle of an x-ray from the focus to the mirror surface and vice versa. The cylindrical working surfaces of two optical elements cross, constructing the working corner of the optic, that is, the two strips 158 and 160 shown on the crystal working surface 154 and the mirror working surface 156, respectively. The sequential optical configuration 100 provides flexibility with optical system performances. In the implementation shown in FIG. 3, the mirror 144 is closer to the source 150 than the crystal 142, which provides a higher system efficiency. In another implementation, the mirror 144 is closer to the optic focus 152, which provides for small focus when desired. The position of the crystal 142 along its focusing circle 146 does not change its efficiency and focusing performance. In a particular implementation, the crystal 142 is centered relative to the source 150 and the optic focus 152 to minimize potential aberrations caused by the curvatures of the mirror 144 and the crystal 142. Further, the sequential optical configuration 100 provides a higher efficiency, as compared to the side-by-side arrangement, because of a smaller loss of efficiency resulting from axial (out of diffraction plane) components of the incident rays, since each optical element 142, 144 has a single reflection zone, such that axial deviations can be compensated by the Bragg adjustment. This is not possible in the side-by-side arrangement, since each optical element has two reflection zones with opposite directions of axial components, so that the adjustment in the diffraction plane can not compensate for deviations from the Bragg angle. Depending upon the implementation of the optical configuration 100, any of the features of the optical elements and combinations of optical elements for the configuration 40 may apply as well to the optical elements 142, 144. It should be apparent to those skilled in the art that the above-described embodiment is merely illustrative of but a few of the many possible specific embodiments of the present invention. Numerous and various other arrangements can be readily devised by those skilled in the art without departing from the spirit and scope of the invention as defined in the following claims. |
|
description | This application claims benefit of priority under 35 USC §119 to Japanese Patent Application No. 2004-159089, filed on May 28, 2004, the contents of which are incorporated by reference herein. 1. Field of the Invention The present invention relates to a substrate inspection method, a method of manufacturing a semiconductor device and a substrate inspection apparatus. 2. Related Art In recent years, methods of inspecting defects in semiconductor patterns by utilizing electron beams have been proposed. For example, there is disclosed in Japanese Patent Laid-Open No. 7 (1995)-249393 a method which includes: generating a rectangular electron beam as a primary electron beam by an electron irradiator and irradiating a specimen with the electron beam; then enlarging and projecting an image of secondary electrons, reflected electrons and backscattered electrons generated according to the change of the shape/properties/potential of the specimen surface, as a secondary electron beam, on an electron detector by a mapping projection optical system; and obtaining an image of the specimen surface. In addition to the method, another method has been proposed in Japanese Patent Laid-Open No. 11 (1999) -132975, by which a primary electron beam is deflected by a Wien filter as an electron beam deflector so as to be nearly perpendicularly incident on a specimen surface, and also a secondary electron beam is made to travel straight through the same Wien filter so as to enter a mapping projection optical system. In the apparatus disclosed in Japanese Patent Laid-Open No. 11 (1999)-132975, the Wien filter is used to form a Koehler illumination system in order to make the primary electron beam perpendicularly incident on the specimen surface. Specifically, the primary electron beam entering the Wien filter is deflected by the Wien filter so that the focus of the primary electron beam coincides with the focus of the secondary beam between the Wien filter and a cathode lens. Further, in order to minimize the effect of deflection aberration in the Wien filter, an image of the secondary electron beam is once formed on the center surface of the Wien filter. However, the apparatus disclosed in Japanese Patent Laid-Open No. 11 (1999)-132975, in which there exists space where the primary electron beam overlaps with the secondary electron beam between the Wien filter and the specimen, has a problem in that the aberration of the secondary electron beam is increased by the significant influence of the electron-electron interaction, i.e. the so-called space charge effect due to the high electron density in this overlapping space. In particular, the current density of the secondary electron beam is the highest at the focus position of the secondary electron beam, and the focus position of the primary electron beam where the current density of the primary electron beam is the highest is also exists at the same position, as a result of which the space charge effect of the secondary electron beam becomes most significant in the above described overlapping space. According to a first aspect of the present invention, there is provided a substrate inspection method comprising: generating an electron beam and irradiating the electron beam as a primary electron beam to a substrate as a specimen; inducing at least any of a secondary electron, a reflected electron and a backscattering electron which are emitted from the substrate receiving the primary electron beam, and magnifying and projecting the induced electron as a secondary electron beam so as to form an image of the secondary electron beam; a trajectory of the primary electron beam and a trajectory of the secondary electron beam having an overlapping space and space charge effect of the secondary electron beam occurring in the overlapping space, detecting the image of the secondary electron beam to output a signal representing a state of the substrate; and suppressing aberration caused by the space charge effect in the overlapping space. According to a second aspect of the present invention, there is provided a method of manufacturing a semiconductor device comprising a substrate inspection method including: generating an electron beam and irradiating the electron beam as a primary electron beam to a substrate as a specimen; inducing at least any of a secondary electron, a reflected electron and a backscattering electron which are emitted from the substrate receiving the primary electron beam, and magnifying and projecting the induced electron as a secondary electron beam so as to form an image of the secondary electron beam; a trajectory of the primary electron beam and a trajectory of the secondary electron beam having an overlapping space and space charge effect of the secondary electron beam occurring in the overlapping space, detecting the image of the secondary electron beam to output a signal representing a state of the substrate; and suppressing aberration caused by the space charge effect in the overlapping space. According to a third aspect of the present invention, there is provided a substrate inspection apparatus comprising: an electron beam irradiator which generates an electron beam and which irradiates the electron beam as a primary electron beam to a substrate as a specimen; a detector with a detecting surface to detect at least any of a secondary electron, a reflected electron and a backscattering electron which are emitted from the substrate receiving the primary electron beam, the detector outputting a signal representing a state of the substrate; a mapping projection optical system which induces at least any of the secondary electron, the reflected electron and the backscattering electron so as to magnify and project the induced electron as a secondary electron beam, and which forms an image of the secondary electron beam on the detecting surface of the detector; a trajectory of the primary electron beam and a trajectory of the secondary electron beam having an overlapping space and space charge effect of the secondary electron beam occurring in the overlapping space, and an aberration suppressor which suppresses aberration caused by the space charge effect in the overlapping space. FIG. 1 is a block diagram schematically showing a configuration of a substrate inspection apparatus according to a first embodiment of the present invention. As will be described in further detail below, the present embodiment is characterized in that a deflector 68 is arranged between multistage quadrupole lenses 15 and a Wien filter 41, and in that the deflector 68 controls a trajectory of a primary electron beam Bp so as to shrink space where the primary electron beam Bp overlaps with a secondary electron beam Bs. The substrate inspection apparatus 1 shown in FIG. 1 comprises a primary optical system 10, a secondary optical system 20, an electron detecting unit 30, the Wien filter 41, a stage 43, various control units 16, 17, 51 to 56, 70, an image signal processing unit 58, a host computer 60 and a display unit 62. The primary optical system 10 includes an electron beam gun 11 and the multistage quadrupole lenses 15. The electron beam gun 11, which corresponds to e.g. electron beam irradiating means, has a LaB6 line cathode 112 having a rectangular electron emitting surface with the long axis of 100 to 700 μm and the short axis of 15 μm, a Wehnelt electrode 114, an anode 116 to derive an electron beam and a deflector 118 to adjust an optical axis. The LaB6 line cathode 112, the Wehnelt electrode 114, the anode 116 and the deflector 118 are connected to the control unit 16, and an acceleration voltage, an ejecting current and an optical axis Ap of the primary electron beam Bp are controlled by a signal from the control unit 16. The quadrupole lenses 15 are connected to the quadrupole lenses control unit 17. The primary electron beam Bp emitted from the line cathode 112 is converged by the quadrupole lenses 15 controlled by a signal from the quadrupole lenses control unit 17, and is incident obliquely on the Wien filter 41. The primary electron beam Bp is deflected in the direction perpendicular to a specimen S by the Wien filter 41, and then subjected to a lens action by a cathode lens 21 in the secondary optical system 20 so as to be irradiated perpendicularly to the specimen S. The specimen S is placed on the top surface of the stage 43, which is arranged such that a negative voltage can be applied to the specimen S by the stage voltage control unit 51. This arrangement is to reduce the incidence damage of the specimen S caused by the primary electron beam Bp, and to increase the energy of the secondary electron beam Bs consisting of secondary electrons, reflected electrons and backscattered electrons which are generated according to the change of the shape/properties/potential of the specimen S surface by the irradiated primary electron beam Bp. FIG. 2 shows a specific configuration of the Wien filter 41, the operation principle of which is described briefly with reference to FIG. 3 and FIG. 4. As shown in FIG. 2, the fields of the Wien filter 41 are formed so as to make the electric field E and the magnetic field B orthogonal to each other in a plane CSw perpendicular to an optical axis As of the secondary optical system, and functions to allow only those electrons among the incident electrons which meet the Wien condition of qE=vB (q: electron charge, v: velocity of electron advancing straight), to advance straight. As shown in FIG. 3, in the inspection device 1, the force FB of the magnetic field and the force FE of the electric field acts on the primary electron beam Bp in the same direction so that the primary electron beam Bp is deflected so as to be perpendicularly incident on the specimen S. On the other hand, as shown in FIG. 4, the FB and the FE act on the secondary electron beam Bp in the reverse direction and the Wien condition of FB=FE is still established, as a result of which the secondary electron beam Bs advances straight to enter the secondary optical system without being deflected. Returning to FIG. 1, the secondary optical system 20, which corresponds to e.g. mapping projection means, includes the cathode lens 21 which is a rotationally symmetric electrostatic lens, a second lens 22, a third lens 23 and a numerical aperture 24 and a field aperture 26, which apertures are arranged between the second and third lenses. The cathode lens 21, the second lens 22, the third lens 23, are connected to the secondary optical system lens control units 52, 54, 55, respectively, and the secondary electron beam Bs is enlarged and projected by control signals outputted from these control units so that an image of the secondary electron beam is formed on a detecting surface of a MCP (Micro Channel plate) detector 31. The electron detecting unit 30, which corresponds to e.g. detecting means, includes the MCP detector 31, a fluorescent plate 32, a light guide 33 and an imaging element 34, such as CCD (Charged Coupled Device). The secondary electron beam Bs incident on the MCP detector 31 is amplified by the MCP and irradiated to the fluorescent plate 32. A fluorescent image generated by the fluorescent plate 32 is detected by the imaging element 34 via the light guide 33. A signal outputted from the imaging element 34 is processed by the image signal processing unit 58 and transmitted to the host computer 60 as image data. The host computer 60 is connected to the various control units 16, 17, 51 to 56, 70, and controls the overall apparatus via these control units. The host computer 60, which is also connected to the image signal processing unit 58 and the display unit 62, receives the image data from the image signal processing unit 58 and stores the image data in an image memory (not shown), and displays the image of the secondary electron beam in the display unit 62, and also performs a defect detecting processing, etc. by means of image processing corresponding to an inspection object. In this apparatus, a Koehler illumination system is formed to make the primary electron beam Bp perpendicularly incident on the specimen S. Heretofore, in order to form the Koehler illumination system, a measure has been used to make a focus FPp of the primary electron beam coincide with a focus FPs of the secondary electron beam. The measure can be realized by deflecting the primary electron beam Bp with the Wien filter 41 so that the focus FPp of the primary electron beam coincide with the focus FPs of the secondary electron beam between the Wien filter 41 and the cathode lens 21. However, between the Wien filter 41 and the specimen S there exists space where the trajectory of the primary electron beam Bp overlap with the trajectory of the secondary electron beam Bs (see FIG. 5). In this space, the influence of the electron-electron interaction, i.e. the so-called space charge effect becomes significant due to the high electron density in this space, thereby causing a problem that the aberration of the secondary electron beam Bs is increased. In particular, since the current density of each beam is the highest in any of the focus position FPp of the primary electron beam and the focus position FPs of the secondary electron beam, in the case where the focus FPp of the primary electron beam is made to coincide with the focus FPs of the secondary electron beam, the aberration of the secondary electron beam Bs is significantly increased by the synergistical action of the space charge effect. In order to reduce the aberration of the secondary electron beam Bs, it is most effective to shrink the space charge effect in the focus position FPs of the secondary electron beam. The substrate inspection apparatus 1 according to the present embodiment controls deflection of the primary electron beam Bp by means of the deflector 68 arranged between the multistage quadrupole lenses 21 and the Wien filter 41, and thereby suppresses the increase of aberration due to the space charge effect of the secondary electron beam Bs without considerably impairing the Koehler illumination for the primary electron beam Bp. The deflector 68 is connected to the deflection control unit 70, and the deflection control unit 70 is further connected to the host computer 60. The host computer 60 generates a control signal for deflecting the primary electron beam Bp so that the space charge effect in the focus position FPs of the secondary electron beam is reduced and thereby the resolution of the secondary electron beam image is improved, and transmits the control signal to the deflection control unit 70. The deflection control unit 70 receives the control signal from the host computer 60, and applies a deflection voltage corresponding to the control signal to each electrode of the deflector 68, whereby the deflector 68 forms a deflection field to deflect the primary electron beam Bp. When the primary electron beam Bp is deflected excessively, however, the center of illumination area on the specimen S is largely deviated from the optical axis As of the secondary optical system, so that the primary electron beam Bp is not irradiated sufficiently to the intersection of the optical axis As of the secondary optical system and the surface of the specimen S and to the vicinity of the intersection, where the lowest aberration is expected. As a result, sufficient quantity of the secondary electrons/reflected electrons/backscattering electrons are not emitted from the intersection of the optical axis As of the secondary optical system and the surface of the specimen S and from the vicinity of the intersection, so that the S/N of signals outputted from the imaging element 34 is lowered so as to deteriorate the inspection image. The host computer 60 performs control to optimize the deflection of the primary electron beam Bp within a range in which these adverse effects cause no problem in the inspection. Thus, as in the electron beam trajectory figure shown in FIG. 5, the host computer 60 performs the deflection control of the primary electron beam Bp so as to make a focal surface FS1 of the primary electron beam Bp coincide with a focal surface FS2 of the secondary electron beam Bs, and to prevent the focus position FPs of the secondary electron beam where the current density of the secondary electron beam is the highest in the above described overlapping space from coinciding with the focus position FPp of the primary electron beam where the current density of the primary electron beam Bp is the highest in the overlapping space. Thereby, the increase of aberration of the secondary electron beam Bs due to the space charge effect can be effectively suppressed without considerably impairing the Koehler illumination for the primary electron beam Bp. In the present embodiment, the overlapping space of the primary electron beam Bp and the secondary electron beam Bs is shrunk by controlling the trajectory of the primary electron beam Bp, but the embodiment is not limited to the case, it is also possible to shrink the above described overlapping space by controlling the trajectory of the secondary electron beam Bs. FIG. 6 is a block diagram schematically showing a configuration of a substrate inspection apparatus according to a second embodiment of the present invention, and FIG. 7 is an electron beam trajectory figure explaining a substrate inspection method according to the present embodiment of the present invention. A substrate inspection apparatus 3 according to the present embodiment is characterized in that rotationally symmetric round hole electrodes 72, 82 which are arranged between the Wien filter 41 and the cathode lens 21 and which are connected to power supplies 74, 84, respectively, are further provided, and in that the secondary electron beam Bs is accelerated in the overlapping space of the primary electron beam Bp and the secondary electron beam Bs, by an acceleration electric field formed by the electrodes 72, 82. FIG. 8 is a perspective view showing the electrode 72. FIG. 9A shows a top view of the electrode 72 and FIG. 9B shows a side view along the line A-A in FIG. 9A. As typically shown in FIG. 8, FIG. 9A and FIG. 9B, the electrodes 72, 82 are provided at the center thereof with a round hole for allowing the passage of the secondary electron beam Bs, respectively. Returning to FIG. 6, the electrode voltage applying power supplies 74, 84 are further connected to the host computer 60. The host computer 60 generates a control signal to form the acceleration electric field to accelerate the secondary electron beam Bs, and supplies the signal to the power supplies 74, 84. The electrode voltage applying power supplies 74, 84 apply voltages in accordance with the control signal from the host computer 60 to the electrodes 72, 82, so as to accelerate the secondary electron beam Bs between the electrodes 72, 82. In order to form the acceleration electric field for the secondary electron beam Bs, for example, it is only necessary that a positive voltage is applied to the electrode 72 and a positive voltage lower than the positive voltage applied to the electrode 72 or a negative voltage or 0 voltage is applied to the electrode 82. Since the current density is the highest at the focus position of the secondary electron beam Bs, in the case where the focus position of the secondary electron beam Bs is included in the space where the secondary electron beam Bs is accelerated, the increase of aberration of the secondary electron beam Bs due to the space charge effect can be effectively suppressed without impairing the Koehler illumination system for the primary electron beam Bp. In the present embodiment, as shown in the electron beam trajectory figure in FIG. 7, the electrode 72 and the electrode 82 are arranged so that the focal surface FS1 of the primary electron beam coincides with the focal surface FS2 of the secondary electron beam, that the focus position FPp of the primary electron beam where the current density of the primary electron beam Bp is the highest in the overlapping space of the primary electron beam Bp and the secondary electron beam Bs, coincides with the focus position FPs of the secondary electron beam where the current density of the secondary electron beam Bs is the highest in the above described overlapping space, and that the focal surface FS2 of the secondary electron beam exists between the electrode 72 and the electrode 82. The use of the above described substrate inspection method in the manufacturing process of a semiconductor device enables a semiconductor device to be inspected with high sensitivity and to thereby be manufactured in a short TAT (Turn Around Time) and with a high yield. |
|
description | This patent application claims the benefit of priority under 35 U.S.C. §119 from Korean Patent Application No. 10-2009-002904 filed on Jan. 14, 2009, the contents of which are incorporated herein by reference. 1. Field of the Invention The present invention relates to a fully passive decay heat removal system utilizing a partially immersed heat exchanger, the system comprising: a hot pool having received therein hot sodium heated by a nuclear reactor core; an intermediate heat exchanger which heat-exchanges with the sodium of the hot pool; a cold pool having received therein cold sodium cooled by passage through the intermediate heat exchanger, the cold pool being isolated from the hot pool; a support barrel extending vertically through the boundary between the hot pool and the cold pool, in which the upper end of the support barrel is higher than the liquid level of the hot pool, and the lower end thereof penetrates into the cold pool; a sodium-sodium decay heat exchanger received in the support barrel in order to remove decay heat from the inside of the nuclear reactor; a sodium-air heat exchanger which is provided at a position higher than the sodium-sodium decay heat exchanger; an intermediate sodium loop for heat removal which connects the sodium-sodium decay heat exchanger with the sodium-air heat exchanger; and a primary pump which pumps the sodium of the cold pool to the hot pool via the nuclear reactor core in a normal operating state to maintain the liquid level difference between the hot pool and the cold pool, such that the liquid level of the hot pool is higher than that of the cold pool, wherein a portion of the effective heat transfer tube of the sodium-sodium decay heat exchanger is immersed in the cold pool, particularly in a normal operating state, and the surface of the lower end of a shroud for the sodium-sodium decay heat exchanger, the lower end being immersed in the sodium of the cold pool, has perforated holes. 2. Description of the Prior Art Sodium-cooled fast reactors which are currently developed are provided with a safety grade decay heat removal system to remove decay heat produced in the reactor core due to emergency reactor shutdown when the normal heat removal paths, which are connected to the reactor core, an intermediate heat exchanger (IHX) and a steam generator (SG), are lost. Till now, in a variety of liquid-metal-cooled fast reactors (hereinafter referred to as “liquid-metal reactors”), including sodium-cooled fast reactors, a passive safety-grade decay heat removal system has been used to enhance safety. For example, a decay heat removal system for a pool-type liquid-metal reactor is designed such that the heat of the system can be effectively removed by natural coolant circulation using the thermal inertia of a hot pool disposed above the reactor core outlet. In the case of a conventional large-scale pool-type nuclear reactor, as shown in FIG. 1, a fully immersed-type decay heat exchanger (DHX) 3 through which the sodium of an intermediate sodium loop 2 for heat removal flows is installed in a hot pool 1 filled with the sodium of the primary circuit, and a sodium-air heat exchanger (AHX) 4 is installed at the upper portion of the nuclear reactor building. Thus, through a density difference resulting from a height difference of more than 20 m formed between the source to which heat is transferred (i.e., DHX) and the source from which heat is removed (i.e., AHX), sodium for heat removal naturally circulates in the intermediate sodium loop 2 for heat removal, which is separately provided, and the heat of the primary circuit is removed by the air which is the ultimate heat sink. This method is called “direct reactor cooling” (DRC). However, in the design concept of such direct reactor cooling, the heat exchange between the hot pool 1 and the sodium-air heat exchanger occurs continuously even during normal operation. Thus, in order to prevent heat loss during normal operation, the flow rate of air into the sodium-air heat exchanger 4 is controlled by installing a separate isolation valve 5 in the intermediate sodium loop 2 or installing a damper 6 in an inlet/outlet pipe for the air, so that solidification of the intermediate sodium loop 2 for heat removal is prevented and the amount of heat loss during normal operation is controlled. Accordingly, although the intermediate sodium loop 2 for heat removal performs the heat removal function by natural coolant circulation, a starting signal for operating the system is produced either by the intervention of an operator or by a trip signal, and thus the system is designed such that active devices provided with a deriving unit such as the damper 6 or the isolation valve 5 are operated. For this reason, strictly speaking, the system shown in FIG. 1 is barely classifiable as a fully passive system. To overcome the incompleteness of this passive system, another prior art shown in FIG. 2 utilizes a fully passive decay heat removal system for a pool-type liquid-metal reactor. In such a fully passive decay heat removal system, a sodium-sodium decay heat exchanger (DHX) support barrel 12 made of a vertical cylindrical tube, which communicates a hot pool 10 with a cold pool 11, is disposed in the reactor pool area, and a sodium-sodium decay heat exchanger 13 is disposed on the free surface of the cold pool using the liquid level difference between the hot pool 10 and the cold pool, which is maintained by the head of a primary pump (not shown), such that it does not make direct contact with the sodium. Thus, the system shown in FIG. 2 is designed such that direct contact between the sodium-sodium decay heat exchanger 13 and the sodium of the cold pool 11 during normal operation can be fundamentally prevented, so that unnecessary heat loss during normal operation can be minimized without requiring the use of either an isolation valve in an intermediate sodium loop 15 for heat removal or a damper in the air inlet/outlet of a sodium-air heat exchanger 14. Particularly, such a design concept adopts the concept in which the decay heat of the system is dispersed into the ultimate heat sink (atmosphere) by a vigorous convection heat transfer between the sodium of the primary loop and the intermediate sodium loop 15 for heat removal, only when the sodium liquid level rises due to a shutdown of the nuclear reactor and the primary pump resulting from loss of the normal heat removal paths. Thus, this design concept makes it possible to realize a passive decay heat removal system for large-scale nuclear reactors, which adopts a fully passive concept and can improve operational reliability. However, the prior art shown in FIG. 2 does not utilize an air flow control device such as a damper in the sodium-air heat exchanger 14 to realize the fully passive concept. Thus, during the winter season when the temperature of outdoor air falls below zero or during the refueling operation when the temperature of the nuclear reactor pool sodium falls to 200° C. (for reference, the average temperature of the sodium of the nuclear reactor pool is 467° C.), there is a possibility for the sodium of the intermediate sodium loop to be solidified or frozen. The melting point of sodium is about 98° C., and thus when an outdoor air temperature of −40° C. which is adopted as the design standard is introduced into the air inlet of the sodium-air heat exchanger 14, there is a high possibility for the sodium in the sodium heat transfer tube of the sodium-air heat exchanger 14 to be solidified. In this prior art, if solidification occurs in the intermediate sodium loop 15, particularly the sodium heat transfer tube of the sodium-air heat exchanger 14, the paths for removing decay heat by natural circulation from the nuclear reactor pool into the atmosphere will be closed, so that the safety of the nuclear power plant will be seriously deteriorated. Accordingly, a method capable of preventing the sodium of the intermediate sodium loop from solidifying during the entire operating period of a nuclear power plant, including the operational standby period, is necessarily required. The solidification of sodium in the intermediate sodium loop of the prior passive decay heat removal circuit (PDRC) adopting the fully passive concept and direct reactor cooling occurs for the following reason. The circuit is designed such that heat transfer from the sodium pool of the primary circuit to the sodium of the intermediate sodium loop during normal operation is achieved only by the radiation heat transfer mechanism without direct contact of the sodium, and thus the temperature of sodium in the intermediate sodium loop does not sufficiently rise depending on outdoor air conditions due to the influence of radiation heat transfer resistance which is much higher than convection or conduction heat transfer resistance. Thus, in order to satisfy the design standard, the supply of heat should be stably secured, such that the solidification of sodium in the intermediate sodium loop is prevented during the entire operating period of the nuclear power plant, including the normal operating mode. However, there are disadvantages in that it is difficult only by radiation heat transfer to supply heat in an amount sufficient for preventing the solidification of sodium in the intermediate sodium loop in the normal operating mode and for forming the fundamental circulation of a coolant in the operational standby mode and in that the operational reliability of the safety system is significantly reduced, because design uncertainty in interpretative methodologies for the surface emissivity and radiation heat transfer of the internal structures of the nuclear reactor is great. Particularly, the prior art shown in FIG. 2 provides neither a method nor equipment, which copes with the case in which the temperature of outdoor air falls below zero, and serves to prevent sodium in the intermediate sodium loop from solidifying at the refueling temperature (200° C.) of a nuclear power plant. Furthermore, the most urgent problem to be solved in the prior art can be considered to be the operating performance of the fully passive decay heat removal circuit (PDRC) in the case in which the pump of the primary circuit is operated without being shut down after the shutdown of the nuclear reactor. In this case, because the liquid level difference between the hot pool and the cold pool can be maintained by the operation of the pump, the liquid level of the cold pool can rise. For this reason, it is impossible to perform the smooth heat removal function of the fully passive decay heat removal circuit (PDRC), and a serious failure to perform the natural function of the safety system can occur. Accordingly, there is needed a design concept in which a given quantity of heat removal function can be provided even when the liquid level difference between the hot pool and the cold pool is maintained. However, the prior art does not describe a proper method for ensuring operational reliability and stable performance related therewith. In addition, in the transition stage in which the normal heat removal function is lost so that the safety system is regularly operated, there is a possibility in which an overflow of sodium introduced from the hot pool can be formed into undesirable bypass flow in a ring-shaped space between the sodium-sodium decay heat exchanger (DHX) support barrel and the sodium-sodium decay heat exchanger (DHX) shroud without being introduced into the sodium-sodium decay heat exchanger in the DHX support barrel. In this case, it is expected that the flow of operating fluid which is used for heat removal will decrease, leading to deterioration in the heat removal performance of the sodium-sodium decay heat exchanger. However, the prior art provides neither any method nor improved design concept for a loop structure which can also reduce pressure loss without deteriorating the formation of the primary circulation flow passing through the sodium-sodium decay heat during the transition stage and normal operation. It is an object of the present invention to provide a fully passive decay heat removal system for sodium-cooled fast reactors, which has neither a separate isolation valve mounted in an intermediate sodium loop for heat removal nor a damper installed in the air inlet/outlet tube of a sodium-air heat exchanger, and thus can eliminate the possibility of intervention of an operator while fundamentally eliminating all kinds of factors reducing operational reliability, including the possibility of solidification of sodium in the intermediate sodium loop during a period encompassing the entire operating period of a nuclear power plant, and can significantly improve operating performance in a transition stage. To achieve the above object, the present invention provides a fully passive decay heat removal system utilizing a partially immersed heat exchanger, the system comprising: a hot pool having received therein hot sodium heated by a nuclear reactor core; an intermediate heat exchanger which heat-exchanges with the sodium of the hot pool; a cold pool having received therein cold sodium cooled by passage through the intermediate heat exchanger, the cold pool being isolated from the hot pool; a support barrel extending vertically through the boundary between the hot pool and the cold pool, in which the upper end of the support barrel is higher than the liquid level of the hot pool, and the lower end thereof penetrates into the cold pool; a sodium-sodium decay heat exchanger received in the support barrel in order to remove decay heat from the inside of the nuclear reactor; a sodium-air heat exchanger which is provided at a position higher than the sodium-sodium decay heat exchanger; an intermediate sodium loop for heat removal which connects the sodium-sodium decay heat exchanger with the sodium-air heat exchanger; and a primary pump which pumps the sodium of the cold pool to the hot pool via the nuclear reactor core in a normal operating state to maintain the liquid level difference between the hot pool and the cold pool, such that the liquid level of the hot pool is higher than that of the cold pool, wherein a portion of the effective heat transfer tube of the sodium-sodium decay heat exchanger is immersed in the cold pool, particularly in a normal operating state, and the surface of the lower end of a shroud for the sodium-sodium decay heat exchanger, the lower end being immersed in the sodium of the cold pool, has perforated holes. In the present invention, the length of the effective heat transfer tube of the sodium-sodium decay heat exchanger, which is immersed in the cold pool, is preferably ⅓ of the total length of the effective heat transfer tube. Also, the shroud of the sodium-sodium decay heat exchanger may be formed so as to extend beyond the lower end of the sodium-sodium decay heat exchanger, and the extended portion of the shroud may be formed in the form of a baffle cut. Herein, the lower end of the shroud formed so as to extend beyond the lower end of the sodium-sodium decay heat exchanger is preferably located at the vertically middle portion of a reactor separation plate. Also, a heat transfer tube distributor connecting a sodium flow downcomer of the sodium-sodium decay heat exchanger with the heat transfer tubes may be formed of a tube sheet of the same outer diameter as that of the sodium flow downcomer. In addition, a flow guide plate which induces the sodium of the hot pool, which overflows the support barrel upon loss of the normal heat removal function, to a heat transfer tube inlet formed above the shroud of the sodium-sodium decay heat exchanger, may further be provided immediately below the heat transfer tube inlet. A space through which the sodium of the cold pool, which flows upward in the support barrel upon loss of the normal removal function, can pass is preferably formed between the support barrel and the shroud. In an embodiment of the present invention, the flow guide plate consists of a perforated ring plate which is inserted into a ring-shaped space formed between the support barrel and the shroud. Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings. FIG. 3 is a cross-sectional view showing the configuration of a sodium-cooled fast reactor having applied thereto a fully passive decay heat removal system 100 according to the present invention, and FIG. 4 schematically shows the configuration of a fully passive decay heat removal system 100 according to the present invention. As shown in FIGS. 3 and 4, the fully passive decay heat removal system 100 according to the present invention comprises: a hot pool 120 having received therein hot sodium heated by a nuclear reactor core 110; an intermediate heat exchanger 112 which heat-exchanges with the sodium of the hot pool 120; a cold pool 130 having received therein cold sodium cooled by passage through the intermediate heat exchanger 112, the cold pool being isolated from the hot pool 120; a support barrel 140 extending vertically through the boundary between the hot pool 120 and the cold pool 130, in which the upper end of the support barrel 140 is higher than the liquid level of the hot pool 120, and the lower end thereof penetrates into the cold pool 130; a sodium-sodium decay heat exchanger 150 received in the support barrel 140 in order to remove decay heat from the inside of the nuclear reactor; a sodium-air heat exchanger 160 which is provided at a position higher than the sodium-sodium decay heat exchanger 150; an intermediate sodium loop 170 for heat removal which connects the sodium-sodium decay heat exchanger 150 with the sodium-air heat exchanger 160; and a primary pump 180 which pumps the sodium of the cold pool 130 to the hot pool 120 via the nuclear reactor core 110 in a normal operating state to maintain the liquid level difference between the hot pool 120 and the cold pool 130, such that the liquid level of the hot pool is higher than that of the cold pool 130. In particular, the present invention is characterized in that a portion of the effective heat transfer tube length (L) of the sodium-sodium decay heat exchanger 150 is immersed in the sodium of the cold pool 130 in a normal operating state. In the prior art as shown in FIG. 4 and FIG. 5a, the heat transfer tube 24 of the sodium-sodium decay heat exchanger 13 is arranged so as to be completely isolated from the sodium of the cold pool 11, and thus only a small amount of heat resulting from radiation heat transfer is transferred to the intermediate sodium loop 15. Thus, in the present invention, in order to fundamentally improve standby performance including the prevention of sodium solidification in the intermediate sodium loop 170 for heat removal, the thermal center of the sodium-sodium decay heat exchanger 150 is down-regulated as shown in FIG. 4 to optimize the vertical arrangement of the effective heat transfer tube, such that a portion of the effective heat transfer tube makes direct contact with the sodium of the cold pool 130. Accordingly, the amount of heat transfer from the cold pool 130 of the nuclear reactor to the intermediate sodium loop 170 is increased by convection or conduction heat transfer resistance which is much lower than radiation heat transfer resistance. When the design concept of the partially immersed sodium-sodium decay heat exchanger 150 is applied as described above, a portion of the effective heat transfer tube of the sodium-sodium decay heat exchanger 150 makes direct contact with the sodium of the cold pool 130, while effective heat transfer is performed by the conduction or convection heat transfer mechanism. Particularly in an embodiment of the present invention, the immersed length of the effective heat transfer tube of the sodium-sodium decay heat exchanger 150, which is immersed in the sodium region of the cold pool 130, is ⅓ of the total effective transfer tube length (L). In this case, the thermal center of the sodium-sodium decay heat exchanger 150 moves downward, while the difference in thermal center between the sodium-air heat exchanger 160 and the sodium-sodium decay heat exchanger 150 in the intermediate sodium loop 170 for heat removal is increased by about 0.6 m, whereas the difference in thermal center between the sodium-sodium decay heat exchanger 150 and the reactor core 110 is decreased by about 0.6 m. When transition performance associated with this change in thermal center was analyzed, it was shown that a change in thermal center of less than about 1.0 m did not substantially influence the long-term cooling behavior of the fully passive decay heat removal system. Meanwhile, when examining the region of the heat transfer tube 154 of the sodium-sodium decay heat exchanger 150 immersed in the sodium region of the cold pool 130 during normal operation, the sodium of the cold pool 130 provided in the lower space of the sodium-sodium decay heat exchanger 150, which is defined radially by the shroud 158 of the sodium-sodium decay heat exchanger 150, can be locally over-cooled, because heat is continuously removed by the heat transfer tube 154 of the sodium-sodium decay heat exchanger 150. Ultimately, a very low sodium temperature can be formed in the streamline direction of sodium flowing in the sodium-sodium decay heat exchanger 150. Accordingly, in order to prevent local overcooling of sodium in the shroud 158 of the sodium-sodium decay heat exchanger 150 which is immersed in the sodium region of the cold pool 130, the present invention utilizes a partially perforated shroud, obtained by partially perforating a portion of the sodium-sodium decay heat exchanger shroud 158 that corresponds to the immersed length of the heat transfer tube of the sodium-sodium decay heat exchanger 150 which is immersed in the sodium of the cold pool 130 during normal operation. Accordingly, even during a normal operating period, the non-overcooled sodium of the cold pool 130 provided in the ring-shaped space formed between the inside of the support barrel 140 of the sodium-sodium decay heat exchanger 150 and the shroud 158 of the sodium-sodium decay heat exchanger 150 can be smoothly circulated to the region of the cold pool 130, after it passes through the perforated shroud 158 and makes contact with the heat transfer tube 154 inside the shroud 158 of the sodium-sodium decay heat exchanger 150 which is immersed in the sodium. Herein, only a portion of the shroud 158 which is immersed in the sodium region of the cold pool 130 is preferably perforated without most of the surface of the shroud 158 being perforated, such that a portion of the sodium flow of the hot pool 120 which overflows the sodium-sodium decay heat exchanger 140 in a transition stage is unnecessarily lost, whereby the heat exchange performance of the sodium-sodium decay heat exchanger 150 is not deteriorated. According to the present invention, because the partially immersed sodium-sodium decay heat exchanger 150 is used, the configuration of the heat transfer tube 154 of the sodium-sodium decay heat exchanger 150 is improved as shown in FIG. 5b in order to prevent the above-described local overcooling of sodium. Specifically, the prior-art heat transfer tube 24 shown in FIG. 5a has a configuration in which a hemispherical chamber 22 is coupled to the lower end of a sodium flow downcomer 20 of a sodium-sodium decay heat exchanger 13 and in which heat transfer tubes 24 are connected to the chamber 22. For this reason, in the prior art, the flow path of the ring-shaped space formed between the inside of the support barrel of the sodium-sodium decay heat exchanger 13 and the shroud of the sodium-sodium decay heat exchanger 13 is significantly interfered with by the hemispherical chamber 22. In comparison with this, in the sodium-sodium decay heat exchanger 150 according to the present invention, the sodium chamber at the lower end of the heat transfer tubes 154 is altered to be a tube sheet-type heat transfer tube distributor 155 for the distribution/connection of the heat transfer tubes, such that sodium can be discharged directly into the space of the cold pool 130 without infiltrating the flow path of the ring-shaped space. Accordingly, process loss caused by the decrease in flow area is minimized, and the overcooling of sodium at the lower portion of the sodium-sodium decay heat exchanger 150, and the local solidification of sodium are fundamentally prevented from occurring due to the stagnation of the flow of sodium. This improvement in the structure of the heat transfer tube distributor 155 can reduce stress caused by thermal expansion or the like compared to the existing hemispherical chamber 22, and thus is considered to be more appropriate for the design of the heat transfer tubes 154 of the sodium-sodium decay heat exchanger 150 in which the change in temperature is relatively severe compared to devices (e.g., the intermediate heat exchanger 112) which are used during normal operation. Also, as shown in FIG. 6, the lower portion of the shroud 158 of the sodium-sodium decay heat exchanger can be manufactured in a long cylindrical form without being cut at the lower end of the heat transfer tubes 154, such that it can be provided in the form of a baffle cut corresponding to a kind of flow baffle (see a portion indicated by “B/C” in FIG. 6). Accordingly, the hot sodium flowing toward the sodium-sodium decay heat exchanger 150 by an overflow from the region of the hot pool 120 in a transition stage can be smoothly discharged into the sodium region of the cold pool 130, after it passes through the entire region of the heat transfer tubes. In an embodiment of the present invention, the baffle cut portion at the lower portion of the shroud 158 whose surface is not perforated is configured such that it extends from the portion just below the tube sheet-type heat transfer tube distributor 155 to the middle portion of a reactor separation plate 125 which is the region of the cold pool 130. Particularly, in the present invention, the sodium flow downcomer 152 of the sodium-sodium decay heat exchanger 150 and the heat transfer tube distributor 155 are provided in a tube sheet form, such that the flow resistance of sodium can be minimized. Thus, if the length of the extended portion of the shroud 158 connected integrally to the lower end of the sodium-sodium decay heat exchanger 150 is designed such that it is sufficiently long within the range that does not impair the general arrangement of internal structures of the nuclear reactor and the sodium flow of the cold pool 130 (for example, if it is designed such that the lower end of the shroud 158 is located at the middle portion of the reactor baffle), a sufficient density difference for the local circulatory flow of a coolant in the sodium-sodium decay heat exchanger shroud 140, which leads to “cold pool-DHX inlet-DHX heat transfer tube region-DHX outlet region-cold pool” can be provided, thus making it possible to secure proper heat transfer performance. In addition, the fully passive decay heat removal system of the present invention may further comprise a flow guide plate 190 which induces sodium overflowing from the hot pool 120 to smoothly flow into the heat transfer tube inlet 156 of the sodium-sodium decay heat exchanger 150, such that the formation of the circulating flow of sodium can be smoothly achieved in a transition stage in the event of loss of the normal heat removal function (see FIG. 7). Herein, as shown in FIG. 8, the flow guide plate 190 which is disposed immediately below the heat transfer tube inlet 156 of the sodium-sodium decay heat exchanger 150 can be designed as a perforated ring plate which is inserted into the ring-shaped space formed between the support barrel 140 and the shroud 158. Accordingly, the sodium of the cold pool 130, which flows upward in the sodium-sodium decay heat exchanger support barrel 140 when the primary pump 180 of the nuclear reactor is shut down, smoothly rises to the vicinity of the liquid level of the hot pool 120 through the flow guide plate 190, such that it dose not impair the formation of overflow. The configuration of the flow guide plate 190 may have, in addition to the perforated ring plate shown in FIG. 8, other configurations in which the space through which the sodium of the cold pool 130 flowing upward in the support barrel can pass is formed between the support barrel 140 and the shroud 158. For example, it is also possible to use a flow guide plate 190 consisting of a plurality of plates connecting the support barrel 140 with the shroud 158, just like umbrella spokes. FIGS. 9a to 9c show the operational concepts of the fully passive decay heat removal system 100 for sodium-cooled fast reactors, which utilizes the partially immersed heat exchanger. FIG. 9a shows a normal operating state. As shown therein, the sodium of the cold pool 130 is filled up to ⅓ of the effective heat transfer tube length (L) of the sodium-sodium decay heat exchanger 150, and the sodium of the hot pool 120 is located outside the sodium-sodium decay heat exchanger support barrel 140. Most of the outside of the sodium-sodium decay heat exchanger support barrel 140, which corresponds to the effective heat transfer tube length (L), is surrounded by a pool buffer region, and during normal operation, the sodium of the cold pool 130 continuously locally circulates through the partially perforated shroud 158 of the sodium-sodium decay heat exchanger 150 to the region of the cold pool 130. Particularly, in the present invention, because the sodium of the cold pool 130 occupies a portion of the effective heat transfer tube length (L) of the sodium-sodium decay heat exchanger 150, and preferably a portion corresponding to ⅓ of the effective heat transfer tube length, a given amount of heat is dispersed via the intermediate sodium loop 170 into the atmosphere even during normal operation, and thus a continuous forward flow of sodium is formed in the intermediate sodium loop. FIG. 9b shows the operating state before the overflow of the hot pool 120 occurs in a transition stage in the event of loss of the normal heat removal function. As shown therein, the heat transfer tubes 154 of the sodium-sodium decay heat exchanger 150, which have been brought into partial contact with the sodium of the cold pool 130 during normal operation, make direct contact with the sodium of the cold pool 130 because the liquid level of sodium has risen simultaneously with the shut down of the primary pump 180. By a heat flux flowing from the inner circumferential surface of the sodium-sodium decay heat exchanger support barrel surrounded by the hot pool 120, sodium filled in the ring-shaped space consisting of the inner circumferential surface of the sodium-sodium decay heat exchanger support barrel 140 and the outer circumferential surface of the sodium-sodium decay heat exchanger shroud 158 is heated. Thus, by the density difference between the heated sodium in the ring-shaped space and the cold sodium in the heat transfer tubes 154 of the sodium-sodium decay heat exchanger, a local sodium flow is formed while heat removal is continuously performed even before the flow overflows to the sodium-sodium decay heat exchanger 150. FIG. 9c shows the operating state after the overflow of the hot pool 120 occurs in a transition stage in the event of loss of the normal heat removal function. As shown therein, the hot sodium is introduced directly into the sodium-sodium decay heat exchanger 150 by the overflow of the hot sodium resulting from the expansion of the sodium of the hot pool 120, while a regular heat removal function is being performed. The sodium overflow from the hot pool 120 is mixed with a sodium coolant in the support barrel 140 while being introduced into the sodium-sodium decay heat exchanger 150. At this time, a smooth flow path between the hot pool 120 and the cold pool is secured, because the flow guide plate 190 inducing flow to the heat transfer tube inlet 156 of the sodium-sodium decay heat exchanger 150 is provided. As described above, in the fully passive decay heat removal system according to the prior art, an isolation valve in the intermediate sodium loop or a damper in the air inlet/outlet of the sodium-air heat exchanger was eliminated, thus enhancing the passive property of the system. However, in the case in which the temperature of outdoor air falls below zero or in which the average temperature of sodium in the nuclear reactor pools during the refueling period of a nuclear power plant falls to 200° C., the possibility of solidification of sodium in the intermediate sodium loop for heat removal was increased, thus making it difficult to secure the standby reliability of the safety system. Contrary to this, the structure of the partially immersed sodium-sodium decay heat exchanger (partially immersed DHX) according to the present invention can fundamentally improve the mechanism of heat transfer to the intermediate sodium loop during normal operation, thus making possible the guarantee that a sufficient amount of heat transfer required for the prevention of solidification of sodium takes place. Accordingly, it can reduce the possibility of solidification of sodium in the intermediate sodium loop for heat removal over the entire operating period of a nuclear power plant to minimize the possibility of the functional loss of the heat removal loop resulting from sodium solidification, thus significantly improving the standby reliability of the safety system. In addition, according to the present invention, the design associated with the arrangement of the sodium-sodium decay heat exchanger is improved in order to prevent the local overcooling of sodium in the cold pool region from occurring due to the adoption of the partially immersed sodium-sodium decay heat exchanger. Particularly, by optimizing a flow path configuration in connection with the formation of circulating flow in the sodium coolant pool of a nuclear reactor in a transition state, stable heat removal performance can be secured not only in a normal operating state, but also in a transition stage. Although the preferred embodiments of the present invention have been described for illustrative purposes, those skilled in the art will appreciate that various modifications, additions and substitutions are possible, without departing from the scope and spirit of the invention as disclosed in the accompanying claims. |
|
abstract | The present invention relates to a nuclear reactor, more precisely a passive safety device applicable to a thermal neutron reactor and a nuclear fuel assembly equipped with the same. The nuclear fuel assembly for a thermal neutron reactor of the present invention includes multiple fuel rods; multiple guide thimbles arranged between the fuel rods; and a passive safety device including neutron absorber parts which are inserted in one or more guide thimbles. |
|
description | This U.S. non-provisional patent application claims priority under 35 U.S.C. §119 to Korean Patent Application 2005-89365 filed on Sep. 26, 2005, the entire contents of which are hereby incorporated by reference. 1. Field of Invention Example embodiments of the present invention relate to semiconductor manufacturing equipment and methods thereof. In particular, example embodiments of the present invention relate to an electrostatic chuck (ESC) with a temperature sensing unit, exposure equipment having the ESC, and a method of detecting temperature from the surface of a photomask. 2. Description of Related Art A photolithography process, which may be one of the processes performed during semiconductor fabrication, generally includes the operations of coating a photoresist on a wafer, exposing the photoresist of a wafer illuminated by light that is projected or reflected on a desired and/or predetermined photomask (reticle) and the wafer coated with the photoresist, and developing to form a circuit pattern by imprinting the photoresist on the wafer exposed by the light. At least in part due to the desire for high integration semiconductor devices, increasingly small and precise photolithography processes are being used and/or required to form photoresist patterns. A permissible width of the photoresist may be determined by Rayleigh's Equation.R=k1*λ/NA (Rayleigh's Equation)In the above equation, R is resolution, k1 is a process constant, λ is a wavelength of light source, and NA is a diameter of lens. The resolution R in Rayleigh's Equation may be decreased by decreasing the process constant k1, shortening the wavelength λ, and/or enlarging the lens diameter NA. Shortening the wavelength of light to less than the wavelength of light produced by a Krypton Flouride (KrF) laser having a 248 nm wavelength or shortening the wavelength of light to less than the wavelength of light produced by an Argon Flouride (ArF) laser having 193 nm wavelength may involve conducting an exposure process using an extreme ultraviolet (EUV) light source. The EUV light source may have a wavelength of 13.4 nm, for example. However, a conventional exposure process using EUV are different from exposure processes using a KrF light source having a wavelength of 248 nm or ArF light source having a wavelength of 193 nm. For example, a conventional exposure process using a KrF light source or ArF light source may proceed at atmosphere and may employ a photomask that transmits light. Edges of the transparent or semi-transparent photomask may be fixed to a chuck by an absorption force in a conventional device. However, because EUV has a very short wavelength that may be about 13.4 nm, EUV light is generally absorbed or becomes extinct while passing through most media, for example, air or quartz. In order to prevent the absorption or extinction of EUV light, an exposure process with EUV light may be conducted almost in a vacuum and may use a reflective photomask. In conventional exposure processes using EUV light, the photomask may locally heat up because of the irradiation of light, which may result in the deformation of patterns formed on the photomask. For example, the size and shape of the patterns may vary due to thermal expansion. Further, because quartz, which is generally used as a substrate, has a small thermal conductivity, temperature is irregularly distributed over the substrate. Thus, circuit patterns may vary based on variations in temperature, which may cause difficulty in completing desired patterns. Example embodiments of the present invention are directed to an electrostatic chuck having a temperature sensing unit to detect temperature from the surface of a photomask and exposure equipment including an electrostatic chuck according to an example embodiment of the present invention. Example embodiments of the present invention also provide a method of detecting temperature from the surface of a photomask. According to an example embodiment of the present invention, a temperature sensing unit may be included in an electrostatic chuck of exposure equipment and may detect temperature from a surface of a photomask. According to an example embodiment of the present invention, processing conditions, for example, stopping an exposure process may be controlled. For example, an exposure process may be stopped when the temperature of the photomask increases over a threshold and/or is outside of a permissible range. Accordingly, an exposure process may be stopped before distortion and/or deformation of circuit patterns may occur. A temperature sensing unit may include a multi-wavelength interferometer for obtaining a refractive index and temperature according to an example embodiment of the present invention. An example embodiment of the present invention provides an electrostatic chuck. The ESC may be included in exposure equipment and may be used to support a reflective photomask. The ESC may include a first insulation portion on which the photomask is arranged; an electrode to which a voltage is applied disposed under the first insulation portion; a second insulation portion disposed under the electrode; and one or more openings formed penetrating the first insulation portion, the electrode, and the second insulation portion. Each of the one or more openings may function as an optical path used to detect temperature from light incident on a side of the photomask. According to an example embodiment of the present invention, an ESC may also include a temperature sensing unit disposed under the second insulation portion, which may detect temperature from the surface of the photomask. A temperature sensing unit according to an example embodiment of the present invention may include a multi-wavelength interferometer, a first evaluator, a second evaluator and a third evaluator. The multi-wavelength interferometer may provide light incident on the backside of the photomask through the one or more openings and may measure the intensity of the light interfering with each other. The first evaluator may obtain reflectance of the photomask from the intensity. The second evaluator may obtain a refractive index of the photomask from the reflectance. The third evaluator may obtain the temperature of the surface of the photomask from the refractive index. A reflective photomask according to an example embodiment of the present invention may include a quartz substrate, a reflection film on the quartz substrate, and a circuit pattern on the reflection film. A multi-wavelength interferometer according to an example embodiment of the present invention may include a light source emitting multi-wavelength light; a reference mirror disposed at a side of the light source; a beam splitter dividing the multi-wavelength light into first light incident on the reference mirror, and second light incident on the quartz substrate of the photomask through the one or more openings; and a photodetector accepting first and second reflected light from the reference mirror and the reflection film of the photomask and detecting the intensity according to interference with the first and second reflected light. According to an example embodiment of the present invention, a first evaluator may calculate the reflectance of a photomask in proportion to the square of a differential between a reference intensity value and the maximum intensity value that may be obtained by the photodetector. A second evaluator may determine a relation of refractive indexes between the reflection film and the quartz substrate using the reflectance, and a third evaluator may obtain a temperature satisfying a relation determined by the second evaluator. According to an example embodiment of the present invention, a reflection film may include a stacked structure having at least one of the following materials: silicon (Si), molybdenum (Mo), and beryllium (Be). According to an example embodiment of the present invention, the multi-wavelength interferometer may be a white-light interferometer. According to an example embodiment of the present invention, the one or more openings may be shaped in one of circle, ellipse, and polygon. An example embodiment of the present invention provides exposure equipment. The exposure equipment may include an exposure light source emitting light with a specific wavelength; a lens condensing the light on a reflective photomask; an electrostatic chuck supporting the photomask; and a fixing unit fixing a wafer to be exposed by light reflected from the photomask. According to an example embodiment of the present invention, the light of the specific wavelength may be EUV having a wavelength less than about 13.4 nm. An example embodiment of the present invention provides a method of detecting temperature from a photomask during an exposure process with the photomask, which has a quartz substrate and a reflection film that are stacked in sequence, in exposure equipment. The method may include irradiating multi-wavelength light from a light source to the reflection film and a reference mirror at a side of the light source; detecting light intensity according to interference with the first and second reflected light come each from the reference mirror and the reflection film; obtaining the maximum intensity value and a reference intensity value from the light intensity; calculating the reflectance of the photomask in proportion to the square of a differential between the reference intensity value and the maximum intensity value; obtaining a relation of refractive indexes between the reflection film and the quartz substrate by means of the reflectance; and obtaining the temperature until satisfying the relation. Various example embodiments of the present invention will be now described more fully with reference to the accompanying figures in which some example embodiments of the present invention are shown. Specific structural and functional details disclosed herein are merely representative for purposes of describing example embodiments of the present invention. This invention may, however, may be embodied in many alternate forms and should not be construed as limited to only the embodiments set forth herein. It should be understood, that there is no intent to limit example embodiments of the present invention to the particular forms disclosed, but on the contrary, example embodiments of the present invention are to cover all modifications, equivalents, and alternatives falling within the scope of the invention. In the figures, the thicknesses of layers and regions may be exaggerated for clarity. As used herein, the singular forms “a,” “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises,” “comprising,” “includes” and/or “including,” when used herein, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items. It will be understood that when an element or layer is referred to as being “on,” “connected to” or “coupled to” another element or layer, it can be directly on, connected or coupled to the other element or layer or intervening elements or layers may be present. In contrast, when an element is referred to as being “directly on,” “directly connected to” or “directly coupled to” another element or layer, there are no intervening elements or layers present. Like numbers refer to like elements throughout. It will be understood that, although the terms first, second, third etc. may be used herein to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer or section from another element, component, region, layer or section. Thus, a first element, component, region, layer or section discussed below could be termed a second element, component, region, layer or section without departing from the scope of example embodiments of the present invention. Spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or a feature's relationship to another element or feature as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, for example, the term “below” can encompass both an orientation which is above as well as below. The device may be otherwise oriented (rotated 90 degrees or viewed or referenced at other orientations) and the spatially relative descriptors used herein should be interpreted accordingly. Example embodiments of the present invention are described herein with reference to cross-sectional illustrations that are schematic illustrations of idealized embodiments (and intermediate structures). As such, variations from the shapes of the illustrations as a result, for example, of manufacturing techniques and/or tolerances, may be expected. Thus, example embodiments of the present invention should not be construed as limited to the particular shapes of regions illustrated herein but may include deviations in shapes that result, for example, from manufacturing. It should also be noted that in some alternative implementations, the functions/acts noted may occur out of the order noted in the figures. For example, two figures shown in succession may in fact be executed substantially concurrently or may sometimes be executed in the reverse order, depending upon the functionality/acts involved. Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which example embodiments of the present invention belong. It will be further understood that terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein. The example embodiments described below are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the invention to those skilled in the art. FIG. 1 schematically illustrates exposure equipment in accordance with an example embodiment of the present invention. FIGS. 2 and 3 illustrate sections of photomasks in accordance with example embodiments of the present invention. FIG. 4 illustrates a body of an ESC according to an example embodiment of the present invention. Referring to FIG. 1, exposure equipment 100 may include an exposure light source 50, a first lens 52, a second lens 54, a third lens 56, a photomask 10, an ESC 40 and a fixing unit 58. The exposure light source 50 may emit EUV light having a wavelength of about 13.4 nm. The first lens 52 may condense the light emitted from the light source 50 and may irradiate the condensed light on a photomask 10. The second and third lenses 54 and 56 may condense and irradiate light reflected from the photomask 10 onto a wafer W. The photomask 10 may be a reflective type and may be supported and/or adhered to an ESC 40 via an electrostatic force. The wafer W may be supported and/or fixed to a fixing unit 58. The wafer fixing unit 58 may be a kind of ESC. The ESC 40 may include a body 20 and a temperature sensing unit 30 for detecting temperature on the surface of the photomask 10. Although not shown herein, the exposure equipment 100 may include a chamber that contains the photomask 10, the first and second lenses 52 and 54, the ESC 40, the wafer fixing unit 58, and the light source 50. A pressure of the chamber may be maintained at a pressure lower than about 10−3 Torr, almost in a vacuum. Referring to FIGS. 2 and 3, in the photomask 10, a reflection film 3 may be disposed on a quartz substrate 1 and circuit patterns 5 absorbing light may be arranged on the reflective film 3. The reflection film 3 may be constructed of a stacked multi-layer including one or more of silicon (Si), molybdenum (Mo), and beryllium (Be). For example, the reflection film 3 may be formed of twenty stacked layers of a pair of films including a silicon film having a thickness of about 2.5 nm and a molybdenum film having a thickness of about 4.5 nm. The quartz substrate 1 may be prepared having a thickness of about 6.5 μm, for example. The circuit patterns 5 may be formed containing a metal such as nickel (Ni), germanium (Ge), tantalum (Ta), or aluminum (Al), for example. Under the quartz substrate 1, a conductive film 7 made of chrome (Cr), for example, may be provided to provide an effective connection between the substrate 1 and the ESC 40. The conductive film 7 may be formed to have a thickness of about 70 nm, for example. The conductive film 7 may include one or more openings 9, which may partially expose the quartz substrate 1. According to an example embodiment of the present invention, a multi-wavelength interferometer 300 may be used to irradiate light to a backside of the photomask 10, monitor light reflected from the backside of the photomask 10, evaluate reflectance of the photomask 10, and obtain a temperature from the evaluated reflectance. The one or more openings 9 may act as paths for the light irradiated from the multi-wavelength interferometer 300 and thus may be used for obtaining the temperature of the photomask 10. But, if the multi-wavelength interferometer 300 is excellent in optical sensitivity, there is no problem although the openings 9 are absent in the conductive film 7. Referring to FIG. 4, the body 20 of the ESC 40 may have one or more body openings 28. The one or more body openings 28 may be located at positions corresponding to the one or more openings 9 of the conductive film 7 in the photomask 10. The one or more body openings 28 may be formed in the shape of a circle, an ellipse, or a polygon, for example. The one or more body openings 28 may function as optical paths used during the evaluation of the reflectance of the photomask 10. The body 20 may include a first insulating portion 26, an electrode 24 and a second insulating portion 22. The first insulating portion 26 may have a containing area on which the photomask 10 may be arranged. The electrode 24 may be disposed under the first insulation portion 26 and a voltage may be applied to the electrode. The second insulation portion 22 may be disposed under the electrode portion 24. The one or more body openings 28 may be formed to penetrate the first insulating portion 26, the electrode 24, and the second insulating portion 22. The electrode 24 may be coupled to a DC generator (not shown), which may supply a voltage to the electrode 24. The supplied voltage may cause electric charges with opposite polarities to be generated at the first insulation portion 26 and the photomask 10 because of electrostatic induction. The electric charges with opposite polarities may cause an electrostatic force between the photomask 10 and the body 20 of the ESC 40, which may cause the photomask 10 to adhere to the ESC 40. FIG. 5 is a schematic illustrating a block diagram of the temperature sensing unit according to an example embodiment of the present invention, which may be included in an ESC 40 as described above. FIG. 6 shows a schematic illustrating a multi-wavelength interferometer 300 in accordance with an example embodiment of the invention, which may be used to evaluate an optical intensity. Referring to FIGS. 5 and 6, the temperature sensing unit 30, which may be associated with the ESC 40 may include a multi-wavelength interferometer 300 and an evaluation unit 310. The evaluation unit 310 may include a first evaluator 311, a second evaluator 313 and a third evaluator 315. The multi-wavelength interferometer 300 may include a light source 302, a beam splitter 304, a reference mirror 306 and a photodetector 308. The multi-wavelength interferometer 300 may be a white-light interferometer, for example. The light source 302 may radiate multi-wavelength light 202. The reference mirror 306 may be arranged relative to the beam splitter 304 and may be movable by a desired and/or predetermined distance Z. The beam splitter 304 may divide the multi-wavelength light 202 of the light source 302 into first light 204, which may be incident on the reference mirror 306, and a second light 206, which may be incident on the quartz substrate 1 of the photomask 10 through the one or more body openings 28. The photodetector 308 may accept first reflected light 208 reflected from the reference mirror 306 and second reflected light 210 from the reflection film 3 and may detect optical intensity by observing and/or analyzing interference between the first reflected light 208 and the second reflected light 210. Hereinafter, a method of detecting temperature using the temperature sensing unit 30, for example, with reference to FIGS. 7 and 8 will be described according to an example embodiment of the present invention. FIG. 7 is a flow chart showing a method of detecting temperature from the photomask 10 in accordance with an example embodiment of the invention, and FIG. 8 is a graphic result of an example optical intensity, which may be measured by the multi-wavelength interferometer 300 shown in FIG. 6. Referring to FIGS. 7 and 8, while performing an exposure operation, which may be performed by the exposure equipment 100 shown in FIG. 1, an optical intensity 1 may be evaluated using the multi-wavelength interferometer 300, for example, which may be included in the temperature sensing unit 30 (S10). Example values of the optical intensity 1 detected are depicted on the graph of FIG. 8. The graph of FIG. 8, shows a reference intensity value I0 and the maximum intensity value Imax (S20). A method of detecting temperature may include evaluating reflectance Rsensor of the photomask 10 based on the square of a differential between the reference intensity value I0 and the maximum intensity value Imax (S30). This evaluation may be processed by the first evaluator 311. For example, the differential between the reference intensity value I0 and the maximum intensity value Imax is proportional to the reflectance Rsensor at an interface between the quartz substrate 1 and the reflection film 3 shown in FIG. 6, may be given by Equation 1. I max - I 0 = C 1 ( R ref · R sensor ) 1 2 ( Equation 1 ) In Equation 1, C1 is a proportional variable and Rref denotes inherent reflectance. From Equation 1, the reflectance Rsensor of the photomask 10 may be obtained using Equation 2. R sensor = 1 R ref ( I max - I 0 C 1 ) 2 ( Equation 2 ) A relation between the refractive indexes between the photomask reflection film 3 and the quartz substrate 1 may be obtained by the second evaluator 313, for example, (S40) and may be output from the second evaluator 313. The reflectance Rsensor of the photomask 10 may be summarized by Equation 3. R sensor = ( n 1 - n 2 n 1 + n 2 ) 2 ( Equation 3 ) In Equation 3, n1 represents a refractive index of the quartz substrate 1 adjacent to the interface between the quartz substrate 1 and the reflection film 3 and n2 denotes a refractive index of the reflection film 3 adjacent to the interface therebetween. From Equation 3, Equation 4 may be obtained. n 1 = 1 + R sensor 1 - R sensor · n 2 ( Equation 4 ) Reflectance of a material varies with temperature (T), and the refractive indexes for materials such as quartz, silicon, molybdenum, and beryllium, for example, are well known. Utilizing the refractive indexes, which may vary with temperature, a method of measuring temperature according to an example embodiment of the present invention may monitor and count temperature until Equation 4 is satisfied (S50). For example, assuming that T1 is temperature of the quartz substrate 1 adjacent to the interface and T2 is temperature of the reflection film 3 adjacent to the interface, the relations of n1=f(T1) and n2=f(T2) may be obtained. It is assumed in the example described below that the two values of temperature are identical to each other because the quartz substrate 1 is very close with the reflection film 3. And, the specific temperature T satisfying Equation 4 set relevant to the relation of refractive indexes becomes the temperature at the surface of the photomask 10 (e.g., the temperature of the reflection film 3). For example, when n1=3n2 and T1=T2=25° C. from calculations performed using these Equations, assuming that the refractive index of quartz as the substrate 1 is 1 and the refractive index of molybdenum as the reflection film 3 is 3, the temperature at the surface of the photomask 10 is 25° C. According to an example embodiment of the present invention, when the reflection film 3 is constructed in a stacked structure with more than two kinds of materials, it may be possible to obtain the refractive index, which may vary with temperature, through an experiment and to utilize the data of refractive index. According to an example embodiment of the present invention, the temperature of the reflection film 3 may be assumed to be uniform because the reflection film 3 is very thin, for example, several hundreds nanometers. Accordingly, the temperature obtained by the method according to an example embodiment of the present invention described above becomes the temperature at the surface of the photomask 10, e.g., the surface of the reflection film 3. A temperature sensing unit 30 according to an example embodiment of the present invention may repeatedly detect the temperature from the photomask 10. For example, the multi-wavelength interferometer 300 may measure the optical intensity in the interval of one minute. Then, the multi-wavelength interferometer 300 may evaluate the maximum intensity value Imax, and the reference intensity value I0, and obtain values of the temperature as previously described. According to an example embodiment of the present invention having a number of openings arranged in a N*N pattern in the body 20 of the electrostatic chuck 40, it is possible to detect temperature values from positions of the photomask 10 through the openings, which may provide a distribution profile of temperature over the surface of the photomask 10. As such, according to an example embodiment of the present invention, temperature values may be obtained from re-dividing the second light 206, which may have been separated by the beam splitter 304 of the multi-wavelength interferometer 300, into a plurality of light arranged in a N*N pattern using a splitter, and detecting values of the respective optical intensity of the N*N reflected light. A plurality of the multi-wavelength interferometers may be used to detect the N*N light reflected through the N*N openings. As such, according to an example embodiment of the present invention, the temperature from the surface of the photomask during an exposure process with EUV may be detected. In particular, the temperature sensing unit of the lithography equipment and the method thereof, according to example embodiments of the present invention, may evaluate the reflectance of the photomask by means of a multi-wavelength interferometer to obtain the temperature of the photomask. With the result of the evaluation, processing conditions, e.g., stopping the exposure process when the temperature of the photomask increases over a permissible range, may be controlled, thereby reducing and/or preventing defects, which may occur due to distortion or deformation of circuit patterns resulting from an increase and/or decrease of temperature of the photomask. While various example embodiments of the present invention have been described above, it will be understood by those skilled in the art that various other modifications may be made, and equivalents may be substituted, without departing from the scope of the invention. Additionally, many modifications may be made to adapt a particular situation to the teachings of the present invention without departing from the inventive concept described herein. Therefore, it is intended that the present invention not be limited to the particular example embodiments disclosed, but that the invention include all embodiments falling within the scope of the appended claims. |
|
summary | ||
abstract | An incore monitoring method of a nuclear reactor, includes, measuring neutron flux levels at pitch levels corresponding to local power range monitor sensors arranged along an axial direction inside a detector assembly installed in a nuclear reactor; performing power calculation, including calculation of thermal characteristics, of fuel assembly group consisting of fuel assemblies adjacent to the corresponding detector assembly, based on indicated values of the local power range monitor sensors of the corresponding detector assembly at a first time, calculating thermal characteristics at a second, subsequent time in which the power calculation is not calculated, based on values indicated by the local power range monitor sensors and calculated thermal characteristics at the first time and values indicated by the corresponding local power range monitor sensors at the second time, and monitoring the calculated thermal characteristics. |
|
052157067 | description | DESCRIPTION OF THE PREFERRED EMBODIMENTS Referring initially to FIGS. 1, 2 and 3, shown there is an ultrasonic testing device 10 for determining the functional operability of individual fuel rods 21 of a fuel rod assembly 20. The fuel rod assembly 20 is supported by an upper plate (not shown) which is attached to an overhead crane. The assembly 20 is arranged within an assembly positioning channel 25 which is a U-shaped construction having a retractable side plates 22 and a base plate 23. The assembly is preferably arranged as a rectangular grouping of fuel rods. As discussed previously, the rods 21 contain uranium dioxide and helium gas disposed within a metallic cladding (typically zirconium alloy or stainless steel). The ultrasonic testing for water entry within the rods is performed by juxtaposition of ultrasonic probes 14 to each individual fuel rod within the assembly in succession. The probes 14 test only one lower portion ("test spot") of each rod. It is not necessary to test the entire rod. The ultrasonic probes 14 (here 4 are shown operating together) are movable in a horizontal plane by X-Y table 12. The X-Y table 12 positions the ultrasonic probes 14 by virtue of drive motors 13. Thus, the probes may be inserted and retracted from the fuel rod assembly to enable testing of each fuel rod in the assembly. Control over movement of the probes 14 is effected from a control console (not shown) connected to the X-Y table via cable connection 24, by depressing appropriate control switches. The present invention utilizes a plurality of parallel guide bars 31 for insertion between individual fuel rods prior to the start of any ultrasonic testing of the fuel rods. The guide bars 31 are part of a guide assembly 30 which includes holding base 34, bars 31, channel element 32, flange 33, front guide 35, lifting bale 36, hydraulic cylinder 37 and pins 38. The guide bars 31 are preferably constructed of heat treated Inconel which is provided with a hard coat of titanium nitride to minimize surface abrasion. The guide bars 31 are held in relative position by two pins 38 through holding base 34. A front guide 35, which is fixed in position relative to the movable guide bars 31, serves to maintain the bars in relative position as they are caused to move in and out of the fuel assembly. The alignment guide 30 is supported by a channel element 32 which is affixed via flange 33 to frame 11 of the X-Y table. Twin rail linear bearings 39 (see FIG. 3) are affixed to the underside of the channel element 32 for supporting the guide bar holding base. Fixed front guide 35 is also attached to the underside of the channel element 32. Power for moving the guide bars in and out is provided by a hydraulic cylinder 37. The entire alignment guide 30 is removable from the frame 11 with the assistance of lifting bale 36. In operation, it has been found useful to provide a TV camera 15 forming part of TV monitoring system to assure proper alignment of guide rods 31 between the fuel assembly fuel rods. It should be noted that the guide rods 31 are preferably inserted into the fuel assembly immediately above the test spot where the ultrasonic probes enter the fuel assembly. This temporarily skews the fuel rod arrangement in the fuel assembly into alignment prior to insertion of the ultrasonic probes. The guide bars are preferably inserted completely through a fuel assembly prior to ultrasonically testing each individual row of fuel rods. In a preferred arrangement as shown in FIGS. 4 and 5, the guide bars 31 are in the form of elongated blades having a small width dimension "A", corresponding to inter-rod spacings and a substantial larger height dimension "B". In one embodiment, the height dimension was approximately 0.75 inches. The width dimension will vary from one fuel rod to another but will typically be in the range of 0.061 inches to 0.124 inches. A preferred form of the fixed front guide 35 is illustrated in FIG. 6 and 7. In one set of tests, six fuel assemblies were retested employing a guide assembly according to the invention, after each showed failure of one fuel rod by ultrasonic testing without the assembly. Following retest with the guide assembly, four fuel assemblies tested "good" (functionally operable) while only two were confirmed to have a failed fuel rod. While the foregoing description and drawings represent the preferred embodiments of the present invention, it will be obvious to those skilled in the art that various changes and modifications may be made therein without departing from the true spirit and scope of the present invention. |
claims | 1. A system comprising:a treatment station for particle beam treatment of a patient;a particle accelerator configured to generate a particle beam;a first particle beam path through which the particle beam can be delivered to the patient; anda transport system configured to automatically move a first of a plurality of different particle beam nozzles to the first particle beam path, and to separately move at least a second of the plurality of different particle beam nozzles to the first particle beam path. 2. The system of claim 1, wherein the transport system is further configured to automatically move the first of the plurality of different particle beam nozzles to a second particle beam path through which the particle beam can be delivered to the patient. 3. The system of claim 1, wherein the transport system is configured to automatically move the first of the plurality of different particle beam nozzles from a nozzle storage into the first particle beam path. 4. The system of claim 1, wherein the first particle beam path includes a shutter configured to protect a particle transparent vacuum interface when none of the plurality of different particle beam nozzles is at the first particle beam path. 5. The system of claim 1, wherein the plurality of different particle beam nozzles include a double scattering particle beam nozzle, a single scattering particle beam nozzle, or a scanning particle beam nozzle. 6. The system of claim 1, wherein the transport system is configured to move the first of the plurality of different particle beam nozzles while the patient is at the treatment station. 7. The system of claim 1, wherein the transport system is configured to replace a first of the plurality of different particle beam nozzles in the first particle beam path with a second of the plurality of different particle beam nozzles in less than 15 minutes. 8. The system of claim 1, wherein the particle beam includes a proton beam. 9. The system of claim 1, wherein the transport system or the plurality of different particle beam nozzles includes a conveyance. 10. The system of claim 1, wherein the first particle beam path is configured to deliver the particle beam from beneath the patient. 11. The system of claim 1, wherein the transport system is configured to automatically move a first of a plurality of different particle bam nozzles to the first particle beam path under control of a processing unit. 12. A method comprising:generating a first particle beam of high-energy particles;directing the first particle beam of high-energy particles along a particle beam path;modifying the first particle beam of high-energy particles using a first particle beam nozzle;treating a first patient using the first particle beam of high-energy particles modified using the first particle beam nozzle;exchanging the first particle beam nozzle for a second particle beam nozzle under control of a processing unit;generating a second particle beam of high-energy particles;directing the second particle beam of high-energy particles along the particle beam path;modifying the second particle beam of high energy particles using the second particle beam nozzle; andtreating the first patient or a second patient using the second particle beam of high-energy particles modified using the second particle beam nozzle. 13. The method of claim 12, wherein exchanging the first particle beam nozzle for the second particle beam nozzle is performed while the first patient is at a treatment station. 14. The method of claim 12, wherein exchanging the first particle beam nozzle for the second particle beam nozzle is performed in under 15 minutes. 15. The method of claim 12, wherein exchanging the first particle beam nozzle for the second particle beam nozzle is performed using a transport system. 16. The method of claim 12, wherein exchanging the first particle beam nozzle for the second particle beam nozzle includes closing and opening a shutter. 17. The method of claim 12, wherein the first particle beam nozzle is a double scattering nozzle, a single scattering nozzle or a scanning nozzle. 18. The method of claim 12, wherein the first particle beam of high-energy particles includes protons. 19. The method of claim 12, further including positioning the second particle beam nozzle relative to the particle beam path using a guide pin. 20. The method of claim 12, further including positioning the second particle beam nozzle relative to the particle beam path using a position sensor. 21. The method of claim 12, further including retrieving the second particle beam nozzle from a nozzle storage under control of a processing unit. 22. A system comprising:a first particle beam nozzle configured for use in a first treatment type;a second particle beam nozzle configured for use in a second treatment type; anda transport system configured to alternatively position, under control of a processing unit, the first particle beam nozzle and the second particle beam nozzle between a particle accelerator and a treatment station. |
|
abstract | Methods and apparatus are described for irradiating living tissue via a cavity or lumen, using an inflatable balloon applicator. In a preferred embodiment the applicator balloon has a balloon skin with x-ray contrast material in relatively low concentration, so that an outline of the balloon will appear sharply when imaged externally. In another preferred embodiment the balloon catheter has a drain for withdrawing liquids from the cavity, which may include channels or texture on the exterior of the balloon. Methods are described for using a switchable miniature x-ray tube, variable as to voltage and current, to achieve accuracy in an isodose profile. |
|
description | This application is a Continuation-In-Part of U.S. application Ser. No. 10/321,333, filed Dec. 17, 2002, which claims priority to U.S. Provisional Application Ser. No. 60/341,688, filed Dec. 18, 2001, each of which is incorporated herein by reference in its entirety. This invention is generally directed to methods and apparatus for separating ions of metallic elements in aqueous solution by chromatography. The elements to be separated may belong to the same or to different Groups in the long periodic table, including main group elements, transition metals, lanthanides and actinides. The present invention relates more particularly to an apparatus and a method for separating ions of radioisotopes such as 90Y, 201Tl, and 99mTc from their parent elements, and producing multicurie levels of same for medical applications while generating minimum waste. Radioactive isotopes of many metallic elements have potential uses in the diagnosis and treatment of disease. The yttrium-90 isotope, for example, which has a half-life of 64 hours and emits a strong beta particle (Emax=2.28 MeV), has excellent promise in treating many human diseases, and recent advances in radioimmunotherapy and peptide targeted radiotherapy have created a great demand for 90Y. Another radioisotope, thallium-201, which has a half-life of 73 hours and emits photons of 135 and 167 keV, is widely used as a myocardial perfusion imaging agent. Numerous other examples of radioactive isotopes, and their potential use as radiopharmaceuticals are well known to those in the art. One way to produce radioisotopes with potential use as radiopharmaceuticals is from the decay of radioactive species of elements from adjacent groups in the periodic table. For Example, 90Y can be produced from the 28-year half-life decay of 90Sr. Similarly, 201Tl is decayed from its parent 201Pb (T1/2=9.33 hour). To be used as radiopharmaceuticals, the target isotopes generally need to be separated from the parent compounds. Many different techniques have been used to separate radioisotopes, including precipitation, solvent extraction, and ion-exchange chromatography, and the use of a number of organophosphorus extractants has been described. For example, di-2-ethylhexylphosphoric acid (DEHPA) has been widely used in extraction technology of rare earths and yttrium since the publication of Peppard, et al. (D. F. Peppard, et al., J. Inorg. Nucl. Chem. 4: 334, 1957) in 1957. DEHPA was also used in high level separations of fission products of rare earths and 90Y at Oak Ridge National Laboratory in 1959. A smaller scale procedure for millicurie quantities of 90Y was used at Oak Ridge National Laboratory (ORNL) (N. Case, et al., ORNL Radioisotope Manual, U.S.A.E.C. Report ORNL-3633, TID 4500, 30th edition, June 1964) from 1962 to 1990. This procedure was later modified for use in purification of reagents and is now used commercially to supply 90Y (J. A. Partridge, et al., J. Inorg. Nucl. Chem. 31: 2587–89, 1969; and Lane A. Bray, et al., U.S. Pat. No. 5,512,256, Apr. 30, 1996). Another organophosphorus compound, 2-ethylhexyl 2-ethylhexylphosphonic acid (EHEHPA), was also developed by Peppard (D. F. Peppard, et al., J. Inorg. Nucl. Chem. 18: 245, 1961 and J. Inorg. Nucl. Chem. 27: 2065, 1965). This extractant became widely used to recover yttrium, other rare earths and trivalent actinides, because it was readily stripped with dilute acid. Several investigators have reported a specific preference for EHEHPA over DEHPA for yttrium recovery (Y. Mori, et al., Proc. Symp. Solvent Extr. 119–24, Jpn. Assoc. Solvent Extr. Hamamatsu, Japan, 1984; K. Inoue, et al., Nippon Kogyo Kaishi, 102: 491–4,1984; D. Li, et al., Int. Solvent Extr. Conf. (proc.) 3: 80–202, 1980; D. Li, et al., New Frontiers in Rare Earth Science and Applications, 1: 463–67, 1985; and P. V. Achuthan, et al., Separation Science and Technology, 35: 261–270, 2000). The use of neutral organophosphorus compounds for recovery and purification of uranium, actinides and rare earths began in the 1950's (J. C. Warf, J. Am. Chem. Soc. 71: 3257, 1949) with tri-n-butyl phosphate (TBP). Other extractants with phosphine groups were tested in the 1960–70's with some success. The work at Argonne National Laboratory (R. C. Gatrone, et al., Solvent Extr. and Ion Exch. 5: 1075–1116, 1987) in developing a number of compounds of the carbamoylmethylphosphine oxides type led to a class of extractants for removing trivalent, quadri-valent and hexa-valent ions from nitric acid solutions. A number of papers from Argonne National Laboratory and from USSR in the 1980–83 period also demonstrated the use of the this type of extractant (D. G. Kalina, et al, Sep. Sci. Technol. 17: 859, 1981; T. Y. Medved, et al., Acad. Sci. U.S.S.R., Chem. Series, 1743, 1981; E. P. Horwitz, et. al., Sep. Sci. Technol. 17: 1261, 1982; M. K. Chmutova, et al., Sov. Radiochem. Eng. Transl. 24: 27, 1982; E. P. Horwitz, et al., Proceedings ISEC'83 1983; M. K. Chmutova, et al., J. Radioanal. Chem. 80: 63, 1983; A. C. Muscatello, et al., Proceedings ISEC'83, pp. 72, 1983; E. P. Horwitz, et al., Solvent Extr. Ion Exch. 3: 75, 1985; W. W. Shultz, et al., J. Less-Common Metals, 122: 125, 1986; J. N. Mathur, et al., Talanta, 39: 493–496, 1992; J. N. Mathur, et al., Waste Management, 13: 317–325, 1993). When using this technique, the ions are extracted as the metal nitrates from nitric acid solution. The extractants, loaded with the ions, are then back extracted with dilute acids or salt solutions (0.01–0.1N), which causes the ions to strip from the extractant, thereby permitting easy recovery without boil-down of the acids. As noted above, 201Tl is produced by decay (electron capture) of its parent isotope, 201Pb. 201Pb is generally produced in a cyclotron by irradiating 203Tl with ˜30 MeV protons (203Tl(p, 3n)201Pb). Separation of 201Tl from the irradiated targets is traditionally performed in two steps. First, radioactive lead is separated from the 203Tl targets, and after an optimal waiting period to allow build up, the accumulated 201Tl daughter is separated from the parent lead isotopes. Various methods for performing the separation have been reported. E. Lebowitz, et al., J, Nucl. Med., 16:151–155 (1975), for example describes a production method in which EDTA complexing agent, hydrazine sulfate and a ion exchange column are first used to separate the lead activities from the thallium targets. Next, an anion exchange column is used to adhere the 201Tl+3 (oxidated by NaClO) and allow the lead activities to be eluted. Finally the 201Tl activity is then eluted with hot hydrazine-sulfate solution, reducing Tl+3 to Tl+1. S. M. Qaim, et al., Int J. Appl. Radiat. Isot., 30: 85–95, 1979, reported a procedure of precipitating quantitatively the carrier-free lead activities by Fe(OH)3 first, followed by an anion-exchange column separation of 201Tl. M. D. Kozlova, et al., Int J. Appl. Radiat. Isot., 35: 685–687, 1984, reported a procedure that includes the co-precipitation of the lead activities as strontium sulfate, followed by solvent extraction using butyl acetate and adding KBrO3 solution. J. L. Q. de Britto, et al., J. Radioanal. Nucl. Chem. Letters, 96: 181–186, 1985, reported a separation based on the properties of a chelating caboxylic acid ion exchange resin-column which at pH 4.5 retains lead while thallium is easily eluted. Both J. A. Campbell, et al., (J. Labelled Compounds and Radiopharmaceuticals, 13:437–443, 1977) and M. C. Lagunas-Solar, et al., (Int J. Appl. Radiat. Isot., 33: 1439–1443, 1982) suggested to use Dowex 50W-X8 system to adsorb lead and thallous ion, while thallic ion is eluted by 0.005N hydrochloric acid containing 0.1% chlorine gas. These methods all tend to be time consuming, hazardous, and expensive. To be suitable for use in radiopharmaceuticals, it is also generally important for the radioisotope to be separated from the parent compounds to a high degree of purity. For example, for products containing 90Y, the level of 90Sr should be kept below 10−6Ci per Ci 90Y. Contamination by other metals such as Fe, Cu, Zn, and Ca should also be reduced, because the foreign metallic ions can compete with Y+3 for chelating agents that may be used in the pharmaceutical products. However, many different techniques for the separation of radioisotopes suffer from incomplete separation, and/or contamination by other metals. Consequently, the prior art has failed to provide a simple separation process for producing quality radioisotopes that meet these criteria. Also, many of the known techniques have deficiencies in scaling up the separation process due to radiation damages to the materials and devices used in the separation. For example, J. S. Wike, et al., Appl. Radiat. Isot., 41: 861–865, 1990, discloses a separating technique using DEHPA in dodecane to extract 90Y. However, the complexity of the process, which involves repeated stripping of the organic extractant, leads to the accumulation of radiolysis products of the extractant in either the 90Sr stock solution or 90Y product. It is believed that both the DEHPA and radiolytic fragments of organic extractant cause the 90Y to stick to the wall of glass vessels used in the process, resulting in poor recovery of 90Y. Consequently, this method fails to provide a simple 90Sr/90Y separation process for producing quality 90Y in high yields. Horwitz, et al., U.S. Pat. No. 5,368,736, discloses another separation technique that is capable of producing high decontamination factor of 90Y. This technique involves immobilizing strontium-selective extractant of hydrophobic crown ether carboxylic acid onto polymeric resin to selectively strip 90Sr away from 90Y after passing a 90Sr/90Y mixture through the crown ether column. The 90Y effluent is further purified by resin that is impregnated with rare-earth selective extractant, which is a mixture of octyl-(phenyl)-N,N-diisobutylcarbamoylmethylphosphine oxide (CMPO) and tri-butyl phosphate (TBP). The above separation technique avoids the use of organic solvent but requires at least three strontium-selective columns for the complete retention of 90Sr, which may limit its potential for multicurie scale-up. In addition this technique requires pH adjustment and volume concentration of 90Y between the crown ether and CMPO/TBP columns, which further complicate the process at the multicurie level. Another present commercial method for supplying 90 y involves the extraction of 90Y from a mixture of 90Y and 90Sr using a DEHPA solvent extraction process that requires high concentrations of HNO3 or HCl (8–10 N) to strip the 90Y. When the excess acid is evaporated, the 90Y recombine with trace amounts (1–2 mg/liter) of DEHPA in the 90 y product, which results in loss of product on glassware (J. S. Wike, et al., J. Appl. Radiat. Isot., 41: 861–5, 1990), and in the shipping container. The recombination of 90Y with trace amounts of DEHPA can also result in precipitates, and incomplete tagging of the targeted molecule with 90Y. Consequently, the prior art has failed to provide a simple 90Sr/90Y separation process for producing quality 90Y in high yields. What is needed is an improved method and apparatus for simple, low cost, separation of ions of metallic elements in aqueous solution, and, in particular, for separation of radioisotopes from their parent compounds. For example, a method that may be used to separate 90Y from 90Sr to provide 90Y ions with improved purity, concentrations and yields for use in radiotherapy. The process should also not require the use of any organic solvent, should minimize liquid waste discharge and also minimize waste of the radioactive parent In one embodiment of the invention, there is provided a method for separating ions of metallic elements in aqueous solution. The method comprises the steps of providing an ion exchange that comprises a carbon or graphite substrate impregnated with a hydrophobic chelating extractant. The extractant is one that has a greater affinity, at a selective pH, for ions of a first metallic element, than for ions of a second metallic element that is different than the first element. This method further entails the step of providing a solution that comprises ions of said first and second metallic elements, and contacting the solution with the ion exchange, at the selective pH, for a time sufficient for ions of said first element to become bound thereto. Another embodiment of the invention provides an ion exchange that comprises a carbon or graphite substrate impregnated with a hydrophobic chelating extractant. The extractant is one that has a greater affinity, at a selective pH, for ions of a first metallic element, than for ions of a second metallic element that is different than the first element, and wherein said first element is bound to said extractant. The method further entails the step of providing a solution at a second selective pH and after a time sufficient for said second element to be produced from radioactive decay of said first element. Another embodiment of the invention provides a method for separating ions of metallic elements in an aqueous acid solution by chromatography. This method comprises the following steps. (A) Configuring a chromatographic system that comprises two separation columns. Each column contains an ion exchange having a greater affinity for ions of a first metallic element than for ions of a second metallic element at a selective pH. In this embodiment, the selective pH for the two ion exchanges is not the same. (B) Providing a feed solution at the selective pH, wherein the feed solution comprises ions of the first and second metallic elements. (C) Loading the feed solution onto the first separation column for a time sufficient to allow at least a portion of the first metallic element to bind to the first ion exchange. (D) Eluting the first metallic ion from the first ion exchange with a solution having a pH at which the first ion exchange has substantially no affinity for the first metallic ion. (E) The eluant from Step (D) may then optionally be adjusted to the second selective pH, at which the second ion exchange has an affinity for the first metallic element. (F) The eluant is then loaded onto the second separation column for a time sufficient to allow at least a portion of the first metallic element to bind to the second ion exchange. (G) A second eluant is prepared by eluting at least a portion of the first metallic ion from the second ion exchange with an aqueous solution that has a pH at which the second ion exchange has substantially no affinity for the first metallic ion. In another embodiment of the invention, a separation column for separating metallic elements is provided. The separation column comprises: (a) a body portion having both an inlet and an outlet; (b) an ion exchange housed within the body portion, that comprises a carbon or graphite substrate impregnated with a hydrophobic chelating extractant that has a greater affinity, at a selective pH, for ions of a first metallic element than for ions of a second metallic element; and (c) a solution at the selective pH, that contains ions of the first and second metallic elements. Yet another embodiment of the invention is a 201Tl generator comprising: (a) a body portion having an inlet and an outlet; (b) an ion exchange housed within the body portion. The ion exchange comprises carbon or graphite fibers impregnated with an acidic organophosphorus extractant such as DEHPA, EHEHPA, or di(2,4,4-trimethylpentyl)phosphinic acid (DTMPPA). The ion exchange further comprises ions of 201Pb bound to the extractant. Another embodiment of the invention is a 99mTc generator comprising: (a) a body portion having an inlet and an outlet; (b) an ion exchange housed within the body portion. The ion exchange comprises carbon or graphite fibers impregnated with an acidic organophosphorus extractant such as DEHPA, EHEHPA, or DTMPPA. The ion exchange further comprises ions of 99Mo bound to the extractant. A further embodiment of the invention provides a chromatographic extraction system that comprises: (a) a first column comprising: (1) a first body portion having an inlet and an outlet; (2) a first ion exchange housed within the body portion, wherein the first ion exchange has a greater affinity for ions of a first metallic element than for ions of a second metallic element at a first selective pH; and (b) a second column comprising: (1) a second body portion having an inlet and an outlet, wherein the inlet of said second column is in flow communication with the outlet of said first column; (2) a second ion exchange housed within the second body portion. In this embodiment, the second ion exchange also has a greater affinity for ions of said first metallic element than for ions of a second metallic element, but at a different pH than the first selective pH. In a further embodiment of the invention, there is provided a 90Y generator. This generator comprises: (a) a first column comprising: (1) a first body portion having an inlet and an outlet; (2) a first ion exchange housed within the first body portion, wherein the first ion exchange comprises an acidic organophosphorus extractant; (3) a feed solution within the first body portion and in contact with the first ion exchange, the feed solution comprising 90Sr ions and having a pH from about 1.5 to 2.5; and (b) a second column comprising: (1) a second body portion having an inlet and an outlet, wherein the inlet of the second column is in flow communication with the outlet of the first column; (2) a second ion exchange within the second body portion, the second ion exchange comprising a neutral or bifunctional organophosphorus extractant adsorbed onto a carbon or graphite substrate. Additional embodiments of the invention will be readily apparent to those of ordinary skill in the art upon review of the instant application. The present invention provides improved methods and apparatus for separating ions of metallic elements in aqueous solution, thereby providing relatively pure samples of the desired metallic elements for use in a wide variety of applications in a wide number of industries, including mining, environmental decontamination, the pharmaceutical industry, and in the treatment and diagnosis of disease, to name but a few. Separation of ions is achieved with the use of ion exchanges that will preferentially bind ions of one element, while ions of another element remain in solution. As used herein, “separation” and “separating” means that at least about 90%, preferably greater than about 90%, more preferably greater than about 95% and even more preferably greater than about 99% of the ions of one metallic element present in the aqueous solution may be removed from the solution by the ion exchange, while at least about 90%, preferably greater than about 90%, more preferably greater than about 95% and even more preferably greater than about 99% of the ions of another, different metallic element remain in the aqueous solution. In preferred embodiments, solutions may be prepared in which a separation of greater than about 104, more preferably greater than about 106, and still more preferably about 108 may be achieved. In other words, taking the separation of 90Y from 90Sr as an example, using the methods and apparatus described herein, it is possible to obtain a sample of purified 90Y in which the 90Sr/90Y ratio is preferably less than about 10−6, and more preferably less than about 10−8. In many applications, the methods and apparatus will be used to separate metallic elements belonging to different Groups in the long periodic table. However, the methods may be adapted to separate elements belonging to the same Group, as well. Groups in the long periodic table include main group elements, including Groups IA, IIA, IIIB, IVB, VB, VIB, transition metals, including Groups IIIA, IVA, VA, VIA, VIIA, VIIIA, IB, and IIB, Lanthanides, including elements with atomic atom from 57 to 71, and Actinides, including elements with atomic number from 89 to 103. Thus, suitable elements which may be separated using the methods and systems of the present invention include, for example, Li, Be, Na, Mg, Al, K, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ga, Ge, Rb, Sr, Y, Zr, Nb, Mo, Tc, Ru, Rh, Pd, Ag, Cd, In, Sn, Sb, Cs, Ba, La, Hf, Ta, W, Re, Os, Ir, Pt, Au, Hg, Tl, Pb, Bi, Po, Fr, Ra, Ac, Ku, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, Th, Pa, U, Np, Pu, Am, Cm, Bk, Cf, Es, Fm, Md, No, and Lw. To achieve such ends, the present invention makes use of hydrophobic chelating extractants. Suitable extractants for use in the present invention include: acidic organophosphorus extractants, for example DEHPA, EHEHPA and DTMPPA; neutral organophosphorus extractants, for example TBP and tri-n-octylphosphine oxide (TOPO), bifunctional organophosphorus extractants, for example CMPO and N,N,N′,N′-tetraoctyl-3-oxamentanediamide (TOGDA); basic extractants, for example tri-n-octylamine (TOA) and tricaprylmethylammonium chloride. Other extractants known to those of skill in the art may also be used, including hydroxyoximes, for example 5,8-diethyl-7-hydroxy-6-dodecane oxime and 2-hydroxy-5-nonylacetophenon oxime, crown ethers, for example di-t-butyl-dicyclohexano-18-crown-6, and dithiosemicarbazone. Preferably, in the present invention the hydrophobic chelating extractant is adsorbed onto a substrate to provide an ion exchange. In preferred embodiments, the ion exchange is housed in a column. The column will have at least one inlet and at least one outlet. In two column systems, described more fully below, an outlet of the first column may be in flow communication with an inlet of the second column. Additional inlets and/or outlets may be present in either or both columns as well, to add or recover rinse solutions, excess feed solutions, and the like. Although a wide variety of different substrates suitable for use in an ion exchange are known in the art, the inventors have discovered that substrates comprising carbon and graphite are particularly well suited to the methods and apparatus of the present invention. While it should not be construed as limiting the invention, it is thought that the hydrophobic interaction between the above-referenced extractants and carbon or graphite substrates is particularly strong, and does not interfere with the chelating portion of the extractants. The carbon and graphite substrates are also thought to have high stability in strong acids and bases, and may be more resistant than other types of substrates to the radiation fields that may be present when using the methods and apparatus of the present invention to separate radioactive metallic elements. A variety of such carbon and graphite substrates may be used, including molded graphite and carbon, vitreous (glassy) carbon, pyrolytic graphite and carbon, carbon fibers, carbon composites, and carbon and graphite powders and particles. A common substrate for hydrophobic extractants is carbon coated inorganic materials prepared by decomposition of organic compounds in a 600° C. temperature gas stream, such as ZrO2. It has been suggested that the bonding of organic ionophores to carbon-coated ZrO2 involves not only hydrophobic attraction, but also involves electronic (pi—pi) interaction of the organic ionophore to the graphitic planer structure (Paul T. Jackson et. al, Anal. Chem. 69: 416–425, 1997). This strong bonding prevents leaching of the organic ionophore much better than is observed with polymeric matrixes, such as Chromosorb or XAD adsorbents, or materials coated with cross-linked polybutadiene. Pure carbon or graphite fibers, formed at >1500° C., have been found to provide a very good substrate for most hydrophobic extractants commonly used in solvent extraction of the present invention, and are preferred in embodiments of the present invention that utilize carbon or graphite substrates. In preferred embodiments, the carbon or graphite fibers are in the form of carbon or graphite felt. Preferably, this carbon or graphite felt is used with no other substrate. The low bulk density of about 50 mg/cm3 and high surface area (estimated at 30–40 m2/gm) of this product, as well as the ability to selectively bind organophosphorus extractants, allows columns to be prepared that can be operated at fast flow rates, for example from about 1 to about 10 ml/cm2/min, with good performance. Additionally, the felt is easy to cut and pack into columns, is easy to weigh, and adsorbs specific amounts of organophosphorus extractants more predictably than do powdery or granular materials. Carbon or graphite felt suitable for use in the present invention may be obtained from commercial vendors (for example, from Fiber Materials, Inc. Biddeford, Me.) in the form of ⅛ inch thick sheets. These low density flexible felt materials are produced by the carbonization and graphitization of long, small diameter organic Rayon filaments at 2300° C. to produce a graphite felt with >99.7% purity. This material has only ppm amounts of Cu and S impurities. Preleaching with HNO3 solutions removes these impurities. The felt is dried at 110° C., and then loaded with the desired extractants in methanol solutions. After drying in air, the graphite felt is cut in circular pads using a Shim cutter of a diameter equal to or slightly larger than the diameter of the column. Several graphite felt pads, for example from about 5 to about 15 or more, depending on the size of the column, may be used in each column and compressed slightly to remove any voids. The inventors of the present invention have also discovered that the affinity of various chemical organophosphorus extractants for different metallic elements is pH-dependent. For example, organophosphoric acids of the general formula (RO)2P(O)(OH), such as DEHPA, organophosphonic acids of the general formula (RO)RP(O)(OH), such as EHEHPA, and organophosphinic acids of the general formula R2P(O)(OH), such as DTMPPA, have a marked affinity for 90Y at relatively low acid concentrations and may thus be used to extract 90Y from 90Sr under these conditions. Similarly, we have found that DEHPA has an affinity for 201Pb at pH greater than or equal to 2.5, and may thus be used to readily separate 201Pb from 201Tl in a solution having such a pH level. In the presence of concentrated acid solutions, however, acidic organophosphoric extractants lose their affinity for these ions. Thus, a concentrated acid solution, such as a concentrated solution of hydrochloric acid, perchloric acid, sulfuric acid or nitric acid, may be used to elute ions that became bound to the extractant at a higher pH. As used herein, the term “concentrated” when used with regard to an acid refers to a solution having an acid concentration of at least about 4N. In contrast, we have found that other organophosphorus compounds, such as CMPO and TBP, require much higher acid concentrations to retain 90Y. Thus, in a concentrated acid solution, 90Y becomes bound to CMPO, and the bound 90Y may then be eluted from the extractant in the presence of a dilute acid solution. As used herein, the term “dilute” when used with regard to an acid solution, refers to a solution having an acid concentration of less than about 0.1N. The discovery of these unique chemical properties have allowed the inventors of the present invention to develop a process and apparatus to separate 90Y from 90Sr wherein the process requires no concentration (evaporation) and acidity adjustments between the column separation of 90Y from 90Sr. It has also been found that organophosphoric acids of the general formula (RO)2P(O)(OH), such as DEHPA, organophosphonic acids of the general formula (RO)RP(O)(OH), such as EHEHPA, and organophosphinic acids of the general formula R2P(O)(OH), such as DTMPPA have an affinity for 99Mo at a pH from about 1 to about 2 and may thus be used to readily separate 99Mo from 99mTc in a solution having such a pH level. In the presence of basic solutions, such as sodium hydroxide and ammonium hydroxide, however, these acidic organophosphoric extractants lose their affinity for 99Mo. Thus, a basic solution may be used to elute ions that became bound to the extractant at a lower pH. In the present invention, an extractant is used that has a greater affinity for a ions of one metallic element, than for a second metallic element, optionally belonging to a different Group on the long periodic table, at a select pH. As used herein, “greater affinity” means that the affinity of the extractant for ions of the first metallic element, as compared to the affinity for elements of the second metallic element, is greater than about 10:1, preferably greater than about 100:1, more preferably greater than about 1000:1, and even more preferably greater than about 10,000:1. In certain embodiments of the invention, the first metallic element is eluted from the extractant by a solution having a second pH, at which the extractant has substantially no affinity for ions of the first metallic element. As used herein, the term “substantially no affinity” means that at such a pH, at least about 75% of any bound ions will be eluted. Preferably, at such a pH at least about 85% of any bound ions will be eluted, and more preferably at least about 95% of any bound ions will be eluted. In particularly preferred embodiments greater than about 95%, and even greater than about 99% of any bound ions will be eluted. If the loading of the column with substrate impregnated with extractant is too low, insufficient binding of the first metallic element may occur. If the loading is too heavy, incomplete elution from the extractant may result. Most preferably, the column is loaded with substrate impregnated with extractant to provide greater than about 99% retention of the first metallic element at the selective pH, and greater than about 97% elution of the first metallic element at the second pH. The loading concentration of the extractant is determined experimentally for each extractant, but typically varies from about 0.1 to about 1.0 grams extractant per gram of graphite felt. For example, in one embodiment of the present invention, the optimum loading for EHEHPA is about 0.1 gram per gram of carbon or graphite felt, and for CMPO is about 0.25 gram/per gram of carbon or graphite felt. In this embodiment, EHEHPA on graphite felt at pH 1.5–2.5 allowed 90Y to be recovered from 90Sr as Sr(NO3)2 solution at pH 1.75–2.0 with a ⅜ inch column with >99% recovery, and a 104 separation from 90Sr, with <1% 90Y remaining on the column after elution with concentrated HNO3 solutions. It was found that DEHPA could be used on graphite felt in a similar manner as EHEHPA, but requires more concentrated acid to elute the 90Y. Determination of the optimal loading amounts for other extractants, and other substrates, may be readily determined by those of ordinary skill in the art. Carbon or graphite felt has also been found to be a suitable substrate for bifunctional organophosphorus extracants such as CMPO. The CMPO is dissolved in methyl alcohol and dried on the substrate. Carbon or graphite fibers bind the CMPO strongly, and TBP is not needed to retain the CMPO. In an example of one embodiment of the invention, a column 0.325 inches diameter (8 mm) prepared from 15 graphite felt pads ⅛ inch thick loaded with 0.2 to 0.25 gram CMPO per gram of carbon or graphite felt is compressed to about 1.25 inches long. There is very little resistance to flow when the 90Y in 8 N HNO3 solution is loaded and washed with a total of about 30 ml 8 N HNO3. The column is pulled dry with the pump. Because the impregnated felt is very hydrophobic, water is removed efficiently from the column. The 90Y is eluted at a flow rate of 0.5 ml/minute with a minimum of eluant, 3–8 ml. In practice, about 15 ml is used. The eluant is passed though a small (0.325 inch diameter, 1.0-inch long column of XAD-4 to insure removal of any organic and filtered in line with a 0.45 micron filter to remove any particulates. Eluants successfully used in this manner included dilute hydrochloric acid, for example, 0.05 N HCl, dilute nitric acid, for example about 0.01 to about 0.05N HNO3, water, 0.9% NaCl, and various concentrations of ammonium acetate solution. Many other eluants that would be compatible with biochemical solutions can be used as well. Thus, in a preferred embodiment of the present invention, a generator system comprised of two columns packed with organic extractant can separate yttrium-90 from stronium-90. The chromatographic extraction system used in the generator consists of an ion exchange column containing acidic organophosphorus extractants such as DEHPA, EHEHPA, or DTMPPA, in flow communication with a second column that contains a second ion exchange comprising a bifuctional organophosphorus extractant such as CMPO or a neutral organophosphorous extractants such as TBP (tri-n-butyl phosphate). Lightweight porous chemically inert carbon or graphite felt is used to absorb the organic extractant and serve as a column matrix. In the separation process, about 0.2M 90Sr(NO3)2 nitrate solution at about pH 1.75 is loaded onto an EHEHPA column. 90Sr ions pass through immediately, but 90Y ions are retained. The 90Sr solution is collected and stored in a shielded container for 90Y grow-in for subsequent separation. After rinses with nitric solution at a pH of about 1.75, the 90Y is eluted with a concentrated acid, such as about 8N HNO3, and passed onto the second column that is connected in series. The eluted 90Y ions are retained on second ion exchange in the second column and are further rinsed with additional concentrated acid. The 90Y ions are then eluted with a dilute acid, such as about 0.01N HNO3, or an ammonium acetate buffer. Both pH 1.75 and 8N nitric acid wash solutions are separated for any residual 90Sr. The decontamination factor for each column is greater than about 104. The 90Sr/90Y ratio in the second eluant is in the range of about 10−8 at time of production date. The 90Y obtained from the above separation has been shown to be of high chemical and radionuclidic purity and can be used for labeling targeted molecules having bearing chelators such as EDTA, DTPA and DOTA. Other acidic organophosphorus extractants such as DEHPA and DTMPPA were also tested to separate 90Y from 90Sr. The separation of 90Y from 90Sr could be achieved at pH about 1, about 2 and about 3 when DEHPA, EHEHPA and DTMPPA were used, respectively, which are consistent with the acidic strength of DEHPA, EHEHPA and DTMPPA. The elution of 90Y activity from the column was quantitatively similar regardless of which organic extractant was used. It is also preferable to use about 8N HNO3 to elute 90Y off an EHEHPA column and retain it on CMPO column, as any less concentrated HNO3 may result in some loss of 90Y in both columns. There are several advantages of the process and apparatus of the present invention over known extracting processes in this field. Firstly, the contact time between the 90Y activity and organic extractant is short, thus eliminating radiolytic breakdown of organic extractant. Secondly, graphite felt is a better absorbent than polymeric resin due to high resistance toward both chemical and radiation damage. Thirdly, because EHEHPA retains 90Y at about pH 1.75 HNO3 and CMPO retains 90Y with concentrated HNO3, the separation is a continuous process and there is no pH adjustment and volume concentration between the two organic extraction columns, which further reduce the process time. Fourthly, no organic solvent is involved in the disclosed process and much less aqueous radio-waste is also generated. The quality of 90Y obtained from the above process is suitable for therapeutic applications. The decontamination factor of both EHEHPA and CMPO column is in the order of about 104 and the overall process can achieve an about 108 decontamination factor. ICP analyses show low metal ions contamination. The radiochemical purity of 90Y radiolabeling of DOTA derived biological molecule is equivalent to that of commercial 90Y activity. Another embodiment of the present invention involves a generator system and method for providing 201Tl. 201Tl may be provided by radioactive decay of 201Pb. We have discovered that acidic organophosphorus extractants, such as DEHPA, EHEHPA, and DTMPPA have a strong affinity for 201Pb, but not for 201Tl, at pH greater than or equal to about 2.5. Thus, an embodiment of the present invention is provided that comprises a chromatographic column that contains an acidic organophosphorus extractant impregnated on a carbon or graphite substrate, as described elsewhere herein. When loaded with a solution of 201Pb having a pH greater than or equal to about 2.5, the 201Pb is retained on the column. As 201Tl is generated by the decay of the parent isotope, it is released from the extractant into solution. The system is allowed to decay for a time sufficient to provide a predetermined portion of 201Tl, and then rinsed with an aqueous solution having a pH greater than or equal to about 2.5. Suitable rinses include, inter alia, water, dilute hydrochloric or nitric acid, or any biocompatible buffer solution. Preferably, an about 0.9% NaCl solution at about pH 5.5 is used. The efficiency of this generator system, and the fact that 201Tl can be eluted simply with H2O or 0.9% NaCl, provide an advantage over any generator system for 201Tl production described previously. Another embodiment of the present invention involves a generator system and method for providing 99mTc. 99mTc can be provided by radioactive decay of 99Mo. We have discovered that acidic organophosphorus extractants, such as DEHPA, EHEHPA, and DTMPPA have a strong affinity for 99Mo, but not for 99mTc, at a pH from about 1 to about 2. Thus, an embodiment of the present invention is provided that comprises a chromatographic column that contains an acidic organophosphorus extractant impregnated on a carbon or graphite substrate, as described elsewhere herein. When loaded with a solution of 99Mo having a pH from about 1 to about 2, the 99Mo is retained on the column. As 99mTc is generated by the decay of the parent isotope, it is released from the extractant into solution. The system is allowed to decay for a time sufficient to provide a predetermined portion of 99mTc, and then rinsed with an aqueous solution having a pH from about 1 to about 2. The absorbed 99Mo, if desirable, can be readily released by a basic solution such as 0.1 N sodium hydroxide or ammonium hydroxide. The invention is further demonstrated in the following examples. All of the examples are actual examples. The examples are for purposes of illustration and are not intended to limit the scope of the present invention. After a 1 week 90Y build-up 0.2M Sr(NO3)2 pH 1.75 containing 6.5 Ci 90Sr was loaded onto an EHEHPA column (0.1 g/g-wt. graphite felt) at 2.0 ml/min flow rate. The eluted 90Sr were collected in a shielded container. The adsorbed 90Y were washed with 30 ml HNO3 pH 1.75 at 2.0 ml/min. 15 ml of 8N HNO3 was used to elute the adsorbed 90Y from the EHEHPA column to a CMPO column (0.25 g/g-wt. graphite felt) at 0.5 ml/min. An additional 15 ml of 8N HNO3 was used to rinse the CMPO column. 15 ml of 0.01N HNO3 at 0.5 ml/min was used to elute 90Y and 4.9 Ci was collected. The ratio of 90Sr to 90Y in the product was ˜10−8. DEHPA (10 pads, 0.325″ in diameter, 0.6 g/g graphite) was packed in a 0.75″×2.75″ glass column and followed by conditioned with 5 mL pH 2.5 and blown dry with 5 mL air. 200 uCi of 201Tl was added to 10 mL of pH 2.5 nitric acid. The pH of the 201Tl solution was measured and adjusted to pH 2.5 with NaOH. There was no 201Tl retained in the column after 10 ml loading followed by 10 ml water wash at 2 mL/min flow rate pumped with peristaltic pump. No 201Tl is retained in the column at other pH, such as 3, 4 and 5. DEHPA (10 pads, 0.325″ in diameter, 0.6 g/g graphite) was packed in a 0.75″×2.75″ glass column followed conditioned with 5 mL pH 2.5 nitric acid and blown dry with 5 mL air. 80 uCi of 203Pb was added to 10 mL of pH 2.5 nitric acid, pH of 203Pb solution was measured and adjusted to pH 2.5 with NaOH. ˜80 uCi of 203Pb retained in the column after 10 ml loading, followed by 10 ml water wash at 2 mL/min flow rate pumped with a peristaltic pump. Similar results were seen at other pH, such as 3, 4 and 5. Less than 80 uCi of 203Pb was adsorbed in the column when pH is less than 2. A 201Tl generator was prepared by loading 20 mL of pH 2.5 nitric acid containing aliquot of irradiated 203Tl target solution on a DEHPA column (10 pads, 0.325″ in diameter, 0.6 g/g graphite), followed by rinsing the column with 20 mL of water. Flow rate was kept at 2 mL/min in the column preparation. The irradiated 203Tl target solution comprises 20 uL 201Pb solution (˜2.38 mCi of Pb-201, determined by Ge (Li)). Eighteen hours later, 221 uCi of 201Tl was collected in 40 mL of water eluant. Additional 24 hours later, 56 uCi of 201Tl was collected in 40 mL of water eluant from the same generator. A 99Mo solution was prepared by adding 0.1 ml pH 3 99Mo containing 1.01 mCi to 20 ml 0.1N HNO3. The mixture was loaded onto a 2.5 inch glass column packed with 12 pads of graphite felt laced with DEHPA (0.2 g DEHPA/g of graphite) at a 5 ml/min flow rate. After loading of the 99Mo activity, 20 ml 0.1N HNO3 was used to rinse the DEHPA column. 0.993 and 0.037 mCi of 99mTc activity were collected in load and wash fraction respectively. The column was eluted again after 23 hours and 0.65 mCi (˜94% yield) of 99mTc was obtained. All publications, patents, and patent documents cited herein are incorporated herein by reference for all purposes, as though individually incorporated by reference. The invention has been described with reference to various specific and preferred embodiments and techniques. It should be understood, however, that many variations and modifications might be made while remaining within the spirit and scope of the invention. |
|
summary | ||
abstract | A method of predicting stresses on a BWR steam dryer that includes creating an analytical acoustic model of a BWR steam system; generating pressure estimations by inputting empirical data into the analytical acoustic model of the BWR steam system; creating an analytical structural model of the BWR steam dryer; and predicting stresses on the BWR steam dryer using the analytical structural model and the pressure estimations. |
|
051026163 | claims | 1. A water cooled nuclear reactor comprising a pressure vessel, a reactor core, a primary water coolant circuit, a pressuriser, the reactor core and at least a portion of the primary water coolant circuit being located in the pressure vessel, the primary water coolant circuit being arranged to cool the reactor core, the pressuriser having a water space and a steam space, at least one full pressure reactor core cooler means, first pipe means to interconnect an upper portion of the primary water coolant circuit with each full pressure reactor core cooler means, second pipe means to interconnect a lower portion of the primary water coolant circuit with each full pressure reactor core cooler means, each first pipe means having a first inverted U-bend, each first inverted U-bend of the first pipe means passes through the water space and steam space of the pressuriser to form a vapour lock within each first inverted U-bend, whereby each vapour lock in normal operation substantially prevents a natural circulation of primary water coolant from the primary water coolant circuit through the first pipe means, the full pressure reactor core cooler means and the second pipe means to the primary water coolant circuit, each vapour lock upon abnormal operation of any of the reactor core, the primary water coolant circuit, or the pressuriser thereby being displaced from the first inverted U-bend to allow a natural circulation of primary water coolant from the primary water coolant circuit through the first pipe means, the full pressure reactor cooler means and the second pipe means to allow relatively cool primary water coolant in the full pressure reactor core cooler means to flow into or through the primary water coolant circuit. 2. A water cooled nuclear reactor as claimed in claim 1 in which at least one of the full pressure reactor core cooler means comprises a full pressure emergency core coolant tank having a reserve supply of primary water coolant, the first pipe means interconnects an upper portion of the primary water coolant circuit with an upper portion of the full pressure emergency core coolant tank, the second pipe means interconnects a lower portion of the primary water coolant circuit with a lower portion of the full pressure emergency core coolant tank, at least a portion of the full pressure emergency core coolant tank being positioned above the reactor core, the first pipe means having a first inverted U bend, the first inverted U-bend of the first pipe means passes through the water space and steam space of the pressuriser to form a vapour lock within the first inverted U-bend, whereby the vapour lock in normal operation substantially prevents a natural circulation of primary water coolant from the primary water coolant circuit through the first pipe means, the full pressure emergency core coolant tank and the second pipe means to the primary water coolant circuit, the vapour lock upon abnormal operation of the reactor core, the primary water coolant circuit, or the pressuriser thereby being displaced from the first inverted U-bend to allow a natural circulation of primary water coolant from the primary water coolant circuit through the first pipe means, the full pressure emergency core coolant tank and the second pipe means to the primary water coolant circuit to allow relatively cool primary water coolant in the full pressure emergency core coolant tank to flow through the reactor core, or to allow primary water coolant vapour to be vented from the primary water coolant circuit through the first pipe means into the full pressure emergency core coolant tank to facilitate a gravity feed of primary water coolant from the full pressure emergency core coolant tank into the primary water coolant circuit. 3. A water cooled nuclear reactor as claimed in claim 1 in which at least one of the full pressure reactor core cooler means comprises a full pressure residual heat removal heat exchanger, the first pipe means interconnects an upper portion of the primary water coolant circuit with an upper portion of the full pressure residual heat removal heat exchanger, the second pipe means interconnects a lower portion of the primary water coolant circuit with a lower portion of the full pressure residual heat removal heat exchanger, at least a portion of the full pressure residual heat removal heat exchanger being positioned above the primary water coolant circuit, the first pipe means having a first inverted U-bend, the first inverted U-bend of the first pipe means passes through the water space and steam space of the pressuriser to form a vapour lock within the first inverted U-bend whereby the vapour lock in normal operation substantially prevents a natural circulation of primary water coolant from the primary water coolant circuit through the first pipe means, the full pressure residual heat removal heat exchanger and the second pipe means to the primary water coolant circuit, the vapour lock upon abnormal operation of the reactor core, the primary water coolant circuit or the pressuriser thereby being displaced from the first inverted U-bend to allow a natural circulation of primary water coolant from the primary water coolant circuit through the first pipe means, the full pressure residual heat removal heat exchanger and the second pipe means to the primary water coolant circuit to allow relatively cool primary water coolant to flow through the reactor core. 4. A water cooled nuclear reactor as claimed in claim 1 in which a full pressure residual heat removal heat exchanger and a full pressure emergency core coolant tank are integrated and are fluidly connected in flow series such that they share a common first pipe means, first inverted U-bend and second pipe means. 5. A water cooled nuclear reactor as claimed in claim 1 in which a full pressure residual heat removal heat exchanger and a full pressure emergency core coolant tank are separate and have their own respective first pipe means, first inverted U-bend and second pipe means. 6. A water cooled nuclear reactor as claimed in claim 2 in which at least a portion of the full pressure emergency core coolant tank is positioned above the primary water coolant circuit. 7. A water cooled nuclear reactor as claimed in claim 1 in which the inverted U-bend in the first pipe means has an electrical immersion heater to assist in the formation and maintenance of the vapour lock and to facilitate the removal of incondensible gases. 8. A water cooled nuclear reactor as claimed in claim 1 in which each first pipe means has hydrostatic thermal seals allowing the circulation of warm water eddy currents in the first pipe means during normal operation of the reactor plant and preventing the warm water eddy currents in the first pipe means entering the full pressure reactor core cooler means in normal operation of the nuclear reactor, the hydrostatic thermal seals allowing the natural circulation of primary water coolant from the primary water coolant circuit through the first pipe means, the full pressure reactor core cooler means and the second pipe means when the vapour lock is displaced from the first inverted U-bend by abnormal operation of the reactor core, the primary water coolant circuit or the pressuriser. 9. A water cooled nuclear reactor as claimed in claim 8 in which a second inverted U-bend in each first pipe means forms a hydrostatic thermal seal. 10. A water cooled nuclear reactor as claimed in claim 8 in which a U-bend in each first pipe means forms a hydrostatic thermal seal. 11. A water cooled nuclear reactor as claimed in claim 1 in which each second pipe means has a hydrostatic thermal seal preventing thermal convection from the primary water coolant circuit to the full pressure reactor core cooler means. 12. A water cooled nuclear reactor as claimed in claim 11, in which a U-bend in the second pipe means forms the hydrostatic thermal seal. 13. A water cooled nuclear reactor as claimed in claim 11 in which inverted U-bend, and a normal U-bend connected in series in each second pipe means forms the hydrostatic thermal seal, the inverted U-bend is positioned in a relatively hot region and the normal U-bend is positioned in a relatively cool region to produce alternating stratification zones of lower and higher water density in the hydrostatic thermal seal. 14. A water cooled nuclear reactor as claimed in claim 1 in which the pressuriser has an auxiliary vessel, the auxiliary vessel having a water space and a steam space, at least the water space of the auxiliary vessel being interconnected with the water space of the pressuriser, the first inverted U-bend of the first pipe means passes through the water space and steam space of the auxiliary vessel. 15. A water cooled nuclear reactor as claimed in claim 1 in which the pressuriser has an auxiliary vessel, the auxiliary vessel having a water space and a steam space, at least the water space of the auxiliary vessel being interconnected with an upper portion of the primary water coolant circuit, the first inverted U-bend of the first pipe means passes through the water space and steam space of the auxiliary vessel. 16. A water cooled nuclear reactor as claimed in claim 14 in which the steam space of the auxiliary vessel is interconnected with the steam space of the pressuriser. 17. A water cooled nuclear reactor as claimed in claim 14, in which the auxiliary vessel has an electrical immersion heater to maintain saturation conditions in the water space and steam space of the auxiliary vessel. 18. A water cooled nuclear reactor as claimed in claim 14, in which the auxiliary vessel defines a portion of the first inverted U-bend and the steam space of the auxiliary vessel forms the vapour lock. 19. A water cooled nuclear reactor-as claimed in claim 1 in which a relatively small vent interconnects the vapour lock and the steam space of the pressuriser to allow a flow of incondensible gases from the vapour lock to the steam space of the pressuriser, to assist in the formation and maintenance of the vapour lock in normal operation and to provide the vapour lock with a required transient response. 20. A water cooled nuclear reactor as claimed in claim 1 in which the pressuriser defines a portion of the first inverted U-bend and the steam space of the pressuriser forms the vapour lock. 21. A water cooled nuclear reactor as claimed in claim 8 in which the full pressure emergency core coolant tank is integrated with the full pressure residual heat removal heat exchanger having at least one residual heat removal means to remove heat from the primary water coolant in the combined full pressure emergency core cooling and residual heat removal system. 22. A water cooled nuclear reactor as claimed in claim 21 in which each full pressure emergency core coolant tank has at least one residual heat removal circuit to remove heat from the primary water coolant in the full pressure emergency core cooling and residual heat removal tank. 23. A water cooled nuclear reactor as claimed in claim 21 in which the at least one combined full pressure emergency core cooling and residual heat removal tank has an enclosed region, the first pipe means interconnects the primary water coolant circuit and the enclosed region, the enclosed region having one of the residual heat removal circuits to increase the heat transfer rate from the primary water coolant to the residual heat removal circuit. 24. A water cooled nuclear reactor as claimed in claim 22 in which the residual heat removal circuit comprises a first heat exchanger positioned in the full pressure emergency core cooling and residual heat removal tank, a second heat exchanger positioned outside of the full pressure emergency core cooling and residual heat removal tank, ducting interconnecting the first and second heat exchangers to convey working fluid therebetween. 25. A water cooled nuclear reactor as claimed in claim 23 in which the reactor pressure vessel is positioned in the combined full pressure emergency core coolant and residual heat removal tank. 26. A water cooled nuclear reactor as claimed in claim 4 in which a second low pressure emergency core cooling and residual heat removal system comprises a tank having a further supply of primary water coolant at low pressure, at least a portion of the low pressure emergency core coolant tank being positioned above the full pressure emergency core coolant tank, a third pipe means to interconnect a lower portion of the low pressure emergency core cooling and residual heat removal tank with the full pressure emergency core coolant tank, a fourth pipe means to interconnect the steam space of the pressuriser with the low pressure emergency core coolant tank, the third pipe means has a non return valve and a control valve, the fourth pipe means has a control valve. 27. A water cooled nuclear reactor as claimed in claim 26 in which the full pressure residual heat removal heat exchanger is located in the second low pressure emergency core coolant and residual heat removal tank. 28. A water cooled nuclear reactor as claimed in claim 26 in which the full pressure emergency core cooling and residual heat removal system is located in the low pressure emergency core cooling and residual heat removal tank. 29. A water cooled nuclear reactor as claimed in claim 26 in which at least one second residual heat removal means is arranged to remove heat from the water in the second low pressure emergency core cooling and residual heat removal tank. 30. A water cooled nuclear reactor as claimed in claim 26 in which the water in the low pressure emergency core cooling and residual heat removal tank forms a heat sink for the full pressure emergency core cooling and residual heat removal system. 31. A water cooled nuclear reactor as claimed in claim 26 comprising a containment building, the pressure vessel, the reactor core, the primary water coolant circuit, the pressuriser, the full pressure emergency core cooling and residual heat removal system and the low pressure emergency core cooling and residual heat removal tank being positioned within the containment building, a fifth pipe means interconnects a pump means with the low pressure emergency core cooling and residual heat removal tank, the pump means being arranged to pump any spilt water coolant above a predetermined level in the containment building to the low pressure emergency core cooling and residual heat removal tank, the fifth pipe means has a non return valve. 32. A water cooled nuclear reactor as claimed in claim 31 in which the at least one second residual heat removal circuit comprises a third heat exchanger positioned in the low pressure emergency core cooling and residual heat removal tank, a fourth heat exchanger positioned outside of the containment building, ducting means interconnecting the third and fourth heat exchangers to convey working fluid therebetween. 33. A water cooled nuclear reactor as claimed in claim 31 comprising a fifth heat exchanger positioned substantially at the uppermost region of the containment building, ducting means interconnecting the fifth heat exchanger and the fourth heat exchanger to convey working fluid therebetween, a collecting vessel positioned below the fifth heat exchanger and above the second emergency core cooling and residual heat removal tank for collecting vapour condensed by the fifth heat exchanger, pipe means to supply condensed vapour from the collecting vessel to the second emergency core cooling and residual heat removal tank. 34. A water cooled nuclear reactor as claimed in claim 32 comprising an intermediate heat exchanger, ducting means interconnecting the intermediate heat exchanger and the fourth heat exchanger to convey working fluid therebetween, the second heat exchanger exchanging heat to the intermediate heat exchanger, the intermediate heat exchanger and second heat exchanger being positioned inside the containment building. 35. A water cooled nuclear reactor as claimed in claim 28 in which the reactor pressure vessel is located within a dry chamber surrounded by a low pressure emergency core coolant and residual heat removal tank formed between a wall of the dry chamber and a wall of a containment building, the dry chamber being interconnected with the said tank via a large vent from an upper region of the dry chamber to a lower region of the low pressure emergency coolant and residual heat removal tank, which also acts as a containment pressure suppression pool. 36. A water cooled nuclear reactor as claimed in claim 1 in which the emergency core cooling and residual heat removal tanks contain a neutron absorbing agent dissolved in the water. 37. A water cooled nuclear reactor as claimed in claim 36 in which the neutron absorbing agent is boron in the form of boric acid. 38. A water cooled nuclear reactor as claimed in claim 1 in which at least a portion of the water space of the pressuriser is positioned above an upper portion of the primary water coolant circuit, at least one means which communicates between the pressuriser and the primary water coolant circuit to connect the .steam space of the pressuriser with the upper portion of the primary water coolant circuit, at least one surge port means which communicates between the pressuriser and the primary water coolant circuit to connect the water space of the pressuriser with a lower portion of the primary water coolant circuit, the at least one surge port means being arranged to have relatively low flow resistance for water from the water space of the pressuriser to the primary water coolant circuit and relatively high flow resistance for water from the primary water coolant circuit to the water space of the pressuriser, the at least one means which communicates between the steam space of the pressuriser and the upper portion of the primary water coolant circuit allows excess vapour formed in the primary water coolant circuit to flow to the steam space of the pressuriser. 39. A water cooled nuclear reactor as claimed in claim 38 in which the reactor core, the primary water coolant circuit and the pressuriser are arranged as an integral unit enclosed by the pressure vessel, at least one casing being arranged in the pressure vessel to substantially divide the pressure vessel into a first chamber and a second chamber, the reactor core and the primary water coolant circuit being arranged in the second chamber, the pressuriser being arranged in the first chamber, the casing preventing mixing interaction between the water in the primary water coolant circuit and the water in the water space of the pressuriser. 40. A water cooled nuclear reactor as claimed in claim 1 in which the first pipe means interconnects the water space of the pressuriser with the full pressure reactor core cooler means. 41. A water cooled nuclear reactor as claimed in claim 1 in which the the water cooled nuclear reactor is an integral pressurised water reactor. 42. A water cooled nuclear reactor as claimed in claim 1 in which the water cooled nuclear reactor is an integral indirect cycle boiling water reactor. |
summary | ||
039322149 | summary | This invention relates to a nuclear reactor, especially a so-called "fast reactor," in which the core is formed by a group of fuel assemblies and cooled by a liquid metal usually consisting of sodium and circulated in contact with said fuel assemblies. The coolant is confined at least partially within a first tank or so-called primary tank having a vertical axis and containing the reactor core, said primary tank being in turn surrounded by a second tank or so-called main tank. The complete assembly formed by both tanks is placed within a thick-walled structure which constitutes an external containment vessel for providing biological protection against the effects of radioactive radiation. In one arrangement of this type which is conventional in the technical field under consideration, the invention relates more especially to integrated reactors, that is to say in which the tanks contain not only the reactor core and the volume of sodium which is necessary for cooling this latter but also the reactor auxiliaries and equipment units such as heat exchangers and pumps which serve to ensure removal of the heat picked up by the sodium as it passes through the reactor core and the continuous circulation of this latter from one tank to the other. In a general manner and when the sodium is circulated in the upward direction through the reactor core, the primary tank or inner tank delimits above the core a region in which the hot sodium collects after being discharged from the core and which must be as well-confined as possible for various reasons of operational safety. As a result of this confinement, however, zones constituted by sodium at different temperatures are present at the level of said tank; this has a tendency to develop differential expansions between the primary tank and other parts of the reactor which are heated to a lower temperature. The heat exchangers mounted within the main tank and outside the primary tank are directly supplied with hot sodium, the cooled sodium which is discharged from said heat exchangers being taken from the main tank, then returned by the circulating pumps beneath the reactor core where the cycle starts again. The aim of the present invention is to simplify the design concept of the arrangements provided for conveying the hot sodium from the primary tank to the heat exchangers contained in the main tank while retaining in particular both the integrity and the shape of said tanks and especially the primary tank without entailing any need in particular to make provision for penetrations or orifices through the primary tank wall for the discharge of sodium. The connection with the heat exchangers is established in such a manner as to readily permit the further possibility of relative displacements of the heat exchangers with respect to the primary tank as a result of differential expansions caused by the variable temperatures inevitably encountered. To this end, the nuclear reactor under consideration is so designed that each heat exchanger is mounted with a vertical axis, is provided with inlet and outlet windows respectively at the upper and lower end for the admission and discharge of sodium derived from the primary tank and is surrounded by an outer shell which penetrates together with the heat exchanger into the region located between the primary tank and the main tank. The reactor is characterized in that the outer shell delimits with the heat exchanger an annular space joined at the level of the heat-exchanger inlet windows to one end of at least one elbowed duct, the other end of which extends into the sodium contained in the primary tank. Said annular space is connected by means of a pipe providing a communication with a pumping source for producing a variable negative pressure within said space and thus priming the siphon formed by the primary tank, the elbowed duct and the annular space. Apart from this main feature, a nuclear reactor which is constructed in accordance with the invention has further related features which are preferably intended to be employed in combination but could in some cases be considered separately and relate in particular to the following points: each heat exchanger is provided in the external surface thereof between the sodium inlet and outlet windows with projecting annular flanges which delimit with the opposite wall of the outer shell a labyrinth seal for the annular space; the outer shell which surrounds each heat exchanger is extended at the top portion thereof by a tubular element which passes through the biological shield structure and is provided with an annular bearing shoulder for supporting the heat exchanger; the pipe which opens into the annular space can be connected with a source of gas under pressure for the controlled unpriming of the siphon. Further characteristic features of a nuclear reactor which is constructed in accordance with the invention will now become apparent from the following description of one exemplified embodiment which is given by way of indication but not in any limiting sense, reference being made to the single FIGURE of the accompanying drawing which is a diagrammatic view of said reactor in partial vertical cross-section. In this FIGURE, the reference numeral 1 designates diagrammatically a fast reactor core formed by the side-by-side arrangement of fuel assemblies 1a containing either fertile or fissile material. The reactor core 1 has a vertical axis and is disposed within a first tank 2 or so-called primary tank which is in turn surrounded by a second tank 3 known as the main tank and placed in coaxial relation with the first, both tanks being open at the top. In a manner known per se, the tank 3 can be suspended by means of the type designated by the reference 4 from a slab 5 of substantial thickness forming a top closure for a biological shield structure or vessel containing the complete installation. Said tanks 2 and 3 are filled with a suitable volume of liquid metal and especially sodium which serves to cool the reactor core 1 during operation and to transfer the heat picked-up in contact with the fuel assemblies to a secondary fluid employed in the production of electric power outside the reactor. The foregoing arrangements make it possible in particular to confine the hot sodium which is discharged from the reactor core 1 after having passed upwardly through this latter within the tank 2. The sodium which remains and especially which is contained within the tank 3 is at a lower temperature, especially as a result of the cooling action produced through the heat exchangers of the type shown at 10 in the drawing. These heat exchangers are preferably mounted at uniform intervals within the main tank 3 outside the primary tank 2 and associated with circulating pumps 10a which are also mounted within the tank 3 and serve to take the sodium from the outlets of the heat exchangers and to return it beneath the reactor core 1 for a further passage through this latter. As a result of the output of said pumps and the pressure drops encountered, the levels of sodium respectively within the tanks 2 and 3 as shown in the FIGURE at 8 and 9 are such that the level 8 in the tank 2 is slightly higher than the level 9 in the tank 3. Each heat exchanger 10 is designed in the form of a unit having a vertical axis and a generally cylindrical shape. Pipes 11 and 12 for the admission and discharge of a suitable secondary fluid which can be either sodium or water penetrate into the upper end of each heat-exchanger unit. The secondary fluid passes through the heat exchanger through a series of circulation tubes (not shown in the drawing) which are maintained parallel to the axis of the unit by means of tube-plates. The heat-exchanger unit 10 is provided at the upper and lower ends with inlet and outlet windows for the admission and discharge of the sodium which is present within the tanks 2 and 3 and which is accordingly permitted to come into contact with the secondary-fluid circulation tubes. The sodium passes downwardly through the heat exchanger so as to be recirculated at the outlet of this latter by the pumps mentioned above and returned towards the reactor core 1. The heat exchanger 10 is provided at the top end with a transverse annular flange 15 which rests on an annular bearing shoulder 16 forming part of an element 17. Said element forms a linear tube which extends through the top slab 5 of the containment vessel 6 and serves to support said heat exchanger which can thus extend directly into the volume of sodium contained between the tanks 2 and 3. In accordance with the invention, the liner tube 17 is extended downwards in the form of a cylindrical shell 18 which surrounds the heat exchanger and delimits with this latter an annular space 19 which is joined by means of a connector-pipe 20 fitted with a regulating valve 21 to a pumping source 20a which is located outside the reactor and serves to reduce the pressure within said annular space with respect to the pressure of an inert blanket gas from source 20b which is maintained above the sodium within the tanks 2 and 3. The heat exchanger 10 is provided in the external surface thereof with a series of annular flanges 22 which form radial projections towards the opposite wall of the shell 18, these annular flanges being intended to form with said wall a labyrinth seal for maintaining at least partial leak-tightness of the annular space 19. At the top portion of the shell 18 and substantially at the level of the inlet windows 13, a communication is provided between said space 19 and the primary tank 2 by means of an elbowed duct 23, the lower end of which extends beneath the level 8 of the sodium within said primary tank. Said duct 23 has in particular a horizontal portion 24 and a vertical 25 which is immersed in the sodium to a level which is determined by the operating conditions of the installation and in particular by the rate of flow through the heat exchanger 10 and the reactor core 1. The operation of the fast reactor under consideration is accordingly as follows: under the action of the circulating pumps, the sodium which is collected in the main tank at the outlets of the heat exchangers 10 is discharged through collectors (not shown) beneath the reactor core 1 into the tank 2, the sodium being then passed upwardly through the reactor core in contact with the fuel assemblies. Under these conditions, the hot sodium discharged from the reactor core is confined within the primary tank 2. In order to ensure transfer of the sodium to the main tank 3, the annular space 19 is subjected to a suitable degree of negative pressure by means of the pipe 20, said negative pressure being produced in a progressive manner and limited to a low value of one tenth of an atmosphere, for example. The level of sodium rises simultaneously within the duct 23 in the direction of the arrow 26 and around the bundle of secondary-fluid circulation tubes within each heat-exchanger unit 10. When this level reaches the bottom generating-line of the horizontal portion 24 of the duct 23, the flow begins to take place from the primary tank 2 towards the main tank 3 and the hot sodium penetrates through the inlet windows 13. As the level of sodium continues to rise, said windows 13 are then entirely covered, the heat exchanger then operates continuously and the duct 23 performs the function of a conventional siphon As an advantageous feature, the inlet windows 13 are so designed and arranged as to produce a slight turbulence within the sodium flow in order to ensure the necessary stability of operation. In fact, if the flow were to take place without turbulences, the sodium derived from the primary tank 2 would also pass a small quantity of neutral gas which is present above the level 8 within said tank; by progressively accumulating within the annular space 19, this quantity of gas would be liable to produce a pressure rise and correlatively a reduction in the level of sodium with respect to the windows until final unpriming of the siphon. On the other hand, by generating a suitable turbulence, this gas is carried along with the sodium which passes through the heat exchanger, the negative pressure within the annular space 19 being consequently maintained at a substantially constant value. In the nuclear reactor which is thus constructed, it is possible not only to ensure confinement of the hot sodium within the primary tank but also, by virtue of the arrangement which is contemplated, to obtain either a reduction in the volume of hot sodium contained in the reactor or alternatively an increase in the height of the heat-exchangers for a given volume. A further advantage of the arrangement considered arises from the possibility of isolating one or a number of heat exchangers at will, simply by regulating the pressure within the annular space. Thus, by injecting into said space a suitable quantity of neutral gas such as argon under pressure, the effect thereby achieved is to lower the level of sodium within said space and to cause immediate unpriming of the corresponding siphon. Strictly speaking, it is only necessary to ensure that the volume flow rate of injected gas is equal to the rate of flow of sodium through the heat exchanger or in other words that the quantity of gas is equal to the volume represented by the complete heat-exchanger unit and shell. A further advantage also arises from the assembly of each heat exchanger within a cylindrical shell which extends through the top slab of the containment vessel structure and permits of relative displacements of said heat exchanger and of the two tanks without any mutual reactions of these different elements, irrespective of the differential expansions which may take place. Finally, the use of a connecting duct between the annular space provided around each heat exchanger and the primary tank avoids the need to modify the shape of said tank and accordingly makes it possible to maintain the integrity of this latter, especially by dispensing with any need for holes or other penetrations through the wall of said tank for the flow of sodium. It must be clearly understood that the invention is not limited to the exemplified embodiment which has been more especially described with reference to the drawings but extends to all alternative forms. From this it follows that the labyrinth seal which is intended to ensure leak-tightness of the annular space 19 could be formed by means other than that described in the foregoing by way of example and by making use of another type of mechanical seal (which can be secured to the heat exchanger 10 or to the shell 18) or alternatively a hydraulic seal. |
048636711 | summary | BACKGROUND OF THE INVENTION The present invention relates to a system for plasma confinement by magnetic fields, and more particularly to a plasma confinement system having magnetic field generation equipment which is well suited for the highdensity plasma confinement of a nuclear fusion apparatus or the like. The plasma confinement system produces a plasma within a toroidal vacuum chamber and exerts magnetic fields on the plasma so as to confine the plasma. Conventional plasma confinement systems are based on pulse operations. With the intention of the A.C. operation of the system, there has been the idea that primary winding coils are excited by the use of alternating current as disclosed in Japanese Patent Application Laid-open No. 100891/1984. The operation on this occasion, however, has been quite equivalent to the ordinary pulse operation as regards the half cycle of the alternation. In the Tokamak-type confinement system which is a typical conventional system, it is the ballooning instability that forms an obstacle to the future perfection of this system as a nuclear fusion reactor. This instability takes place for the reason that the outward convex part of a plasma swells outwards still more. The system therefore has the problem that the plasma disappears in a short time and cannot be confined for a long time. SUMMARY OF THE INVENTION A general object of the present invention is to provide a plasma confinement system which can confine a plasma for a long time. Another object of the present invention is to provide a plasma confinement system which can eliminate the instability stated before. The above objects are accomplished by changing a magnetic surface shape within a plasma. In order to change the magnetic surface shape, currents to coils for establishing magnetic fields within a poloidal surface may be changed. In case of the Tokamak-type system, a poloidal coil system for holding an equilibrium is wound axisymmetrically. When coils for affording the change to the magnetic surface shape are wound axisymmetrically, also the change in the shape becomes axisymmetric. On the other hand, when the coils for affording the change to the magnetic surface shape are wound non-axisymmetrically, the change in the shape becomes non-axisymmetric, but the basic plasma equilibrium can be held axisymmetric. Usually, the feed of power to the coils has been performed quasi-statically. That is, a single operating state has existed within one operating cycle. With an ordinary change in the shape, a part in which the magnetic line of force is convex outwards cannot be eliminated, and the instability grows. The growth of the instability can be prevented in such a way that the magnetic surface is rotated to rapidly remove the plasma from the unstable position. The rotation of the magnetic surface is achieved by supplying an alternating current to the poloidal coil system with phase shifts. In the toroidal plasma, the magnetic surface is formed by the magnetic field within a poloidal plane which is established by a plasma current flowing in the lengthwise direction of the toroid and the currents flowing in the coils wound in the lengthwise direction of the toroid, namely, the poloidal coils. When the magnetic field established by the poloidal coils forms a quadrupole field, a nearly circular magnetic surface generated by the plasma current is deformed to be elliptical. When the magnetic field established by the poloidal coils is a hexapole field, the section of the plasma is to be triangular. If the magnetic field established by the poloidal coils is an octupole field, the change in the shape of the section comes to have a quadrilateral component. In general, the relationship between a multipole magnetic field and a sectional shape holds. When the multipole magnetic field is the quadrupole, four coils are wound in the lengthwise direction of the toroid and are arranged at intervals of about 90.degree. within the poloidal plane, and the coils adjoining each other are supplied with currents in opposite directions. For the hexapole, six coils are arranged at intervals of 60.degree. , and for the octupole, eight coils are arranged at intervals of 45.degree. . A method of power feed to the coils for attaining the rotating magnetic field is as follows: Alternating currents are supplied to the coils for establishing the multipole magnetic field. The alternating currents to be supplied to the adjacent coils are shifted in phase. A phase difference on this occasion is set at: ##EQU1## Here, 2M indicates the number of the coils, and N indicates the change in the shape to an N-gon. In the configuration of a steady non-rotating magnetic surface, a value equal to N is the lower limit of M. However, in the case where M is equal to N, the phase difference based on the alternating currents becomes 180.degree. in view of the above expression, and the magnetic surface does not rotate. Accordingly, a condition for the rotation is M>N. With the six coils, the hexapole magnetic field can be realized, but the plasma column can be rotated in the case of up to N=2 deformation based on the quadrupole magnetic field, namely, the elliptical section. With the eight coils, the sections of N=2 and 3 deformations can be rotated. Thenceforth, the same applies. Even if the number of the coils is odd, [(the coil number - 1) .div.2]-gonal shape can be rotated in principle. However, the set of even-numbered coils is more advantageous as to the number of power sources and the aspect of wiring because in-phase and anti-phase coils are existent. Since charged particles are bound to the magnetic line of force, the rotation of the magnetic surface drives the plasma column to rotate in accordance with a peristaltic movement in the rotating direction thereof. Regarding the instability of the high-density plasma, the ballooning type wherein on the outermost side of the toroid, the plasma protrudes outwards still more, has the greatest growth rate. According to the present invention, the unstable plasma part is quickly moved to the stable part, so that the instability does not grow thereafter. The growth rate .gamma. of the ballooning instability is approximately indicated by the following expression: ##EQU2## Here, n indicates the density of electrons, v.sub.th the thermal velocity of ions, R the major radius of the toroid, and V a differential operator indicative of a gradient. Letting .omega. denote the rotating angular velocity of the magnetic surface, the period of time in which the magnetic surface rotates between the outer side and inner side of the toroid is .pi./.omega.. Since the growth of the instability may be little meantime, the following may be held: ##EQU3## where ##EQU4## are used for approximation. r denotes the radius of the plasma column. It is indicated by the above expression that the rotating velocity of the magnetic surface is effective when it exceeds the thermal velocity of the ions on the outermost side. |
claims | 1. An irradiating device comprising:an electron accelerator;a scanning box connected to the electron accelerator; anda scanning magnet for controlling electron beams generated by the electron accelerator;wherein the scanning box is provided with both a target and an electron beam exit window, so that when the scanning magnet is not in operation, the electron beams impinge on the target and X-rays are generated to be output, and when the scanning magnet is in operation, the electron beams are scanned by the scanning magnet and then the scanned electron beams are output via the electron beam exit window. 2. The irradiating device of claim 1 wherein the target is positioned right in a direction of the electron beams generated by the electron accelerator. 3. The irradiating device of claim 2 wherein the electron beam exit window is positioned at a left or right side of the target. 4. The irradiating device of claim 2 wherein the target is positioned at an inner side of the electron beam exit window, forming an inner target structure. 5. The irradiating device of claim 1 wherein the scanning box is further provided with cooling fluid loop for cooling the target and the electron beam exit window. 6. The irradiating device of claim 1 wherein, when the scanning magnet is in operation, a center of the electron beams is deflected with respect to a direction of the electron beams generated by the electron accelerator by controlling a scanning current supplied to the scanning magnet. 7. A method for controlling an irradiating device, which comprises an electron accelerator, a scanning box connected to the electron accelerator, and a scanning magnet for controlling electron beams generated by the electron accelerator, wherein the scanning box is provided with both a target and an electron beam exit window, the method comprising steps of:a) when the scanning magnet is not in operation, the electron beams impinge on the target to generate X-rays, so that the irradiating device outputs the X-rays; andb) when the scanning magnet is in operation, the electron beams are scanned and deflected by supplying deflecting scanning current to the scanning magnet, and then the scanned electron beams pass through the electron beam exit window, so that the irradiating device outputs the electron beams. 8. The irradiating device of claim 1, wherein the electron accelerator is a linear accelerator. 9. The method of claim 7, wherein the electron accelerator is a linear accelerator. |
|
description | This application is the U.S. national phase of PCT Application No. PCT/RU2018/000010 filed Jan. 16, 2018, which claims priority to Russian Patent Application No. RU 2017101380 filed Jan. 16, 2017, the disclosures of which are incorporated in their entirety by reference herein. The invention relates to the field of environmental protection, more specifically to the field of processing radioactive waste, and can be used for the safe and effective handling of a large quantity of liquid radioactive waste of various activity levels that has been formed as the result of decontaminating protective equipment of boxes and chambers, and makes it possible to decrease the volume of stored waste by solidifying the same and incorporating the same into a ceramic matrix. A method is known for incorporating radioactive waste into ceramic materials (RF Patent No. 2153717, G21F9/16) consisting in that radioactive waste containing radionuclides U, Th, Am, Cm, Pu, and Np, as well as radioactive rare-earth elements Zr, Mo, Ru, Cs, Pd, Sr, Ba, and Rb, is subjected to thermal concentration, the concentrate is mixed with oxides in the following component proportions, wt %: radioactive waste concentrate (in terms of oxides)—15-30; TiO2—50-60; CaO—5-10; ZrO2—5-20; Al2O3—3-5; BaO—3-10, the resulting fusion mixture is then mixed with a carbon-containing material taken in an amount of 3-10 wt % of the total fusion mixture weight, and the moisture content of the resulting carbon-containing fusion mixture is brought to 5-20 wt %. The carbon-containing fusion mixture with a moisture content of 5-20 wt % is then fed onto the surface of a molten ceramic material having a working temperature of 1,400-1,500° C., the mixture of the carbon-containing fusion mixture and the molten ceramic material is held until a homogenized melt is formed, wherein the working temperature of the molten ceramic material is maintained by constantly heating it while feeding the carbon-containing fusion mixture and maintaining it, after which the homogenized melt is cooled down to form a monolithic ceramic material (Synroc) with radioactive waste included therein (the final product), which is suitable for long-term storage, and the entire process of the incorporation of radioactive waste into the ceramic material is carried out at a pressure below atmospheric pressure. Thermal concentration is carried out by the incomplete dehydration (evaporation or drying) of radioactive waste, which always contains water, wherein the use of incompletely dehydrated radioactive waste instead of calcinate prevents dust formation at the stage of fusion mixture preparation, which increases the safety of the method as implemented. The thermally concentrated radioactive waste is not only incorporated into the resulting Synroc ceramic material, but is also one of the starting components for the synthesis of perovskite, zirconolite and hollandite, which are parts thereof. The disadvantages of the proposed method are as follows: high energy consumption; the fusion mixture should be continuously mixed with the carbon-containing material to maintain a constant melting temperature for the material in the range of 1,400-1,500° C., which requires the use of additional batchers; the process is carried out at a pressure below atmospheric pressure, which requires the use of additional equipment to create a vacuum; conducting the process in an induction melter requires induction melting equipment (high-frequency radiation generators, high feed currents and, in some cases, water-cooling systems). A method for immobilizing the high-level waste in a ceramic matrix (RF Patent No. 2315381 of May 22, 2006, “Method for immobilizing high-level waste in a ceramic matrix”, G21F 9/16) is the closest equivalent, having the greatest number of substantial features in common with the claimed invention. Said method comprises calcinating (denitrating) of high-level waste and mixing of the same with oxides (in the following proportions: calcinate of high-level waste—10 to 20 wt %; TiO2—50 to 60 wt %; CaO—7.5 to 12.5 wt %; MnO—7.5 to 12.5 wt %; FeO3—2.5 to 7.5 wt %; Al2O3—2.5 to 7.5 wt %), heating the resulting mixture at a pressure not lower than atmospheric pressure to the maximum working temperature of 2,000° C., holding at the working temperature and cooling until a monolithic material is formed. Said method is designed to incorporate high-level waste with a high content of actinides and rare-earth elements, and is aimed at the immobilization and long-term geological storage of the actinide and rare-earth fraction of radioactive waste formed during the processing of spent nuclear fuel. The proposed method does not describe the possibility of incorporating high-salt waste containing significant amounts of alkali metal cations, including those containing components of acidic and alkaline solutions used to decontaminate protective equipment. The process is highly energy-consuming. The objective of this technical solution is to create a reliable and simple method for immobilizing non-process waste to produce a monolithic material suitable for long-term geological storage. To achieve this objective, a method is proposed for handling radioactive solutions after decontamination of the surfaces of the protective equipment, which method comprises the combined evaporation of alkaline and acidic solutions with subsequent calcination, the mixing of the calcinate with the components of the fusion mixture containing oxides of titanium, calcium, iron (III) and aluminum in certain proportions, and the thermal treatment and combined melting of all components to produce a ceramic matrix, characterized in that zirconium and manganese oxides are further introduced into the mixture of calcinate and oxides of titanium, calcium, iron (III) and aluminum in the following component proportions, wt %: Calcinate of high-level waste10.0-20.0TiO253.0-57.0CaO 9.0-11.0Fe2O34.5-5.5Al2O34.5-5.5ZrO2 4.5-5.5, and the total content of MnO2 in the mixture does not exceed 10 wt %. The solid residue resulting from the evaporation of decontaminating solutions is calcined at 750 to 800° C. to fully decompose nitrates and carbonates. The calcinate is fused with the fusion mixture at 1,350° C. or higher for 1 hour to produce a monolithic fused ceramic material. The presence of zirconium and manganese dioxides in the fusion mixture reduces the ceramic melting temperature, which makes the process less energy-consuming. The manganese oxide content of the fusion mixture varies depending on the actual content of potassium permanganate in process solutions after decontamination in terms of manganese. A manganese dioxide content in the fusion mixture of above 10% is inexpedient, since it raises the melting temperature of the mixture. If the zirconium dioxide content is below the lower limit, the phase composition of the ceramic material may change. It is inexpedient to increase the content of zirconium dioxide in the fusion mixture to above 5.5%. When said process operations are implemented, the solutions after decontamination can be evaporated to salts, and after the heat treatment thereof to form oxides, they can be mixed with the components of the fusion mixture to produce a ceramic material, which in turn can be obtained by fusing all the components to form a monolithic material suitable for the long-term geological storage of radioactive waste. Decontaminating solutions of two compositions, acidic (containing 5% HNO3, 0.5% H2C2O4 and 0.5% EDTA) and alkaline (containing 0.5% KMnO4 and 5% NaOH), were mixed together in equal volume proportions. The resultant solution was evaporated at 95° C., and after the water had evaporated, the temperature was raised to 350° C. The resultant residue was mixed with the components of the ceramic fusion mixture containing oxides of titanium, manganese (IV), aluminum, iron (III), calcium, and zirconium in such a way, that the composition of the resulting ceramic material conformed to the component proportions listed in Table 1. Due to the presence of hygroscopic sodium hydroxide in the residue resulting from the evaporation of decontaminating solutions (melting point: 323° C.), the product does not become perfectly dry after evaporation and heat treatment at 350° C. and does not require additional introduction of moisture-rich products to prevent dust formation. This reduces the emission of aerosols when the product is mixed with the fusion mixture and simplifies the process conditions for melting the target ceramic material. The manganese oxide content may be adjusted depending on the actual content of potassium permanganate in process solutions after decontamination in terms of manganese. If the acidic and alkaline solutions are not uniformly mixed, and if the potassium permanganate fraction in the process radioactive solutions is increased or reduced, the composition shown in Table 1 can be adjusted by reducing or increasing the MnO2 content in the initial fusion mixture. After mixing the solidified solutions with the fusion mixture components, the entire composition is heat-treated at 800° C. to decompose nitrates and carbonates, and the resulting composition is then melted at 1,350° C. for 1 hour. According to the X-ray phase analysis data, the melted material obtained after cooling to room temperature is formed by the main phase with the murataite structure (with the cubic crystal lattice parameter a=14.63±0.01 A) and the additional phase with the perovskite structure. The leaching rates of the main components (cations) of the matrix is in the range of 10−6-10−7 g/cm2 per 2.4 hours when the material is leached in hot bidistilled water at 90° C. (samples are taken on the 3rd, 7th and 14th days). TABLE 1Compositions for producing ceramic materialComponentContent, mass fraction %TiO255.00MnO28.94CaO10.00AL2O35.00Fe2O35.00ZrO25.00Waste (dry residue resulting from11.06evaporation of decontaminating solutions) |
|
043269206 | summary | The present invention relates generally to nuclear reactors which include a vertically extending reactor vessel containing a number of reactor components and positioned within a cavity located under a reactor deck arrangement and defined by a circumferential cavity wall assembly. As will be seen hereinafter, the present invention is particularly directed to the reactor vessel itself which is separate and distinct from either the deck arrangement or cavity wall assembly and the way in which the vessel is interlocked between the deck arrangement and wall assembly. As just stated, the present invention is directed generally to nuclear reactors of the type including a deck arrangement, a reactor vessel located within a cavity under the deck arrangement and a wall assembly defining this cavity. One such nuclear reactor is a pool type liquid metal fast breeder reactor in which the vessel body is constructed of stainless steel. In the past, this vessel body which is extremely large, for example on the order of 70 feet in diameter, has been provided as a joined part of the deck arrangement itself. However, the belief has been that it is not necessary nor economical to provide a stainless steel deck and, hence, the deck is preferably constructed for the most part of carbon steel. However, in order to interconnect the vessel body with the deck, a bimetallic (stainless steel to carbon steel) weld between the two is necessary. This rather large vessel is to be contrasted with the smaller reactor vessels in a loop type nuclear reactor, e.g., those on the order of 25 feet in diameter, which have been made independent of other components, but which have been supported on their underside by support feet. One drawback in utilizing a bimetallic weld of the type recited relates to the way in which the reactor vessel is inspected for cracks. More specifically, because the vessel body is constructed of stainless steel, present code requirements allow it to be inspected visually which means that simple camera equipment can be utilized for in-service inspections. On the other hand, carbon steel and the carbon steel/stainless steel weld require either ultrasonic or x-ray inspection for cracks. X-ray detection is difficult in the presence of radioactivity and ultrasonic detection is not entirely reliable. However, as will be seen hereinafter, the present invention eliminates the problem by eliminating the bimetallic weld in a manner that is uncomplicated and economical. In view of the foregoing, one object of the present invention is to provide a nuclear reactor of the general type described above but one which includes a reactor vessel separate and distinct from the rest of the components making up the reactor and particularly its deck arrangement. Another object of the present invention is to provide a reactor vessel designed without a bimetallic weld, specifically a vessel constructed in its entirety of stainless steel in a preferred embodiment. Still another object of the present invention is to provide a reactor vessel having a support flange mechanically interlocked with the reactor's deck arrangement and its cavity wall assembly in a way which accomodates thermal displacement and resists seismic forces. As will be discussed in more detail hereinafter, the reactor vessel which is designed in accordance with the present invention for use in a pool-type reactor but which in a smaller size may be used in a loop type reactor includes a main body located within the cavity defined by its cavity wall assembly and an upper circumferential rim forming a support flange located and interlocked between the deck arrangement and an upper section of the reactor's wall assembly, whereby to support the vessel body in place. In a preferred embodiment, the vessel body and its support flange are formed with one another (welded together) and constructed of stainless steel, thereby eliminating the previously recited bimetallic weld. In addition, the support flange and both the deck arrangement and cavity wall assembly provide cooperating shoulders such that the cavity wall assembly supports the reactor vessel and the support flange at the top of the vessel supports the deck arrangement. At the same time, means are provided for allowing thermal displacement and resisting seismic forces between these components. |
description | This application is based upon and claims the benefit of priority from Japanese Patent Application No. 2020-045857, filed on Mar. 16, 2020; the entire contents of which are incorporated herein by reference. Embodiments described herein relate generally to a collimator and a collimator module. Conventionally, X-ray Computed Tomography (CT) apparatuses include an X-ray detector configured to detect X-rays. Generally speaking, such an X-ray detector for use in an X-ray CT apparatus includes a collimator in which a plurality of X-ray blocking plates are arranged in a grid formation, so that the X-ray blocking plates protect X-ray detecting elements from scattered X-rays that may become incident thereto. A collimator according to an embodiment is a collimator for use in an X-ray CT apparatus and includes a collimator module and resin. The collimator module includes a first scattered ray eliminating part and a second scattered ray eliminating part. The resin is provided between the first scattered ray eliminating part and the second scattered ray eliminating part and is configured to hold the first scattered ray eliminating part and the second scattered ray eliminating part. In the following sections, exemplary embodiments of a collimator and a collimator module of the present disclosure will be explained, with reference to the accompanying drawings. The configurations illustrated in the drawings are schematic. The dimensions of the constituent elements in the drawings and the proportions of the dimensions among the constituent elements may be different from those in actuality. Further, different drawings may illustrate differently the dimensions of the same constituent elements and the proportions of the dimensions among the constituent elements. In the embodiments described below, examples will be explained in which configurations of the collimator and the collimator module of the present disclosure are applied to an X-ray detector and an X-ray CT apparatus. FIG. 1 is a diagram illustrating an exemplary configuration of an X-ray CT apparatus according to an embodiment of the present disclosure. For example, as illustrated in FIG. 1, an X-ray CT apparatus 1 according to the present embodiment includes a gantry 10, a couch 30, and a console 40. For the sake of convenience in the explanation, the gantry 10 is depicted more than once in FIG. 1. In the present embodiment, the rotation axis of a rotating frame 13 in a non-tilted state or the longitudinal direction of a couchtop 33 of the couch 30 is defined as a “Z-axis direction”. The axial direction orthogonal to the Z-axis direction and parallel to the floor surface is defined as an “X-axis direction”. The axial direction orthogonal to the Z-axis direction and perpendicular to the floor surface is defined as a “Y-axis direction”. The gantry 10 is configured to radiate X-rays onto a subject P, to detect X-rays that have passed through the subject P, and to output a detection result to the console 40. The gantry 10 includes an X-ray tube 11, an X-ray detector 12, the rotating frame 13, a controller 15, a wedge 16, an X-ray limiter 17, and an X-ray high-voltage device 14. The X-ray tube 11 is a vacuum tube configured to generate the X-rays by emitting thermo electrons from a negative pole (a filament) toward a positive pole (a target), with high voltage applied from the X-ray high-voltage device 14. For example, the X-ray tube 11 is a rotating anode X-ray tube configured to generate the X-rays by emitting the thermo electrons onto a rotating anode (positive pole). The wedge 16 is a filter used for adjusting the dose of the X-rays radiated from the X-ray tube 11. More specifically, the wedge 16 is a filter configured to pass and attenuate the X-rays radiated from the X-ray tube 11, so that the X-rays radiated from the X-ray tube 11 onto the subject P have a predetermined distribution. For example, the wedge 16 is a filter obtained by processing aluminum so as to have a predetermined target angle and a predetermined thickness. The wedge 16 may be referred to as a wedge filter or a bow-tie filter. The X-ray limiter 17 includes lead plates or the like used for narrowing down the radiation range of the X-rays that have passed through the wedge 16 and is configured to form a slit with a combination of the plurality of lead plates or the like. The X-ray detector 12 is configured to detect the X-rays that were radiated from the X-ray tube 11 and have passed through the subject P. More specifically, the X-ray detector 12 includes a plurality of rows of detecting elements in each of which a plurality of detecting elements are arranged in a channel direction along an arc centered on a focal point of the X-ray tube 11. For example, the X-ray detector 12 has a structure in which the plurality of rows of detecting elements are arranged in a row direction (which may be called a slice direction), the plurality of rows each having the plurality of detecting elements arranged in the channel direction. Further, the X-ray detector 12 includes a Data Acquisition System (DAS) configured to process electrical signals output from the detecting elements. The DAS includes an amplifier configured to perform an amplifying process on the electrical signals output from the X-ray detecting elements of the X-ray detector 12; and an Analog/Digital (A/D) converter configured to convert the electrical signals into digital signals. The DAS is configured to generate detection data. The detection data generated by the DAS is transferred to the console 40. The X-ray high-voltage device 14 includes: a high-voltage generator including electrical circuits such as a transformer, a rectifier, and the like and having a function of generating the high voltage to be applied to the X-ray tube 11; and an X-ray controller configured to control the output voltage in accordance with the X-ray output radiated by the X-ray tube 11. The high-voltage generator may be of a transformer type or of an inverter type. Further, the X-ray high-voltage device 14 may be provided on the rotating frame (explained later) or may be provided on a supporting frame (not illustrated) configured to rotatably support the rotating frame 13 in the gantry 10. The rotating frame 13 is an annular frame configured to support the X-ray tube 11 and the X-ray detector 12 so as to oppose each other and configured to rotate the X-ray tube 11 and the X-ray detector 12 via the controller 15 (explained later). In addition to supporting the X-ray tube 11 and the X-ray detector 12, the rotating frame 13 further includes and supports the X-ray high-voltage device 14. In this situation, the detection data generated by the DAS included in the X-ray detector 12 is transmitted from a transmitter including a Light Emitting Diode (LED) and being provided on the rotating frame 13, to a receiver including a photodiode and being provided in a non-rotation part (e.g., the supporting frame) of the gantry 10, through optical communication, and is further transferred to the console 40. The method for transmitting the detection data from the rotating frame 13 to the non-rotation part of the gantry 10 is not limited to the optical communication described above and may be realized with any of other contactless data transfer methods. The controller 15 includes: processing circuitry having a Central Processing Unit (CPU) or the like; and a driving mechanism configured with a motor, an actuator, and/or the like. Upon receipt of an input signal from an input interface 43 attached to the console 40 or to the gantry 10, the controller 15 has a function of controlling operations of the gantry 10 and the couch 30. For example, upon receipt of input signals, the controller 15 is configured to exercise control so as to rotate the rotating frame 13, to tilt the gantry 10, and to bring the couch 30 and the couchtop 33 into operation. In this situation, the control to tilt the gantry 10 is realized as a result of the controller 15 rotating the rotating frame 13 on an axis parallel to the X-axis direction, on the basis of tilting angle (tilt angle) information input through the input interface 43 attached to the gantry 10. The controller 15 may be provided for the gantry 10 or may be provided for the console 40. The couch 30 is configured so that the subject P to be scanned is placed thereon and configured to move the subject P. The couch 30 includes a base 31, a couch driver 32, the couchtop 33, and a supporting frame 34. The base 31 is a casing configured to support the supporting frame 34 so as to be movable in the vertical directions. The couch driver 32 is a motor or an actuator configured to move the couchtop 33 on which the subject P is placed, along the long axis directions thereof. The couchtop 33 provided on the top face of the supporting frame 34 is a board on which the subject P is placed. In addition to the couchtop 33, the couch driver 32 may also move the supporting frame 34 along the long axis directions of the couchtop 33. The console 40 is configured to receive operations performed by an operator on the X-ray CT apparatus 1 and to also reconstruct CT image data by using the detection data acquired by the gantry 10. The console 40 includes a memory 41, a display 42, the input interface 43, and processing circuitry 44. In the present example, the console 40 and the gantry 10 are separate from each other; however, another arrangement is also acceptable in which the gantry 10 includes the console 40 or one or more of the constituent elements of the console 40. The memory 41 is realized by using, for example, a semiconductor memory element such as a Random Access Memory (RAM) or a flash memory, or a hard disk, an optical disk, or the like. For example, the memory 41 is configured to store therein projection data and the CT image data. The display 42 is configured to display various types of information. For example, the display 42 is configured to output medical images (CT images) generated by the processing circuitry 44, a Graphical User Interface (GUI) used for receiving various types of operations from the operator, and the like. For example, the display 42 is a liquid crystal display or a Cathode Ray Tube (CRT) display. Alternatively, for example, the display 42 may be provided for the gantry 10. Further, for example, the display 42 may be of a desktop type or may be configured by using a tablet terminal or the like capable of wirelessly communicating with the main body of the console 40. The input interface 43 is configured to receive various types of input operations from the operator, to convert the received input operations into electrical signals, and to output the electrical signals to the processing circuitry 44. For example, the input interface 43 is configured to receive, from the operator, an acquisition condition used at the time of acquiring the projection data, a reconstruction condition used at the time of reconstructing the CT image data, an image processing condition used at the time of generating a post-processing image from a CT image, and the like. For example, the input interface 43 is realized by using a mouse, a keyboard, a trackball, a switch, a button, a joystick, and/or the like. Alternatively, for example, the input interface 43 may be provided for the gantry 10. Further, for example, the input interface 43 may be configured by using a tablet terminal or the like capable of wirelessly communicating with the main body of the console 40. The processing circuitry 44 is configured to control operations of the entirety of the X-ray CT apparatus 1. For example, the processing circuitry 44 is configured to execute a system controlling function 441, a pre-processing function 442, a reconstruction processing function 443, and an image processing function 444. The system controlling function 441 is configured to control the various types of functions of the processing circuitry 44, on the basis of the input operations received from the operator via the input interface 43. For example, the system controlling function 441 is configured to control a CT scan performed by the X-ray CT apparatus 1. Further, the system controlling function 441 is configured to control the generation and the display of the CT image data by the console 40, by controlling the pre-processing function 442, the reconstruction processing function 443, and the image processing function 444. The pre-processing function 442 is configured to generate the projection data obtained by performing pre-processing processes such as a logarithmic conversion process, an offset correcting process, an inter-channel sensitivity correcting process, a beam hardening correction, and/or the like on the detection data output from the DAS included in the X-ray detector 12. The data (the detection data) before the pre-processing processes and the data after the pre-processing processes may collectively be referred to as the projection data. The reconstruction processing function 443 is configured to generate the CT image data (reconstructed image data) by performing a reconstructing process using a filtered back projection method, a successive approximation reconstruction method, or the like, on the projection data generated by the pre-processing function 442. On the basis of an input operation received from the operator via the input interface 43, the image processing function 444 is configured to convert the CT image data generated by the reconstruction processing function 443 into tomographic image data or three-dimensional image data on an arbitrary cross-sectional plane, by using a publicly-known method. Alternatively, the three-dimensional image data may directly be generated by the reconstruction processing function 443. In relation to the above, for example, the processing circuitry 44 is realized by using a processor. In that situation, the processing functions of the processing circuitry 44 are stored in the memory 41 in the form of computer-executable programs. Further, the processing circuitry 44 is configured to realize the functions corresponding to the programs by reading and executing the programs from the memory 41. In other words, the processing circuitry 44 that has read the programs has the processing functions illustrated within the processing circuitry 44 in FIG. 1. In the present example, the abovementioned processing functions are realized by the single piece of processing circuitry (i.e., the processing circuitry 44); however, it is also acceptable, for example, to structure the processing circuitry 44 by combining together a plurality of independent processors, so that the processing functions are realized as a result of the processors executing the programs. Further, the processing functions of the processing circuitry 44 may be realized as being distributed among, or integrated together in, one or more pieces of processing circuitry, as appropriate. Further, the processing functions of the processing circuitry 44 may be realized by a combination of hardware such as circuits and software. Furthermore, although the example was explained in which the single memory (i.e., the memory 41) stores therein the programs corresponding to the processing functions, possible embodiments are not limited to this example. For instance, another arrangement is also acceptable in which a plurality of storage circuits are provided in a distributed manner, so that the processing circuitry 44 reads and executes a corresponding program from each of the individual storage circuits. FIG. 2 is a drawing illustrating an exemplary configuration of the X-ray detector 12 according to the present embodiment. For example, as illustrated in FIG. 2, the X-ray detector 12 is formed to have a substantially arc shape as a whole and is fixed to the rotating frame 13 described above in such a manner that the center of the arc coincides with the position of the X-ray tube 11. In this situation, the circumferential direction of the arc of the X-ray detector 12 coincides with the channel direction. Further, the axial direction of the arc of the X-ray detector 12 coincides with the row direction. Also, the radial direction of the arc of the X-ray detector 12 coincides with the X-ray radiation direction. In the drawings referenced in the following explanations, the channel direction is indicated with an arrow C, while the row direction is indicated with an arrow R, and the X-ray radiation direction is indicated with an arrow I. For example, the X-ray detector 12 includes a plurality of X-ray detector modules 121, a collimator 122, a first fixed frame 123, a second fixed frame 124, a first supporting frame 125, a second supporting frame 126, and an optical blocking plate 127. Each of the X-ray detector modules 121 includes an X-ray detection array 1211, a supporting member 1212, and a DAS 1213. The X-ray detection array 1211 includes a scintillator array and a photodiode array. The scintillator array includes a plurality of scintillators arranged in the channel direction and the row direction. Each of the scintillators includes a scintillator crystal that outputs light in a photon quantity corresponding to the amount of X-rays being incident thereto. The photodiode array includes, as detecting elements, a plurality of photodiodes arranged in the channel direction and the row direction. The photodiodes included in the photodiode array are arranged so as to be in one-to-one correspondence respectively with the scintillators included in the scintillator array and are each configured to output an electrical signal corresponding to the amount of light being output from the corresponding scintillator to the DAS 1213. The supporting member 1212 is formed to have a substantially cuboidal shape and has, on the face thereof facing the X-ray tube 11, the X-ray detection array 1211 fixed, so as to support the X-ray detection array 1211. On another face of the supporting member 1212 opposite from the face having the X-ray detection array 1211, the DAS 1213 is attached so as to extend along the X-ray radiation direction and is configured to generate the detection data on the basis of the electrical signals output from the photodiodes in the X-ray detection array 1211. The collimator 122 includes a plurality of X-ray blocking plates arranged in a grid formation along the channel direction and the row direction. The X-ray blocking plates protect the X-ray detection arrays 1211 in the X-ray detector modules 121 from scattered X-rays that may become incident thereto. More specifically, the collimator 122 is formed to have a substantially arc shape along the channel direction, so as to cover the X-ray detector modules 121. The X-ray blocking plates are configured to eliminate scattered rays from the X-rays becoming incident to the X-ray detection arrays 1211 in the X-ray detector modules 121. The first fixed frame 123 and the second fixed frame 124 are fixed to the two ends of the collimator 122 in terms of the row direction. On the side opposite from the X-ray incident side, the plurality of X-ray detector modules 121 are attached while being arranged in the channel direction. In this situation, the first fixed frame 123 and the second fixed frame 124 are configured so that each of the X-ray detector modules 121 can individually be attached thereto and detached therefrom. The first supporting frame 125 and the second supporting frame 126 are configured to support the collimator 122 and the fixed frames. More specifically, the first supporting frame 125 and the second supporting frame 126 are configured to support the first fixed frame 123, the second fixed frame 124, and the collimator 122 that are sandwiched from the two sides in the row direction. In this state, the structure is fixed to the supporting frame (not illustrated) of the gantry 10. The optical blocking plate 127 is configured to inhibit light from becoming incident to the X-ray detection arrays 1211 of the X-ray detector modules 121. For example, the optical blocking plate 127 is a member formed as a thin plate by using a material capable of inhibiting light. The optical blocking plate 127 is attached to the first supporting frame 125 and to the second supporting frame 126 so as to cover the entirety of the collimator 122. Configurations of the X-ray CT apparatus 1 and the X-ray detector 12 have thus been explained. In the present embodiment with these configurations, the X-ray detector 12 includes the collimator in which the plurality of X-ray blocking plates are arranged in the grid formation, as described above. Generally speaking, collimators in which a plurality of X-ray blocking plates are arranged in a grid formation as described above are known to have high manufacturing costs. For example, known methods include: a method by which X-ray blocking plates are manually inserted into a frame and fixed by using an adhesive or the like while the frame has grooves formed with a high level of precision; and a method by which a collimator is formed by using a three-dimensional (3D) printer. Each of these methods has low throughput and a high cost. Further, generally speaking, because molybdenum or the like used as a material of X-ray blocking plates has low processibility, when the pitch between the X-ray blocking plates needs to be smaller to accommodate a highly detailed configuration of X-ray detectors, the manufacture becomes more difficult, which makes the manufacturing cost higher. To cope with these circumstances, the present embodiment makes it possible to reduce the manufacturing cost of the collimator 122 for use in an X-ray CT apparatus. More specifically, in the present embodiment, the collimator 122 includes the plurality of collimator modules arranged in the channel direction. Further, each of the collimator modules includes: the plurality of X-ray blocking plates arranged in the grid formation; and resin that is provided between the X-ray blocking plates and is configured to hold the X-ray blocking plates. In this situation, the X-ray blocking plates are examples of the first scattered ray eliminating part and the second scattered ray eliminating part. FIG. 3 is a drawing illustrating an exemplary configuration of the collimator 122 according to the present embodiment. For example, as illustrated in FIG. 3, the collimator 122 is configured by using a plurality of collimator modules 500 arranged in an arc formation along the channel direction. In this situation, each of the collimator modules 500 can individually be replaced. In the present example, the collimator modules 500 are arranged in the channel direction; however, possible arrangements of the collimator modules 500 are not limited to this example. For instance, it is also acceptable to structure the collimator 122 by further arranging, in the row direction, a plurality of the collimator modules 500 that are arranged in the channel direction. FIG. 4 is a drawing illustrating an exemplary configuration of any of the collimator modules 500 according to the present embodiment. For example, as illustrated in FIG. 4, the collimator module 500 includes a plurality of X-ray blocking plates arranged in a grid formation along the channel direction and the row direction. More specifically, the collimator module 500 includes a plurality of X-ray blocking plates 511 arranged in the channel direction and a plurality of X-ray blocking plates 512 arranged in the row direction. In this situation, the collimator module 500 is configured so that each of a plurality of slits (rectangular through holes) formed by the X-ray blocking plates arranged in the grid formation is arranged in a position corresponding, in one-to-one correspondence, to a different one of the scintillators and to a different one of the photodiodes included in one X-ray detection array 1211. Possible arrangements of the X-ray blocking plates in the collimator modules 500 are not limited to this example. For instance, another arrangement is also acceptable in which each of the plurality of slits formed by the X-ray blocking plates arranged in the grid formation is provided for a different one of the rows of scintillators and photodiodes along the channel direction that are included in one X-ray detection array 1211. Further, the collimator module 500 includes resin 520 that is provided between any two of the plurality of X-ray blocking plates arranged in the grid formation and is configured to hold the X-ray blocking plates. In the present embodiment, to realize the collimator module 500 structured in this manner, the resin 520 has formed therein a plurality of groove-like slits arranged in a grid formation. The X-ray blocking plates are formed by pouring a filler containing metal particles into the slits and subsequently hardening the filler. FIG. 5 is a drawing illustrating an exemplary configuration of the resin 520 included in the collimator module 500 according to the present embodiment. For example, as illustrated in FIG. 5, the resin 520 has formed therein the plurality of groove-like slits arranged in the grid formation along the channel direction and the row direction. More specifically, the resin 520 has formed therein a plurality of slits 521 arranged in the channel direction and a plurality of slits 522 arranged in the row direction. Further, by pouring the filler containing the metal particles into the slits formed in the resin 520 and subsequently hardening the filler, the plurality of X-ray blocking plates 511 arranged in the channel direction and the plurality of X-ray blocking plates 512 arranged in the row direction are formed. In this situation, for example, the slits are formed by using a 3D printer. Alternatively, the slits may be formed through a grooving process using a blade. Examples of the metal particles contained in the filler include those of tungsten, molybdenum, tin, or the like. By using this configuration, because the X-ray blocking plates are formed inside the slits formed in the resin 520, the X-ray blocking plates are held by the resin 520. Ordinarily, when a collimator is structured with only X-ray blocking plates made of metal, there are problems where it would be difficult for the X-ray blocking plates to stand by themselves for being too thin or where it would be difficult to control deformation caused by rotation or vibration. In contrast, the structure described above solves these problems. In addition, while there is no need to use the structure of a correction plate or the like for internal R or external R, it is possible to shape the collimator by simply pouring the filler. It is therefore expected possible to improve throughput and yield. In the present embodiment, the resin 520 included in the collimator module 500 may be formed to have various shapes. FIGS. 6 to 12 are drawings illustrating various examples of the resin 520 included in the collimator module 500 according to the present embodiment. For example, the resin 520 may be formed to have a hollow structure. For instance, as illustrated in FIG. 6, in the resin 520, on the inside of each of a plurality of cubic parts 523 formed by the slits in the grid formation, a hole 524 opening to the side opposite from the X-ray incident side may be formed. In another example, on the inside of each of the plurality of cubic parts 523 formed by the slits in the grid formation, a plurality of slits may be formed. By forming the resin 520 to have the hollow structure as described herein, it is possible to inhibit attenuation of the primary component of the X-rays. In yet another example, the resin 520 may further has a reinforcing part provided in a region of the hollow structure and configured to reinforce the resin 520. For example, as illustrated in FIG. 7, in the resin 520, the hole 524 formed on the inside of each of the plurality of cubic parts 523 formed by the slits in the grid formation may be provided with a plate-like reinforcing part 525. In that situation, for example, the reinforcing part 525 may be provided by configuring the hole 524 formed inside the cubic part 523 so as to have a shape having the reinforcing part 525. In yet another example, the reinforcing part 525 may be provided by attaching a plate-like member serving as the reinforcing part 525 to the hole 524 formed inside the cubic part 523. In yet another example, the plurality of slits in the resin 520 may be formed along the X-ray incident direction. For example, as illustrated in FIG. 8, in the resin 520, the plurality of slits 521 arranged in the channel direction may each be formed so as to extend along the X-ray incident direction. In that situation, the slits 521 are formed in such a manner that the closer the slit is to the either end in terms of the channel direction, the larger is the slope angle. In yet another example, in the resin 520, the plurality of slits 522 arranged in the row direction may similarly be formed so as to each extend along the X-ray incident direction. By forming the slits in the resin 520 so as to extend along the X-ray incident direction as described herein, it is possible to form the X-ray blocking plates along the X-ray incident direction. In yet another example, the resin 520 may be formed so that the depth varies among the grooves of the plurality of slits. For example, as illustrated in FIG. 9, the resin 520 may be formed so that the thickness becomes smaller toward the either end in terms of the channel direction, and consequently, the closer the slit 521 is positioned to the either end in terms of the channel direction, the shallower is the depth of the groove. By varying the depth among the grooves of the slits in the manner described herein, it is possible to adjust the heights of the X-ray blocking plates as appropriate. It is therefore possible to easily control the capability of eliminating the scattered rays. For example, by varying the depth among the grooves of the slits in correspondence with the detecting elements (pixels), it is also possible to make the capabilities of eliminating the scattered rays the same as one another or different from one another, among the detecting elements. In yet another example, the resin 520 may be formed so that the width varies among the grooves of the plurality of slits. For example, as illustrated in FIG. 10, in the resin 520, in a range in the vicinity of the center in terms of the row direction, the width of each of the grooves and the intervals between the grooves of the slits 521 arranged in the channel direction and of the slits 522 arranged in the row direction may be smaller than those in a range positioned outside in terms of the row direction. As a result, in the range in the vicinity of the center in terms of the row direction, the widths of the X-ray blocking plates are smaller while the intervals between the X-ray blocking plates are also smaller, compared to those in the range positioned outside in terms of the row direction. Accordingly, even when the positional arrangements of the detecting elements included in the X-ray detector 12 have both highly detailed parts and normal resolution parts, it is possible to eliminate scattered rays appropriately for each of the detecting elements. In yet another example, the resin 520 may be formed in such a manner that the pitch P of the slits 521 arranged in the channel direction is constant throughout the entire collimator 122. In this situation, in the resin 520, the widths of the slits 521 positioned at the ends in terms of the channel direction are adjusted, so that the pitch P of the slits 521 is constant throughout the entire collimator 122 when the collimator modules 500 are arranged in the channel direction. For example, as illustrated in FIG. 11, in the resin 520, when the slits 521 are provided at the two ends in terms of the channel direction, the slits 521 positioned at the two ends are each formed so as to have a width calculated by subtracting a half of the gap between the collimator modules 500 from a half of the width of each of the slits 521 positioned inside. In yet another example, as illustrated in FIG. 12, in the resin 520, when the slits 521 are each provided at one end in terms of the channel direction, each of the slits 521 positioned at the one end is formed so as to have a width calculated by subtracting the dimension of the gap between the collimator modules 500 from the width of each of the slits 521 positioned inside. As described above, in the present embodiment, the collimator 122 includes the plurality of collimator modules arranged at least in the one-dimensional direction. Further, each of the collimator modules includes the plurality of X-ray blocking plates and the resin that is provided between the X-ray blocking plates and is configured to hold the X-ray blocking plates. According to this configuration, it is possible to easily manufacture the collimator 122 by implementing commonly-used techniques such as processing the resin and pouring the filler. Consequently, according to the present embodiment, it is possible to reduce the manufacturing costs of the collimator for use in an X-ray CT apparatus. Further, according to the embodiment described above, because the X-ray blocking plates are held by the resin, it is possible to make the X-ray blocking plates thinner as the X-ray detector becomes highly detailed. Further, by varying the depth among the grooves of the slits formed in the resin, it is possible to easily control the scattered ray eliminating capabilities. Further, by forming the resin while using a 3D printer, it is possible to easily realize a complicated shape such as a two-dimensional collimator arranged on a spherical surface. In addition, by using the structure in which the spaces between the X-ray blocking plates are filled with the resin, it is possible to prevent the occurrence of artifacts that may be caused by unwanted substances entering the spaces between the X-ray blocking plates. Furthermore, there is no need to provide a structure for correcting deformation of the X-ray blocking plates. Also, because the manufacture of the collimator has a smaller workload, the manufacturing costs are lower. In addition, because it is possible to realize the present disclosure by combining together the commonly-used techniques, the costs for introducing this method are low. Furthermore, because the X-ray blocking plates are held by the resin, it is possible to reduce the artifacts that may be caused by rotation or vibration. In the embodiments above, the example was explained in which the resin 520 remains in the collimator modules 500; however, another arrangement is also acceptable in which, to enhance utilization efficiency of the X-rays, the resin is removed by etching or the like, after the collimator modules 500 are formed, for example. The term “processor” used in the above explanations denotes, for example, a Central Processing Unit (CPU), a Graphics Processing Unit (GPU), or a circuit such as an Application Specific Integrated Circuit (ASIC) or a programmable logic device (e.g., a Simple Programmable Logic Device [SPLD], a Complex Programmable Logic Device [CPLD], or a Field Programmable Gate Array [FPGA]). When the processor is a CPU, for example, the processor realizes the functions by reading and executing the programs saved in a storage circuit. In contrast, when the processor is an ASIC, for example, the functions are directly incorporated in the circuit of the processor as a logic circuit, instead of the programs being saved in the storage circuit. Further, the processors in the present embodiments do not each necessarily have to be structured as a single circuit. It is also acceptable to structure one processor by combining together a plurality of independent circuits so as to realize the functions thereof. Further, it is also acceptable to integrate a plurality of the constituent elements illustrated in FIG. 1 into one processor so as to realize the functions thereof. Further, the constituent elements of the apparatuses and devices illustrated in the drawings of the above embodiments and modification examples are based on functional concepts. Thus, it is not necessarily required to physically configure the constituent elements as indicated in the drawings. In other words, specific modes of distribution and integration of the apparatuses and devices are not limited to those illustrated in the drawings. It is acceptable to functionally or physically distribute or integrate all or a part of the apparatuses and devices in any arbitrary units, depending on various loads and the status of use. Further, all or an arbitrary part of the processing functions performed by the apparatuses and devices may be realized by a CPU and a program analyzed and executed by the CPU or may be realized as hardware using wired logic. Furthermore, with regard to the processes explained in the embodiments and the modification examples described above, it is acceptable to manually perform all or a part of the processes described as being performed automatically. Conversely, by using a publicly-known method, it is also acceptable to automatically perform all or a part of the processes described as being performed manually. Further, unless noted otherwise, it is acceptable to arbitrarily modify any of the processing procedures, the controlling procedures, specific names, and various information including various types of data and parameters that are presented in the above text and the drawings. According to at least one aspect of the embodiments described above, it is possible to reduce the manufacturing costs of the collimator for use in an X-ray CT apparatus. While certain embodiments have been described, these embodiments have been presented by way of example only, and are not intended to limit the scope of the inventions. Indeed, the novel embodiments described herein may be embodied in a variety of other forms; furthermore, various omissions, substitutions and changes in the form of the embodiments described herein may be made without departing from the spirit of the inventions. The accompanying claims and their equivalents are intended to cover such forms or modifications as would fall within the scope and spirit of the inventions. |
|
claims | 1. An X-ray optical system for small angle scattering, comprising:a multilayer mirror having a parabolic reflecting surface for collimating an X-ray beam,a narrow slit for restricting a width of the X-ray beam, anda scattering slit in described order from an X-ray source sides, said scattering slit having an aperture center whose position is variable and an aperture width which is variable. 2. An X-ray optical system for small angle scattering, comprising:a narrow slit for restricting a width of an X-ray beam,a multilayer mirror having a parabolic reflecting surface for collimating the X-ray beam, anda scattering slit in described order from an X-ray source side, said scattering slit having an aperture center whose position is variable and an aperture width which is variable. 3. An X-ray optical system for small angle scattering, in which:(a) a multilayer mirror having a parabolic reflecting surface for collimating an X-ray beam, an optical-path selecting slit device, a small-angle selecting slit device and a specimen-side slit are arranged in the described order from an X-ray source side;(b) the optical-path selecting slit device has an aperture through which the X-ray beam can pass, so that selective switching can be performed between a first state in which a parallel beam having been reflected at the multilayer mirror passes through the aperture and a second state in which an X-ray beam having bypassed the multilayer mirror passes through the aperture;(c) the small-angle selecting slit device has a narrow slit for small angle scattering measurement and a broad aperture, so that switching can be performed between a first state in which a beam width of the parallel beam having been reflected at the multilayer mirror is restricted, by the narrow slit and a second state in which the parallel beam having been reflected at the multilayer mirror passes through the broad aperture; and(d) an aperture center position and an aperture width of the specimen-side slit are variable. 4. An X-ray optical system for small angle scattering, in which:(a) a multilayer mirror having a parabolic reflecting surface for collimating an X-ray beam, an optical-path selecting slit device, a small-angle selecting slit device and a specimen-side slit are arranged in the described order from an X-ray source side;(b) the optical-path selecting slit device has an aperture through which the X-ray beam can pass, so that selective switching can be performed between a first state in which a parallel beam having been reflected at the multilayer mirror passes through the aperture and a second state in which an X-ray beam having bypassed the multilayer mirror passes through the aperture;(c) the small-angle selecting slit device can be selectively equipped with a first component having a narrow slit for small angle scattering measurement and a second component having a broad aperture; and(d) the specimen-side slit has an aperture for small angle scattering measurement, and its aperture center position and its aperture width are variable. |
|
054266793 | claims | 1. In a strainer device for filtering water to an emergency cooling system in a nuclear power plant of the type having a reactor arranged in a containment zone and wherein the containment zone has a portion adapted to form a water pool, and wherein the system includes a strainer device adapted to be placed in the pool of water and functioning to filter water, and wherein the strainer includes at least one housing with at least one apertured strainered wall through which water can be drawn from the outside through the apertures into the housing, and wherein water may be fed to an emergency cooling system via at least one conduit connected to the housing, the improvement comprising flexible shield means mounted between separate strainer walls or strainer wall surfaces, said shield means being positioned in a first position or condition when water is drawn through a first one of said separate strainer walls or surfaces in which first position said shield means is operable to interrupt a fluid connection with the other second strainer wall and said conduit, said shield means being capable of assuming a second position in which there is provided an open connection between said second strainer wall and said conduit when said first strainer wall is blocked by impurities sufficient to create a low-pressure zone between the first strainer wall and said shield means, said low-pressure zone being effective to effect said shield means between said first and second positions. 2. A strainer device according to claim 1, including two separate strainer housings connected to a common main suction conduit through a branch conduit and wherein said flexible shield means unit is arranged adjacent to a junction point between said main suction conduit and said branch conduits. 3. A strainer device according to claim 2, wherein said shield means is fixed to a surrounding housing or tube conduit along three of four edge portions, a fourth edge portion being freely flexible and having a length longer than the length of an imaginary straight line between the opposite clamped edge portions whereby said fourth free edge portion may be connected against an inside portion of one of the two opposite curved walls in said housing or said tube conduit. 4. A strainer device according to claim 1, wherein said shield means is made of a rubber material or plastic cloth. 5. A strainer device according to claim 3, wherein said device includes a spring means in the area of said fourth, flexible edge portion of said shield means said spring being biased to adopt a curved configuration. 6. A strainer device according to claim 5, wherein said spring is wave-formed to achieve a shortening thereof when bending between said two working positions. |
abstract | The present invention provides a spent nuclear fuel assembly storage including a metal cask which stores a spent nuclear fuel assembly and a container body which stores the metal cask and has a substantially hexagonal tubular shape, and an assembly of the spent nuclear fuel assembly storage containers, and a method of assembling the spent nuclear fuel assembly storage container. |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.