patent_number
stringlengths
0
9
section
stringclasses
4 values
raw_text
stringlengths
0
954k
abstract
A method for cleaning an irradiated nuclear fuel assembly includes chemically enhancing a technique utilizing an apparatus including a housing adapted to engage a nuclear fuel assembly. A set of ultrasonic transducers is positioned on the housing to supply radially emanating omnidirectional ultrasonic energy to remove deposits from the nuclear fuel assembly. Any corrosion products remaining after ultrasonic fuel cleaning will have exposed surfaces that are susceptible to chemical dissolution.
055531091
claims
1. Apparatus for simulating a nuclear fuel rod bundle transient comprising: a vessel for containing a coolant; a plurality of nuclear fuel rod simulating members disposed in said vessel for disposition in the coolant and forming a simulated nuclear fuel rod bundle; each member including a pair of heating elements; a power supply for supplying power over time to each of said heating elements; and means for independently controlling and thereby varying the supply of power over time to each said heating element of each member of said plurality of nuclear fuel rod simulating members thereby to simulate a change over time in both power output and axial flux shape of a nuclear fuel rod bundle. independently supplying power to said heating elements of each said member; and controlling said supply of power to said heating elements independently of one another to vary the power supply over time to each element to simulate a change over time in both the (i) power output and (ii) axial flux shape of a nuclear fuel bundle. providing at least one nuclear fuel rod simulating member within a vessel containing a coolant; providing said member with a pair of heating elements extending substantially the full length of said member; independently supplying power to said heating elements of said member; and controlling said supply of power to said heating elements independently of one another to vary the power supplied over time to each element to simulate a change over time in both the (i) power output and (ii) axial heat flux shape of a nuclear fuel bundle. 2. Apparatus according to claim 1 wherein said pair of heating elements terminate at one end within said vessel and at other ends outside said vessel. 3. Apparatus according to claim 1 wherein said pair of heating elements have ends terminating outside said vessel. 4. Apparatus according to claim 1 wherein said heating elements comprise a double helix arrangement thereof, a surrounding tubular cladding and electrical insulating material between said heating elements and said cladding. 5. Apparatus according to claim 1 wherein said heating elements are coaxial relative to one another and an electrically insulating material between said coaxially arranged heating element. 6. Apparatus according to claim 5 wherein said pair of heating elements have ends terminating outside said vessel. 7. A method for simulating a nuclear fuel rod bundle transient in a vessel having a plurality of nuclear fuel rod simulating members, each member having two heating elements, comprising the steps of: 8. A method for simulating a nuclear fuel rod bundle transient comprising the steps of:
summary
048715093
claims
1. In a fuel rod construction including a fuel rod having an inside cylindrical diameter; cylindrical fuel pellets placed within said rod; said cylindrical fuel pellets having a diameter less than the inside cylindrical diameter and being stacked end to end for a distance less than the length of said fuel rod inside said fuel rod; Zircaloy end plugs for sealing said rod at either end; a compression spring adjacent one end of the rods for biasing said pellets to and towards the other end of said rod, the improvement in said Zircaloy spring comprising: a helical spring having at least a first small diameter helical portion and a second large diameter helical portion means interconnecting said first and second spring portions; said first small diameter helical portion having an outside diameter less than the inside diameter of fuel rod; said first helical spring portion compressed against the fuel pellets whereby the pellets are urged under force against the end of the rod remote from said spring; the second spring portion having a normal outside diameter larger than the inside diameter of the fuel rod, said second helical spring portion keyed to the inside diameter of said fuel rod. providing a cylindrical fuel rod having a preselected inside diameter; closing one end of the fuel rod; inserting cylindrical pellets, the pellets having an outside diameter less than the inside diameter of said fuel rod whereby said pellets may freely move; providing a two-part helical spring, said spring having a portion with a first diameter less than the inside diameter of said fuel rod and a second portion with an outside diameter greater than the inside diameter of said fuel rod; winding under torsion the second portion of said helical spring spirally to decrease the diameter of said helical spring to a diameter less than the inside diameter of the fuel rod; compressing said fuel pellets with said compressive spring whereby a measured force of compression is exerted on the fuel pellets; and releasing the spiral wind on the second spring portion when said measured force is present whereby the second spring portion keys to the inside walls of said cylinder and maintains the fuel pellets in place. at least one Zircaloy end plug for sealing the rod at one end; a compression spring adjacent the other end of said rods for biasing said pellets to and towards the end of said rod, and an apparatus for the insertion of said spring, the improvement in said Zircaloy spring and apparatus for insertion comprising: a helical spring having a first small diameter helical portion and a second large diameter helical portion; means interconnecting said first and second spring portions; said first small diameter helical portion having an outside diameter less than the inside diameter of said fuel rod, said first helical spring portion compressed to compress said fuel pellets between the sealed end of said rod and said spring; the second spring portion having a normal outside diameter larger than the inside diameter of the fuel rod, said second helical spring portion for keying to the inside diameter of said fuel rod; first and second members for helically winding said second spring portions, said first and second members configured to grasp said second spring portion at the respective ends thereof for winding said second portion from a diameter exceeding the inside diameter of said rod to a diameter less than the inside diameter of said rod whereby said members when released allow said spring to expand and key to the inside diameter of said rod. 2. The invention of claim 1 and wherein said one of said helical portions enclosed a getter. 3. The invention of claim 1 and wherein said first and second spring portions are joined. 4. The invention of claim 3 and wherein said first and second spring portions are formed from a single piece of wire. 5. A process for securing fuel pellets to the inside diameter of a fuel rod comprising the steps of; 6. The process of claim 5 and including the step of inserting a getter. 7. The invention of claim 5 and wherein said providing step providing a two part helical spring, the parts of said spring being joined one to another. 8. The invention of claim 7 and wherein said provided two part spring includes the step of forming said two part spring from a single piece of wire. 9. Apparatus for compressing fuel pellets in a fuel rod, the rod being opened at one end and sealed at the opposite end, said fuel pellets including cylindrical fuel pellets placed within the rod, said cylindrical fuel pellets having a diameter less than the inside cylindrical diameter and being stacked end to end a distance less than the length of said fuel rod inside said fuel rod; 10. The invention of claim 9 and including a washer attached to one end of said second spring member and wherein one of said winding members engaged said plug to wind said spring.
048204781
claims
1. A control rod for use in a nuclear reactor core to provide xenon compensation, comprising: (a) an elongated inner cylindrical member having a lower end; and (b) an elongated outer cylindrical member surrounding said inner member and having a lower end with concentrically-arranged inner and outer edge portions defined thereon; (c) each of said members being composed of alternating poison and nonpoison regions; (d) said inner member being axially movable relative to said outer member to adjust the degree to which said poison regions of said members overlap with said nonpoison regions thereof and thereby change the overall worth of said rod; (e) said lower end of said inner member having defined thereon a radially outwardly projecting ledge for supporting in a rest relationship thereon said lower end of said outer member at only its inner edge portion for retaining said outer member about said inner member, said outer edge portion of said outer member lower end extending radially outwardly from said inner edge portion thereof and from said ledge on said inner member lower end for providing an exposed abutment engagable with a guide thimble stop structure to maintain said outer member in a stationary position upon axial movement of said inner member which moves its ledge away from said inner edge portion of said outer member lower end to change the degree in which said poison regions of said members overlap with said nonpoison regions thereof. said inner cylindrical member has a solid cross-sectional configuration; and said outer cylindrical member has an annular cross-sectional configuration and concentrically surrounds said inner member. each of said poison regions of said inner and outer member is of substantially the same axial height; and each of said nonpoison regions of said inner and outer members is of substantially the same axial height. (a) an elongated inner cylindrical member being of a solid cross-sectional configuration from end to end and having a lower end; and (b) an elongated outer cylindrical member being of an annular cross-sectional configuration from end to end and concentrically surrounding and generally coextensive with said inner member, said outer member having a lower end with concentrically-arranged lower inner and outer edge portions defined thereon; (c) each of said members having axially extending regions being alternately composed exclusively of respective black poison and nonpoison materials; (d) each of said black poison regions of said inner and outer members being of substantially the same axial height; (e) each of said nonpoison regions of said inner and outer members being of substantially the same axial height; (f) said inner member being axially movable relative to said outer member between one axially displaced position in which said black poison regions of said members are disposed side-by-side and said nonpoison regions thereof are disposed side-by-side and another axially displaced position in which said black poison regions of said members are disposed side-by-side with said nonpoison regions of said members so as to thereby change the overall worth of said rod in a substantially axially uniform manner; (g) said lower end of said inner member having defined thereon a radially outwardly projecting ledge for supporting in a rest relationship thereon said lower end of said outer member at only its inner edge portion for retaining said outer member about said inner member, said outer edge portion of said outer member lower end extending radially outwardly from said inner edge portion thereof and from said ledge on said inner member lower end for providing an exposed abutment engagable with a guide thimble stop structure to maintain said outer member in a stationary position upon axial movement of said inner member which moves its ledge away from said inner edge portion of said outer member lower end to change the degree in which said poison regions of said members overlap with said nonpoison regions thereof. 2. The control rod as recited in claim 1, wherein: 3. The control rod as recited in claim 1, wherein said regions of each of said members extend axially and are alternately composed exclusively of respective black poison and nonpoison materials. 4. The control rod as recited in claim 1, wherein: 5. The control rod as recited in claim 4, wherein one of said inner and outer members is axially movable relative to the other between one axially displaced position in which said poison regions of said members are disposed side-by-side and said nonpoison regions thereof are disposed side-by-side and another axially displaced are disposed side-by-side with said nonpoison regions of said members so as to thereby change the overall worth of said rod in a substantially axially uniform manner. 6. A control rod for use in a nuclear reactor core to provide xenon compensation, comprising:
summary
043158315
summary
BACKGROUND OF THE INVENTION The present invention relates to a process for conditioning solid radioactive waste having large dimensions, constituted by contaminated objects such as cartridge filters, metal chips, tools, etc. Generally the activity of such solid radioactive waste does not exceed 10 Ci/m.sup.3. Hitherto the conditioning of large radioactive waste, such as that defined hereinbefore, has taken place by encasing in concrete blocks. Encasing in concrete has been carried out either by introducing the waste into concrete vessels which were then sealed by a concrete plug, or by introducing the waste into moulds in which the concrete was then cast. This conditioning process using concrete ensures a good biological protection against ionising radiation from the thus confined waste, but due to the fact that concrete has a poor long term behaviour and a limited resistance to weather and leaching, the concrete blocks encasing the radioactive waste do not have a perfect sealing. French Pat. No. 2,129,836 filed on Mar. 16th, 1971 by the present Applicant relates to a process for conditioning radioactive waste reduced to the form of a dry powder according to which the pulverulent radioactive waste is incorporated into a resin which is polymerisable at ambient temperature and then said resin is polymerised. In said process the resin polymerisable at ambient temperature in particular comprises a polyester resin such as a glycol-maleophthalate-based resin mixed with styrene. Although the process described in French Pat. No. 2,129,836 has proved satisfactory in the case of pulverulent radioactive waste, it cannot be used for solids with large dimensions. BRIEF SUMMARY OF THE INVENTION The object of the present invention is a process which makes it possible to condition radioactive waste of large size. This process does not have the disadvantages of the conditioning process in concrete referred to hereinbefore and makes it possible to obtain blocks containing solid radioactive waste with very large dimensions whilst providing a perfect sealing. The Applicant has performed research showing that on incorporating large solid radioactive waste into a resin polymerisable at ambient temperature a shrinkage phenomenon occurs during the polymerisation of said resin which, in view of the large dimensions of the solid waste which it is desired to encase, causes the formation of cracks in the solid blocks obtained. The process according to the invention obviates such disadvantages. According to the process for conditioning solid radioactive waste of large size of the present invention, said waste is incorporated into an ambient temperature-thermosetting resin, to which has previously been added at least one inert filler, and the said resin is then cross-linked. Thus, according to the process of the invention, the addition to the thermosetting resin of an inert filler makes it possible to significantly reduce shrinkage occurring at the time of cross-linking and thus obviates any dislocation or cracking in the solid blocks obtained. This inert filler can comprise a sand such as a silica sand or blast furnace residue called slag. Preferably silica sand with a continuous grain size between 0.1 and 1.2 mm is used. According to an advantageous feature of the process of the invention, a plasticizer such as polystyrene or polyethylene is previously added to the thermosetting resin in addition to the inert filler. This plasticizer is added in proportions preferably ranging from 0.1 to 1 part of plasticizer for 1 part of resin. The prior addition of this plasticizer to the resin leads to a further improvement of the present process due to its plastic deformation capacity. In fact it makes the resin more supple at the time of cross-linking and obviates subsequent cracking in the solid blocks obtained. According to another advantageous feature of the process of the invention, an expanding agent, that is to say a mineral substance which has the property of expanding the resin during cross-linking is added to the thermosetting resin beforehand in addition to the inert filler. This expanding agent can comprise borax (Na.sub.2 B.sub.4 O.sub.7, 10H.sub.2 O). If such an expanding agent is added in a sufficient quantity it eliminates any supplementary risk of shrinkage and therefore subsequent cracking. The borax can be added to the resin preferably in a proportion of 30 to 60% by weight relative to the resin. According to the process of the invention it is also possible to add beforehand to the thermosetting resin a thixotropic agent which is able to prevent a possible settling of the inert filler in the resin prior to cross-linking. This thixotropic agent can comprise, for example, a silica gel, hydrogenated castor oil or a mixture of silica gel and asbestos fibres. The thixotropic agent is preferably used in a proportion of 0.5 to 4% by weight based on the resin. The thioxotropic agent used thickens the solution which then has a viscosity such that there is sufficient time for cross-linking to take place without there being any settling. According to the process of the invention, the ambient temperature-thermosetting resin used can advantageously comprise a polyester resin such as a glycol-maleophthalate-based resin mixed with styrene. In this case the conventional compounds necessary for ensuring copolymerisation of the styrene with the polyester and the control of the cross-linking time are used and these are mainly constituted by a catalyst such as methylethyl-ketone peroxide or benzoyl peroxide (in a proportion of 1 to 2% by weight of catalyst based on the resin), an accelerator such as cobalt naphthenate or dimethyl-aniline (in a proportion of 0.1 to 0.2% by weight based on the resin), reaction controlling agents such as retarders (catecholbased compound marketed under the trade name "NLC 10") and moderators (.alpha.-methyl-styrene). Thus the polymerisation reaction started by the active radicals of the peroxide activated by the accelerator causes the grafting of the styrene molecules on the glycol-maleophthalate chain, followed by an arrangement in a three-dimensional network. In this case when polystyrene is added to this mixture as the plasticizer the three-dimensional network obtained is made more supple because the chains can be connected by relatively long molecules. The use of a polyester resin as described hereinbefore offers the advantage that the large radioactive waste material can be conditioned under water, and more particularly in the pond at the bottom of which they are generally conditioned. According to the process of the invention, it is also possible to use as the thermosetting resin an epoxy resin mixed with an appropriate hardening agent (amine, or organic acid). It is also possible to use a phenoplast resin. The process of the invention is performed in the following manner. Firstly the encasing mixture is prepared which is constituted by the selected thermosetting resin to which has been added the inert filler and optionally the plasticizer or expanding agent and also the thixotropic agent as defined hereinbefore. This mixture is then placed in a mould containing the solid waste which it is desired to condition. Alternatively, the mixture is placed in a mould and the radioactive waste which it is desired to condition is then introduced into the same--said radioactive waste generally being in a basket which is introduced into the mould. This is followed by the cross-linking thereof. The encasing operation can be performed either in air or under water. In the case where the process is performed under water, borax cannot be used as the expanding agent because it has the disadvantage of being water-soluble. In the case where the process is performed in air ventilation can be provided. The mixing of the various components must be homogeneous in order to ensure good mechanical and physico-chemical qualities of the material. To this end it is possible to use a vertical turbine with four blades driven by a motor operating at 0 to 400 r.p.m. There must be sufficient time to place the solid radioactive waste in the resin before it sets solid. Therefore the time at which the polymerisation catalyst/accelerator mixture is introduced into the resin is forecasted and adjusted. The time necessary for the resin to set solid is also a function of the temperature at which the reaction is performed (for the same proportions of the reactive mixture, two or three minutes are required to obtain solid setting when the ambient temperature is 25.degree. C., whereas more than 24 hours are required when the ambient temperature is below 16.degree. C.).
043303714
summary
The present invention relates generally to nuclear reactors such as pool type liquid metal fast breeder reactor and more particularly to a specifically designed structural arrangement for supporting the core assembly of such a reactor. The nuclear reactor to be described in more detail hereinafter is one which includes a reactor vessel containing, among other internal components, a core assembly and a structural arrangement located below the core assembly for supporting the latter in a fixed position. In the past, a typical structural arrangement serving this purpose received most if not all of its structural integrity from welded joints interconnecting its various structural components. One major drawback in an arrangement of this general type is the inability in many cases to detect failures in individual welded joints until the joint failures progress to a point of collapse, that is, to a point where the overall structural arrangement is incapable of supporting the core assembly. This is to be contrasted with the present invention which, as will be seen hereinafter, utilizes a support structure including components which are not only connected by welded joints but are also mechanically interlocked to prevent the core assembly from totally collapsing into its support structure, even if all of the welded joints in the latter should fail. In view of the foregoing, one object of the present invention is to provide an uncomplicated and yet reliable arrangement for supporting a core assembly in a nuclear reactor, specifically in a pool type liquid metal fast breeder reactor. A more specific object of the present invention is to provide a structural support arrangement which, in part, receives its structural integrity from a number of individual components which are interconnected by means of welded joints but which does not rely in its entirety on these joints for structural integrity so that, even if the welded joints should completely fail, the overall support structure will nevertheless be capable of maintaining the core assembly in a supported position. Another specific object of the present invention is to provide a structural support arrangement which will support its core assembly in a limited but readily detectable downwardly deflected position in the event of a total failure in the structure's welded joints, thereby indicating such a failure before reaching the point where the entire core assembly collapses. As stated above, the nuclear reactor disclosed herein includes a reactor vessel, a core assembly to be maintained in a fixed position within the vessel and a structural arrangement, also located within the vessel, for supporting the core assembly in its fixed position. In accordance with the present invention, the structural support arrangement is comprised of a plurality of components interconnected to one another by welded joints and are also mechanically interlocked so as to define a unitary structure which supports the core assembly in its fixed position and which has sufficient structural integrity to support the assembly in a limited downwardly deflected position, even if all of the welded joints should fail. In a preferred embodiment, this downwardly deflected position is one which is readily detectable during normal operation of the reactor without special detection equipment. In an actual working embodiment, as will be seen hereinafter, the support arrangement disclosed includes a grillage of welded I-beams which are also mechanically interlocked to one another, a circumferential box girder welded to and around the outer periphery of the I-beams and also mechanically interlocked therewith. These I-beams and the box girder form a welded and mechanically interlocked unitary structure such that the welded joints interconnecting the various components are in states of compression and such that the structure will retain sufficient structural integrity to support its core assembly in the desired manner even if all of these welded joints should fail. The entire unitary structure is welded to and supported on the top edge of a circumferential support skirt, again in a state of compression, such that the circumferential skirt will nevertheless maintain the structural unit in a supported position should the welded joint between the two also fail.
abstract
Provided is an electrostatic lens array, including multiple substrates arranged with intervals, each of the multiple substrates having an aperture for passing a charged particle beam, in which: in a travelling direction of the charged particle beam, a peripheral contour line formed by any one of surfaces of the multiple substrates other than an upper surface of a most upstream substrate and a lower surface of a most downstream substrate has a protruding portion protruding from a peripheral contour line of one of the upper surface of the most upstream substrate and the lower surface of the most downstream substrate; and a position of the protruding portion is defined by a position regulating member, whereby parallelism is adjustable so that a surface including the protruding portion is parallel to a surface to be irradiated with the charged particle beam after passing through the aperture.
043307116
abstract
For the transportation and storage of irradiated nuclear fuel elements there are needed combinations of inner and outer containers wherein the inner container should be usable for the storage of the fuel elements in correspondingly conceived fuel element storehouses without reloading, unnecessary waste of space and burden of weight on the storage support. This is obtained by a container combination in which. (a) the bottom and the jacket of the outer container are so dimensioned in their thickness that they completely or preponderantly take care of the shielding function against gamma and neutron radiation, PA0 (b) the inner container is axially fixed in the outer container in such manner that the cover of the inner container and the cover of the outer container do not touch, PA0 (c) the radial position of the inner container in the outer container is fixed by a narrowing of the cross section of the inner space of the outer container proceeding downwardly to the bottom and PA0 (d) the outer wall of the inner container is made tight against the inner wall of the outer container through sealing elements.
055457959
claims
1. In a method for decontaminating radioactive metal surfaces with an aqueous solution containing acetic acid, the improvement comprising: contacting a radioactively contaminated metallic object with an aqueous solution comprising 0.05%-5.0% volume acetic acid until the acetic acid in contact with said radioactively contaminated metallic object is nearly completely stoichiometrically depleted thereby forming an aqueous, stoichiometrically depleted solution comprising radioactively charged metallic oxides and metallic hydroxides; repeating the contacting of the metallic object with an additional amount of the aqueous solution until the radioactively contaminated metallic object has a residual radioactivity level below a permissible threshold level; sedimenting out said radioactively charged metallic oxides and metallic hydroxides from the aqueous, stoichiometrically depleted solution, forming a radioactive sediment; separating the aqueous, stoichiometrically depleted solution from the radioactive sediment; and solidifying the radioactive sediment. 2. In a method according to claim 1, wherein the separated aqueous, stoichiometrically depleted solution is purified with a resin ion exchanger to form deionized water. 3. In a method according to claim 1, wherein the aqueous, stoichiometrically depleted solution is electrolytically treated. 4. In a method according to claim 1, wherein the radioactively contaminated metallic object comprises at least one of a metal and a metal alloy selected from the group consisting of aluminum, lead, copper, nickel, and mixtures thereof and an oxidizing agent is added to the aqueous solution. 5. In a method according to claim 4, wherein the oxidizing agent is hydrogen peroxide. 6. In a method according to claim 1, wherein the aqueous solution is maintained at a temperature between about ambient temperature and about 80.degree. C. 7. In a method according to claim 1, wherein the concentration of the acetic acid in the aqueous solution is 0.1 to 1.0 Mol/l, and a stripping rate is controlled by a temperature of the aqueous solution. 8. In a method according to claim 1, wherein contacting of the radioactively contaminated metallic objects is accomplished by dipping into a bath. 9. In a method according to claim 1, wherein contacting of the radioactively contaminated metallic object is accomplished by drizzling the aqueous solution on the metal surfaces. 10. In a method according to claim 9, wherein a mechanical surface cleaning of said metal surfaces is performed following drizzling of the aqueous solution. 11. In a method according to claim 9, wherein a phase of spraying off under increased pressure follows each drizzling phase, all phases of spraying off being performed with the aqueous solution until the desired degree of radioactive decontamination has been achieved whereupon a final spraying off with water is performed. 12. In a method for decontaminating radioactive metal surfaces with an aqueous solution containing acetic acid, the improvement comprising: contacting a radioactively contaminated metallic object with an aqueous solution consisting essentially of 0.05%-5.0% volume acetic acid and an oxidizing agent until the acetic acid is nearly completely stoichiometrically depleted thereby forming an aqueous, stoichiometrically depleted solution comprising radioactively charged metallic oxides and metallic hydroxides; repeating the contacting of the metallic object with an additional amount of the aqueous solution until the radioactively contaminated metallic object has a residual radioactivity level below a permissible threshold level; sedimenting out said radioactively charged metallic oxides and metallic hydroxides from the aqueous, stoichiometrically depleted solution, forming a radioactive sediment; separating the aqueous, stoichiometrically depleted solution from the radioactive sediment; and solidifying the radioactive sediment.
summary
summary
description
The present invention relates to a nozzle seal structure adapted to hermetically seal a nozzle provided in a manner penetrating a reactor vessel from inside to outside. In the related art, a clamp system using two clamps is known (refer to Patent Literature 1, for example). The clamp system is provided with the clamps to seal an interface between two tubular conduits, and includes the clamp on an upper side and the clamp on a lower side. Patent Literature 1: U.S. Pat. No. 4,812,285 Here, in a clamp system of Patent Literature 1, a conduit provided between a clamp on an upper side and a clamp on a lower side is a cylindrical member. This cylindrical member has an upper seal provided on the upper side and a lower seal provided on the lower side. Thus, in the case where the upper seal and the lower seal are provided respectively on the upper side and the lower side of the cylindrical member, there may be difficulty in visibility. Specifically, in the case of checking states of the upper seal and the lower seal, or in the case of checking clamped states of the upper seal and the lower seal, it may be difficult to visually check the upper seal when visual check is performed from the lower side of the cylindrical member because the upper seal is located at a deep position. Therefore, for example, a foreign matter such as dust caught by the seal member may be hardly grasped, and reliability of sealing performance by the seal member may be degraded. Considering above, the present invention is directed to providing a nozzle seal structure capable of improving visibility of a first seal member and a second seal member. A nozzle seal structure of the present invention is configured to hermetically seal a nozzle provided in a manner penetrating a reactor vessel from inside to outside and having a rod member inserted through, the nozzle including a flange portion projecting outward in a radial direction orthogonal to a nozzle axis direction at an end portion on an outer side of the reactor vessel, and the nozzle seal structure includes a blocking member provided in a manner facing the flange portion in the nozzle axis direction and having a center portion through which the rod member inserted, a first seal member provided between the flange portion and the blocking member, a second seal member provided between the rod member and the blocking member, a first fastening portion configured to fasten the flange portion and the blocking member, and a second fastening portion configured to fasten the rod member and the blocking member. The blocking member is formed in a plate shape in which the nozzle axis direction coincides with a thickness direction. According to this structure, since the blocking member is formed in a plate shape, a distance in the nozzle axis direction between the first seal member and the second seal member can be shortened compared to a case where the blocking member is formed in a cylindrical shape. Therefore, since the first seal member and the second seal member can be set close to each other, visual check for the first seal member and the second seal member can be appropriately performed when visually check is performed from the side where the first seal member and the second, seal member of the blocking member are arranged. Therefore, since visibility of the first seal member and the second seal member can be improved, for example, visual inspection and the like can be appropriately performed. Further, it is preferable that the blocking member includes a first fastened surface configured to receive first fastening force applied by a first fastening portion, and a second fastened surface configured to receive second fastening force applied by a second fastening portion. The first fastened surface and the second fastened surface are formed on the same surface. According to this structure, since the first fastened surface and the second fastened surface are formed on the same surface, fastening work for the first fastening portion and the second fastening portion can be performed on the same surface. Consequently, workability can be improved. Furthermore, since the first fastened surface and the second fastened surface are formed on the same surface, the shape of the blocking member can be made compact consequently, space saving can be achieved. Further, it is preferable that the blocking member is formed in a disk shape having a center where the rod member is made to penetrate, and the second fastening portion includes a second hydraulic lock mechanism arranged in the center of the blocking member. According to this structure, the second seal member between the rod member and the blocking member can be clamped by fastening the rod member and the blocking member with the second hydraulic lock mechanism, and thus the space between the rod member and the blocking member can be hermetically sealed. At this point, since the second hydraulic lock mechanism can apply the second fastening force by hydraulic pressure, pressure can be uniformly applied along the circumference of the rod member without unevenly applying a load to the second seal member in the circumference of the rod member. Therefore, reliability of sealing performance by the second seal member can be improved. Further, it is preferable that the second fastening portion further includes a locking member configured to lock the second hydraulic lock mechanism and the rod member. According to this structure, the second hydraulic lock mechanism can be locked to the rod member by the locking member. Therefore, the second hydraulic lock mechanism can move the rod member via the locking member. Further, it is preferable that the blocking member is formed in a disk shape having a center where the rod member is made to penetrate, and the first fastening portion includes a plurality of first hydraulic lock mechanisms arranged around the blocking member. According to this structure, since the first seal member between the flange portion and the blocking member can be clamped by fastening the flange portion and the blocking member with the plurality of first hydraulic lock mechanisms, and the space between the flange portion and the blocking member can be hermetically sealed. At this point, since the plurality of first hydraulic lock mechanisms can apply the first fastening force by hydraulic pressure, pressure can be uniformly applied along the circumference of the flange portion without unevenly applying a load to the first seal member in the circumference of the flange portion. Therefore, reliability of sealing performance by the first seal member can be improved. Further, it is preferable that hydraulic pressure of a same level is collectively applied to the plurality of first hydraulic lock mechanisms. According to this structure, since hydraulic pressure of the same level is collectively applied to the plurality of first hydraulic lock mechanisms, the pressure can be uniformly applied along the circumference of the flange portion without unevenly applying a load to the first seal member in the circumference of the flange portion. An embodiment of the present invention will be described below in detail based on the attached drawings. Note that the present invention is not limited by this embodiment. Additionally, components in the following embodiment include a component which can be replaced and easily achieved by a person skilled in the art or component substantially equivalent thereto. Furthermore, the components described below can be suitably combined, and in the case of having a plurality of embodiments, the respective embodiments can also be combined. FIG. 1 is a schematic diagram illustrating an example of a nuclear reactor power plant, and FIG. 2 is a vertical cross-sectional view of a pressurized water reactor. The nuclear reactor power plant illustrated in FIG. 1 includes a pressurized water reactor (PWR). This nuclear reactor power plant has a circulation path for primary cooling water formed by sequentially connecting a reactor vessel 101, a pressurizer 102, a steam generator 103, and a primary cooling water pump 104 of the pressurized water reactor via a primary cooling water pipe 105 inside a containment 100. The reactor vessel 101 is adapted to store a fuel assembly 120 inside thereof in a sealed state, and is formed of a reactor vessel main body 101a and a reactor vessel lid 101b placed above it such that the fuel assembly 120 can be inserted and removed. The reactor vessel main body 101a has an upper portion provided with an inlet side nozzle 101c and an outlet side nozzle 101d to supply and discharge light water as the primary cooling water. The outlet side nozzle 101d is connected to the primary cooling water pipe 105 so as to communicate with an inlet side water chamber 103a of the steam generator 103. Also, the inlet side nozzle 101c is connected to the primary cooling water pipe 105 so as to communicate with an outlet side water chamber 103b of the steam generator 103. The steam generator 103 has a lower portion having a hemispherical shape, and the inlet side water chamber 103a and the outlet side water chamber 103b are partitioned by a partitioning plate 103c in this lower portion. The inlet side water chamber 103a and the outlet side water chamber 103b are partitioned from an upper portion side of the steam generator 103 by a tube sheet 103d provided at a ceiling portion in each of the water chambers. The upper portion side of the steam generator 103 is provided with a heat transfer pipe 103e having an inverted U-shape. The heat transfer pipe 103e has end portions supported by the tube sheet 103d so as to connect the inlet side water chamber 103a to the outlet side water chamber 103b. Additionally, the inlet side water chamber 103a is connected to the primary cooling water pipe 105 on the inlet side, and the outlet side water chamber 103b is connected to the primary cooling water pipe 105 on the outlet side. Furthermore, in the steam generator 103, an upper end on the upper portion side partitioned by the tube sheet 103d is connected to a secondary cooling water pipe 105a on an outlet side, and a side portion on the upper portion side is connected to a secondary cooling water pipe 105b on an inlet side. Additionally, the nuclear reactor power plant has a circulation path for secondary cooling water by connecting the steam generator 103 to a steam turbine 107 via the secondary cooling water pipes 106a, 105b outside the containment 100. The steam turbine 107 includes a high pressure turbine 108 and a low pressure turbine 109 and is further connected to a generator 110. Additionally, the high pressure turbine 108 and the low pressure turbine 109 have a moisture separation heater 111 connected in a manner branched from the secondary cooling water pipe 106a. Furthermore, the low pressure turbine 109 is connected to a condenser 112. The condenser 112 is connected to the secondary cooling water pipe 106b. As described above, the secondary cooling water pipe 106b is connected to the steam generator 103, extends to the steam generator 103 from the condenser 112, and is provided with a condensate pump 113, a low pressure water supply heater 114, a deaerator 115, a main water supply pump 116, and a high pressure water supply heater 117. Therefore, in the nuclear reactor power plant, the primary cooling water is supplied to the steam generator 103 via the primary cooling water pipe 105 while the primary cooling water is heated in the reactor vessel 101 to become a high temperature/high pressure state, and pressurized in the pressurizer 102 to keep the pressure constant. In the steam generator 103, the secondary cooling water is evaporated to become steam by performing heat exchange between the primary cooling water and the secondary cooling water. The cooled primary cooling water after heat exchange is collected on the primary cooling water pump 104 side via the primary cooling water pipe 105 and then returned to the reactor vessel 101. On the other hand, the secondary cooling water that has become steam by heat exchange is supplied to the steam turbine 107. The steam enters the steam turbine 107, and the moisture separation heater 111 removes moisture from exhaust air coming out from the high pressure turbine 108, and further heats the steam, and then sends the same to the low pressure turbine 109. The steam turbine 107 is driven by the steam of the secondary cooling water, and motive power thereof is transmitted to the generator 110 and generates power. The steam used to drive the turbine is discharged to the condenser 112. The condenser 112 performs heat exchange between cooling water (such as seawater) collected by a pump 112 via an intake pipe 112a and the steam discharged from the low pressure turbine 109, and condenses the steam to restore the same to saturated liquid having a low pressure. The cooling water used for heat exchange is discharged from a discharge pipe 112c. Furthermore, the condensed saturated liquid is used as the secondary cooling water and sent out to the outside of the condenser 112 by the condensate pump 113 via the secondary cooling water pipe 106b. Then, the secondary cooling water passing through the secondary cooling water pipe 106b is heated in the low pressure water supply heater 114 by, for example, low pressure steam extracted from the low pressure turbine 109, and is sent by a main water supply pump 116 after dissolved oxygen and impurities such as a non-condensed gas (ammonia gas) are removed in the deaerator 115. Subsequently, the secondary cooling water is heated in the high pressure water supply heater 117 by, for example, high pressure steam extracted from the high pressure turbine 108 and then returned to the steam generator 103. In the pressurized water reactor of the nuclear reactor power plant thus structured, as illustrated in FIG. 2, the reactor vessel 101 has the reactor vessel lid 101b fixed to the reactor vessel main body 101a by a plurality of stud bolts 121 and nuts 122 in an openable manner such that core internals including the fuel assembly 120 can be inserted inside thereof. The reactor vessel main body 101a has an upper portion openable by detaching the reactor vessel lid 101b, and is formed in a cylindrical shape closed by a lower mirror 101e having a lower portion formed in a hemispherical shape. Inside the reactor vessel main body 101a, an upper core support 123 is fixed at a position higher than the inlet side nozzle 101c and an outlet side nozzle bid while a lower core support 124 is fixed at a position near the lower mirror 101e located on the lower side. The upper core support 123 and the lower core support 124 are each formed in a disk shape and formed with many flow holes not illustrated. Additionally, the upper core support 123 has a lower side connected to an upper core plate 126 via a plurality of core support rods 125, and many flow holes not illustrated are formed on this upper core plate. Inside the reactor vessel main body 101a, a core barrel 127 formed in a cylindrical shape is arranged keeping a predetermined clearance from an inner wall surface, and the core barrel 127 has an upper portion connected to the upper core plate 126 and has a lower portion connected to a lower core plate 128 having a disk shape and formed with many flow holes not illustrated. Additionally, the lower core plate 128 is supported by the lower core support 124. In other words, the core barrel 127 is supported by the lower core support 124 of the reactor vessel main body 101a. A reactor internal 129 is formed of the upper core plate 126, the core barrel 127, and the lower core plate 128. The reactor internal 129 has many fuel assemblies 120 arranged inside thereof. The fuel assembly 120 is foamed in a lattice shape by bundling many fuel rods with a support lattice although not illustrated, and the upper nozzle is fixed at an upper end portion thereof while a lower nozzle is fixed at a lower end portion thereof. Additionally, the reactor internal 129 has many control rods 130 arranged inside thereof. These many control rods 130 have upper end portions gathered together to constitute a control rod cluster 131, and can be inserted into the fuel assembly 120. The upper core support 123 is fixed with many control rod cluster guide pipes 132 penetrating the upper core support 123, and a lower end portion of each of the control rod cluster guide pipes 132 extends to the control rod cluster 131 located inside the fuel assembly 120. The reactor vessel lid 101b constituting the reactor vessel 101 is provided with a control rod driving mechanism 133 of a magnetic jack type, and an upper portion of the control rod driving mechanism is formed in a hemispherical shape. Each of the many control rod cluster guide pipes 132 has an upper end portion extending to the control rod driving mechanism 133, and a control rod cluster drive shaft 135 extending from the control rod driving mechanism 133 passes through the inside of the control rod cluster guide pipe 132, extends to the fuel assembly 120, and can hold the control rod cluster 131. The control rod driving mechanism 133 is arranged in a manner extending in a vertical direction and connected to the control rod cluster 131, and further controls output of the nuclear reactor by moving up and down the control rod cluster drive shaft 135 by the magnetic type jack. The control rod cluster drive shaft 135 is made by providing a plurality of circumferential grooves on the surface of the control rod driving mechanism at an equal pitch in a longitudinal direction. Furthermore, a measurement instrument guiding device 136 is arranged inside the reactor vessel 101 from the upper core support 123 to the upper core plate 126 and the fuel assembly 120. The measurement instrument guiding device 136 inserts a measurement instrument (not, illustrated) such as a thermocouple into the reactor internal 129 from an instrumentation nozzle (nozzle) 137 provided at the reactor vessel lid 101b. Therefore, in the case where a thermocouple is applied as the measurement instrument, a temperature inside the reactor internal 129 can be measured by the thermocouple. In the guiding device 136, a support post 138 having a tubular shape is provided in an erected manner on the upper core support 123. The support post 138 is provided in a manner penetrating the reactor vessel lid 101b by having an upper end portion thereof inserted through the instrumentation nozzle 137 and made extending to the outside of the instrumentation nozzle 137. Additionally, a nozzle seal structure 1 of the present embodiment is provided between the instrumentation nozzle 137 and the support post 138, and the nozzle seal structure 1 hermetically seals a space between the instrumentation nozzle 137 and the support post 138. The support post 138 has a plurality of outlet pipes 139 inserted. The outlet pipe 139 has an inner diameter through which a measurement instrument can be inserted, and is provided in a manner extending to the support post 138 located outside the nozzle seal structure 1. Additionally, the outlet pipe 139 has an upper portion side supported by a support piece (not illustrated) and housed inside the support post 138, and has a lower portion side extending to the outside from a halfway of the support post 138, and each outlet pipe is inserted into an outlet guide pipe 140 disposed inside the core support rod 125. Furthermore, the outlet pipe 139 has a lower end portion penetrating the upper core plate 126 from a lower end of the outlet guide pipe 140 and communicates with the reactor internal 129. Additionally, the reactor vessel main body 101a is provided with many instrumentation nozzles 146 penetrating the lower mirror 101e, and an in-core guide pipe 147 is inserted through each of the instrumentation nozzles 146. Each of the in core guide pipes 147 has an upper end portion connected to the lower core support 124, and is mounted with upper and lower connecting plates 149, 150 to suppress vibration. Additionally, the in-core guide pipe 147 is provided in a manner penetrating the lower mirror 101e by having a lower end portion thereof inserted through the inside of the instrumentation nozzle 146 and made extending to the outside of the instrumentation nozzle 146. The in-core guide pipe 147 has a plurality of outlet pipes 148 inserted. The outlet pipe 148 is provided in a manner extending to the lower core plate 128 and has a thimble tube 151 inserted through, and a measurement instrument (not illustrate) is inserted into the thimble tube. The thimble tube 151 passes through the in-core guide pipe 147 via the outlet pipe 148, penetrates the lower core plate 128, and can be inserted into the fuel assembly 120. The pressurized water reactor having the above-described structure controls nuclear fission inside the reactor internal 129 by moving the control rod cluster drive shaft 135 to pull out the control rod 130 by a predetermined distance from the fuel assembly 120 by using the control rod driving mechanism 133, and light water filled inside the reactor vessel 101 is heated by generated heat energy and the light water having a high temperature is discharged from the outlet side nozzle 101d. In other words, in the event of nuclear fission of the nuclear fuel constituting the fuel assembly 120, neutrons are emitted, and the light water used as a moderator and the primary cooling water reduces kinetic energy of the emitted fast neutrons to generate thermal neutrons and easily causes additional nuclear fission, and further removes the generated heat for cooling. On the other hand, the number of neutrons generated inside the reactor internal 129 is adjusted by inserting the control rod 130 into the fuel assembly 120, and furthermore the nuclear reactor can be urgently stopped by inserting all of the control rods 130 into the fuel assembly 120. In the reactor vessel 101, an upper plenum 152 communicating with the outlet side nozzle 101d is formed above and a lower plenum 153 is formed below relative to the reactor internal 129. Additionally, a down-comer portion 154 communicating with the inlet side nozzle 101c and the lower plenum 153 is formed between the reactor vessel 101 and the core barrel 127. Therefore, the light water flows into the reactor vessel main body 101a from the inlet side nozzle 101c, flows downward in the down-comer portion 154, reaches the lower plenum 153, flows upward by being guided upward by a spherical inner surface of the lower plenum 153, and flows into the reactor internal 129 after passing through the lower core support 124 and the lower core plate 128. While the light water having flown into the reactor internal 129 cools the fuel assembly 120 by absorbing heat energy generated from the fuel assembly 120 constituting the reactor internal 129, the light water having a high temperature passes through the upper core plate 126, flows up to the upper plenum 152, and is discharged passing through the outlet side nozzle 101d. The light water discharged from the reactor vessel 101 is sent to the steam generator 103 as described above. Next, the nozzle seal structure 1 of the present embodiment will be described with reference to FIGS. 3 to 6. FIG. 3 is a cross-sectional view of the nozzle seal structure of the present embodiment. FIG. 4 is a plan view of the nozzle seal structure of the present embodiment. FIG. 5 is a cross-sectional view of a first hydraulic lock mechanism. FIG. 6 is a cross-sectional view of a second hydraulic lock mechanism. The nozzle seal structure 1 is adapted to hermetically seal a space between the nozzle provided in a manner penetrating the reactor vessel 101 from the inside to the outside and a rod member such as the support post 138 or the in-core guide pipe 147, and for example, hermetically seals the space between the instrumentation nozzle 137 and the support post 138 or a space between the instrumentation nozzle 146 and the in-core guide pipe 147 as described above. In the following description, note that a description will be provided by applying the nozzle seal structure 1 that hermetically seals the space between the instrumentation nozzle 137 and the support post 138. As illustrated in FIG. 3, the instrumentation nozzle 137 includes a flange portion 137a provided at an upper end portion thereof in a manner projecting outward in a radial direction orthogonal to a nozzle axis direction. The flange portion 137a is formed in an annular shape and has a thickness direction coinciding with the nozzle axis direction. The flange portion 137a is formed with a plurality of fastening through-holes 137b provided at predetermined intervals in a circumferential direction. The fastening through-hole 137b is formed in the thickness direction of the flange portion 137a and has a hollow cylindrical shape. In the present embodiment, while six fastening through-holes 137b are formed, for example, hut note that the number thereof is not particularly limited and the fastening through-holes 137b are suitably provided conforming to the number of first hydraulic lock mechanisms 31 described below. Additionally, an engagement groove 137c is formed at an inner circumferential edge on the radially inner side of the flange portion 137a. The engagement grooves 137c are formed in a hollow annular shape along an entire circumference, and protecting portion 23 of a blocking member 11 described later is engaged therewith. Note that a bottom surface on the lower side of the engagement groove 137c is formed as a seal surface 137d with which a lower seal member 12 contacts. The support post 138 inserted through the instrumentation nozzle 137 has a lower-side diameter formed as a large diameter and an upper-side diameter formed as a small diameter, thereby forming an annular-shaped stepped surface 138a. Additionally, a key groove 138b to be engaged with a key member 45 of a later-described second fastening portion 15 is formed on the upper side of the stepped surface 138a in an annularly recessed manner around an entire circumference thereof. The nozzle seal structure 1 provided at the instrumentation nozzle 137 and the support post 138 includes the blocking member 11, the lower seal member (first seal member) 12, an upper seal member (second seal member) 13, a first fastening portion 14, and a second fastening portion 15. The blocking member 11 is provided in a manner facing the flange portion 137a in the nozzle axis direction. The blocking member 11 is formed in a disk shape having a diameter substantially same as the diameter of the flange portion 137a. Therefore, the blocking member 11 has the thickness direction coinciding with the nozzle axis direction. The blocking member 11 is formed with: a support post through-hole 21 through which the support post 138 is inserted at a center; and a plurality of fastening through-holes 22 provided at predetermined intervals in a circumferential direction of the circumference of the support post through-hole 21. As illustrated in FIG. 6, the support post through-hole 21 has a diameter on the flange portion 137a side (lower side) formed as a large diameter, and has a diameter on the opposite side (upper side) of the flange portion 137a side formed as a small diameter. Furthermore, the support post through-hole 21 has a diameter at a middle portion between the lower side and the upper side smaller than the large diameter on the lower side and larger than the small diameter on the upper side. Additionally, in the support post through-hole 21, an upper side surface located at a stepped portion between the upper side and the middle portion is adapted as a seal surface 21a having an annular shape, and a lower side surface located thereof located at a stepped portion between the middle portion and the lower side is adapted as a position regulating surface 21b having an annular shape. The stepped surface 138a of the support post 138 contacts the position regulating surface 21b, and the stepped surface 138a of the support post 138 faces the seal surface 21a with the upper seal member 13 interposed therebetween. Therefore, a position of the support post 138 is regulated by the stepped surface 138a contacting the position regulating surface 21b of the blocking member 11. Additionally, since the support post 138 has the stepped surface 138a contacting the seal surface 21a of the blocking member 11 via the upper seal member 13, the space between the support post 138 and the blocking member 11 is hermetically sealed. The plurality of fastening through-holes 22 is formed conforming to the fastening through-hole 137b of the flange portion 137a, and for example, six fastening through-holes 22 are formed in the present embodiment. The fastening through-holes 22 are formed in the thickness direction of the blocking member 11, and each formed in a hollow cylindrical shape having a diameter substantially same as the diameter of the fastening through-hole 137b of the flange portion 137a. Additionally, the blocking member 11 is formed with the projecting portion 23 having a rim slightly projecting on the lower side of the support post through-hole 21. The projecting portion 23 is engaged with the engagement groove 137c having an annular shape and formed in the inner circumferential edge of the flange portion 137a. An end surface on the lower side of the projecting portion 23 is formed as a seal surface 24 with which the lower seal member 12 contacts. The lower seal member 12 is provided between the flange portion 137a and the blocking member 11, and for example, an O-ring is used. Specifically, the lower seal member 12 is arranged between the seal surface 137d of the flange portion 137a and the seal surface 24 of the blocking member 11. Furthermore, the lower seal member 12 is clamped by fastening the blocking member 11 and the flange portion 137a in a mutually approaching direction by the first fastening portion 14 described later, and consequently the space between the blocking member 11 and the flange portion 137a is hermetically sealed. The upper seal member 13 is provided between the support post 138 and the blocking member 11, and for example, an O-ring is used. Specifically, the upper seal member 13 is arranged between the stepped surface 138a of the support post 138 and the seal surface 21a of the blocking member 11. Furthermore, the upper seal member 13 is clamped by relatively lifting the support post 138 to the upper side relative to the blocking member 11 by the second fastening portion 15 described later, and consequently the space between the blocking member 11 and the support post 138 is hermetically sealed. The first fastening portion 14 is adapted to fasten the flange portion 137a and the blocking member 11. The first fastening portion 14 is formed by including a plurality of first hydraulic lock mechanisms 31. The plurality of first hydraulic lock mechanisms 31 is provided in a manner clamping the flange portion 137a and the blocking member 11 in the thickness direction. Additionally, the plurality of first hydraulic lock mechanisms 31 is provided conforming to the plurality of fastening through-holes 22. Therefore, as illustrated in FIG. 4, the plurality of first hydraulic lock mechanisms 31 is arranged at predetermined intervals parallel to the circumferential direction (along entire circumference) of each of the flange portion 137a and the blocking member 11. Next, the first hydraulic lock mechanism 31 will be described with reference to FIG. 5. Note that a hydraulic lock bolt (also referred to as a hydraulic nut) is applied as the first hydraulic lock mechanism 31. The first hydraulic lock mechanism 31 includes a fastening bolt 33, a nut 34, a cylinder 35, a piston 36, and a lock nut 37. The fastening bolt 33 is inserted through the fastening through-hole 137b of the flange portion 137a and the fastening through-hole 22 of the blocking member 11, and has an outer peripheral surface formed with a thread groove. The nut 34 has an inner peripheral surface formed with a thread groove, and is fastened with the fastening bolt 33 on the lower side of the flange portion 137a. Therefore, the lower surface of the flange portion 137a receives first fastening force via the nut 34 applied when the first hydraulic lock mechanism 31 is fastened. The piston 36 has an outer peripheral surface and an inner peripheral surface each formed with a thread groove, and fastened with the fastening bolt 33 on the upper side of the blocking member 11. The cylinder 35 is arranged between the blocking member 11 and the piston 36. The cylinder 35 houses, inside thereof, part of the piston 36 on the lower side (blocking member 11 side) and forms a hydraulic chamber 40 between the cylinder 35 and the piston 36. When hydraulic oil is supplied, hydraulic pressure is applied to the hydraulic chamber 40. When the hydraulic pressure is applied to the hydraulic chamber 40, the hydraulic chamber 40 expands, thereby relatively moving the cylinder 35 and the piston 36 in direction away from each other. Accordingly, when the cylinder 35 pushes the blocking member 11 to the flange portion 137a side, the blocking member 11 and the flange portion 137a are clamped by the cylinder 35 and the nut 34. Therefore, the upper surface of the blocking member 11 is adapted as a first fastened surface that receives first fastening force applied when the first hydraulic lock mechanism 31 is fastened. The lock nut 37 is fastened to the outer peripheral surface of the piston 36 on the upper side of the cylinder 35. The lock nut 37 is adapted to fix positions of the cylinder 35 and piston 36. The lock nut 37 fixes the positions of the cylinder 35 and piston 35 by being fastened to the piston 36 so as to contact the cylinder 35 side in a state that the cylinder 35 and the piston 36 are apart from each other by hydraulic pressure. Then, the plurality of first hydraulic lock mechanism 31 can apply the hydraulic pressure of the same level collectively to the respective hydraulic chambers 40. Therefore, the first fastening portion 14 can apply uniform first fastening force to the flange portion 137a and the blocking member 11 in the entire circumference. The second fastening portion 15 is adapted to fasten the support post 138 and the blocking member 11. The second fastening portion 15 is formed by including a single second hydraulic lock mechanism 41. The second hydraulic lock mechanism 41 fastens the support post 138 and the blocking member 11 by lifting the support post 138 to the blocking member 11. Furthermore, as illustrated in FIG. 4, the second hydraulic lock mechanism 41 is provided in a manner surrounding the support post 138 at a center of the blocking member 11 parallel to the circumference direction (around the entire circumference) of each of the flange portion 137a and the blocking member 11. Next, the second hydraulic lock mechanism 41 will be described with reference to FIG. 6. The second hydraulic lock mechanism 41 includes a cylinder 43, a piston 44, a lock nut 45, and a key member (locking member) 46. The key member 46 is an annular member engaged with the key groove 138b formed at the support post 138. The key member 45 is formed in a manner projecting from the outer peripheral surface of the support post 138 in a state of being engaged with the key groove 138b. The piston 44 has an outer peripheral surface formed with a thread groove, has the support post 138 inserted through an inner peripheral side thereof, and is provided between the blocking member 11 and the key member 46. The cylinder 43 is arranged between the blocking member 11 and the piston 44. The cylinder 43 houses, inside thereof, part of the piston 44 on the lower side (blocking member 11 side) and forms a hydraulic chamber 48 between the cylinder 43 and the piston 44. When hydraulic oil is supplied, the hydraulic chamber 48 is applied with hydraulic pressure. When the hydraulic pressure is applied to the hydraulic chamber 48, the hydraulic chamber 48 expands, thereby relatively moving the cylinder 43 and the piston 44 in direction away from each other. At this point, movement of the piston 44 in the nozzle axis direction is suppressed by the key member 46. Therefore, when the cylinder 43 moves the blocking member 11 to the flange portion 137a side, the cylinder 43 and the piston 44 enlarge a space between the blocking member 11 and the key member 46. Accordingly, the cylinder 43 and the piston 44 fasten the support post 138 and the blocking member 11 by lifting the support post 138 to the blocking member 11. Therefore, the upper surface of the blocking member 11 is adapted as a second fastened surface that receives second fastening force applied when the second hydraulic lock mechanism 41 is fastened. The lock nut 45 is fastened to an outer peripheral surface of the piston 44 on the upper side of the cylinder 43. The lock nut 45 is adapted to fix positions of the cylinder 43 and piston 44. The lock nut 45 fixes the positions of the cylinder 43 and piston 44 by being fastened to the piston 44 so as to contact the cylinder 43 side in a state that the cylinder 43 and the piston 44 are apart from each other by hydraulic pressure. Thus, since the second hydraulic lock mechanism 41 is provided in a manner surrounding the support post 138, the second fastening portion 15 can apply the uniform second fastening force to the flange portion 137a and the blocking member 11 in the entire circumference by applying the hydraulic pressure to the hydraulic chamber 48. Additionally, as described above, the upper surface of the blocking member 11 is adapted as the first fastened surface to receive the first fastening force applied by the first fastening portion, and also adapted as the second fastened surface to receive the second fastening force applied by the second fastening portion. Therefore, the first fastened surface and the second fastened surface are formed on the same surface. Next, mounting work to mount the nozzle seal structure 1 on the instrumentation nozzle 137 will be described with reference to FIG. 7. First, the blocking member 11 is arranged on the flange portion 137a of the instrumentation nozzle 137 (Step S1). In Step S1, a position of the blocking member 11 relative to the flange portion 137a is adjusted such that each of the fastening through-holes 137b of the flange portion 137a overlaps with each of the fastening through-holes 22 of the blocking member 11. Subsequently, the first fastening portion 14 is arranged in a manner clamping the flange portion 137a and the blocking member 11 (Step S2). Specifically, in Step S2, the plurality of first hydraulic lock mechanisms 31 is respectively mounted on the respective fastening through-holes 137b and the respective fastening through-holes 22. In Step S2, after the plurality of first hydraulic lock mechanisms 31 is mounted, the second fastening portion 15 is subsequently arranged in the support post 138 and the blocking member 11 (Step S3). Specifically, in Step S3, the single second hydraulic lock mechanism 41 is mounted in the circumference of the support post 138. Meanwhile, in Step S2, in the case all of the plurality of first hydraulic lock mechanisms 31 are mounted, mounting the second hydraulic lock mechanism 41 becomes difficult. Therefore, in practice, the second hydraulic lock mechanism 41 is mounted after part of the plurality of first hydraulic lock mechanisms 31 is mounted, and after that, the remaining first hydraulic lock mechanisms 31 are mounted. In Step S3, when the second hydraulic lock mechanism 41 is mounted, fastening by the first fastening portion 14 is performed (Step S4). In other words, in Step S4, hydraulic pressure of the same level is collectively applied to the plurality of first hydraulic lock mechanisms 31 by supplying hydraulic oil from a hydraulic device not illustrated. After that, in that state that the hydraulic pressure is applied, the lock nut 37 in each of the first hydraulic lock mechanisms 31 is fastened, and then the hydraulic pressure is released. After fastening by the first fastening portion 14 is performed in Step 4, fastening by the second fastening portion 15 is performed (Step S5). In other words, in Step S5, hydraulic pressure is applied to the second hydraulic lock mechanism 41 by supplying hydraulic oil from a hydraulic device not illustrated. After that, in that state that the hydraulic pressure is applied, the lock nut 45 of the second hydraulic lock mechanisms 41 is fastened, and then the hydraulic pressure is released. With the above procedure, the mounting work of the nozzle seal structure 1 on the instrumentation nozzle 137 is completed. As described above, according to the present embodiment, since the blocking member 11 is formed in a disk shape, a distance in the nozzle axis direction between the lower seal member 12 and the upper seal member 13 can be shortened compared to a case where a blocking member is formed in a cylindrical shape. Therefore, since the lower seal member 12 and the upper seal member 13 can be set close to each other, visual check for the lower seal member 12 and the upper seal member 13 can be appropriately performed when visual check is performed from the lower side where the lower seal member 12 and the upper seal member 13 of the blocking member 11 are arranged. Therefore, since visibility of the lower seal member 12 and the upper seal member 13 can be improved, visual inspection and the like can be appropriately performed, for example. Furthermore, according to the present embodiment, since the first fastened surface and the second fastened surface can be formed on the same surface, namely, the upper surface of the blocking member 11, fastening work for the first fastening portion 14 and the second fastening portion 15 can be performed on the same surface. As a result, workability can be improved. Moreover, since the first fastened surface and the second fastened surface are formed on the same surface, the shape of the blocking member 11 can be made compact. Consequently, space saving for the nozzle seal structure 1 can be achieved. Additionally, according to the present embodiment, since the upper seal member 13 between the support post 138 and the blocking member 11 can be clamped by fastening the support post 138 and the blocking member 11 with the second hydraulic lock mechanism 41, the space between the support post 138 and the blocking member 11 can be hermetically sealed. At this point, since the second hydraulic lock mechanism 41 can apply the second fastening force by hydraulic pressure, pressure can be uniformly applied along the circumference of the support post 138 without unevenly applying a load to the upper seal member 13 in the circumference of the support post 138. Therefore, reliability of sealing performance by the upper seal member 13 can be improved. Additionally, according to the present embodiment, the second hydraulic lock mechanism 41 can be locked to the support post 138 by the key member 46. Therefore, the second hydraulic lock mechanism 41 can move the support post 138 via the key member 46. Accordingly, the support post 138 can be lifted relative to the blocking member 11 by the second hydraulic lock mechanism 41 without providing any thread groove on the outer peripheral surface of the support post 138. Moreover, according to the present embodiment, since the lower seal member 12 between the flange portion 137a and the blocking member 11 can be clamped by fastening the flange portion 137a and the blocking member 11 with the plurality of first hydraulic lock mechanisms 31, the space between the flange portion 137a and the blocking member 11 can be hermetically sealed. At this point, since the plurality of first hydraulic lock mechanisms 31 can apply the first fastening force by hydraulic pressure, pressure can be uniformly applied along the circumference in each of the flange portion 137a and the blocking member 11 without unevenly applying a load to the lower seal member 12 in the circumference in each of the flange portion 137a and the blocking member 11. Therefore, reliability of sealing performance by the lower seal member 12 can be improved. Additionally, according to the present embodiment, since hydraulic pressure of the same level is collectively applied to the plurality of first hydraulic lock mechanisms 31, the pressure can be uniformly applied along the circumference in each of the flange portion 137a and the blocking member 11 without unevenly applying a load to the lower seal member 12 in the circumference in each of the flange portion 137a and the blocking member 11. Meanwhile, in the present embodiment, the first hydraulic lock mechanism 31 and the second hydraulic lock mechanism 41 are used in the first fastening portion 14 and the second fastening portion 15, hut not limited to this structure, fastening members such as a bolt and a nut may also be applied. 1 Nozzle seal structure 11 Blocking member 12 Lower seal member (first seal member) 13 Upper seal member (second seal member) 14 First fastening portion 15 Second fastening portion 31 First hydraulic lock mechanism 41 Second hydraulic lock mechanism 46 Key member (locking member) 101 Reactor vessel 137 Instrumentation nozzle 137a Flange portion 138 Support post 138b Key groove
039363503
abstract
A thermal expansion compensation system for nuclear reactor fuel assemblies is disclosed herein which utilizes materials with different rates of thermal expansion in appropriate components so to: retain alignment of the assembly; reduce or eliminate thermal bow; and reduce or eliminate jump movement of fuel assemblies.
description
The present invention relates to a technology for minimizing a beam spot in an electron beam apparatus and, more particularly, to a scanning electron microscope, a critical dimension measurement SEM (CD-SEM), an electron beam lithography system, and the like. As semiconductor device patterns have been increasingly miniaturized in recent years, an apparatus for measuring the dimensions of the device patterns with high accuracy, such as a critical dimension measurement SEM, has been requested to achieve a resolution of about 3 to 1 nm by using an electron beam with an acceleration voltage of 1 kV or less for the prevention of specimen destruction. To satisfy the request, it is necessary to converge the electron beam into a spot with a diameter not more than a desired resolution on a specimen surface. With such a low acceleration voltage, chromatic aberration in an objective lens presents a first problem. To reduce the chromatic aberration, various attempts have already been made by sophisticating the design of the objective lens. In the 1990s, a retarding technology was introduced which increases an acceleration voltage for electrons by the magnitude of Vr to allow the passage of the electrons through the objective lens with a higher energy, while decelerating the electrons with the application of a voltage βˆ’Vr to a specimen to keep the energy of an electron beam low when it is incident on the specimen. The technology allows a reduction in chromatic aberration at the rate shown in Expression (1) where V0 is an electron acceleration voltage at an electron gun portion.[Numerical Expression 1] V 0 V 0 + V r ( 1 ) If Vr is excessively large, however, the specimen is destroyed by an electric field so that the magnitude of Vr which can be applied is limited. The chromatic aberration is also reduced accordingly if an electron source which emits an energy with a small width is used. At present, a field emission electron gun (with an emitted-electron energy width of about 0.3 eV), a Schottky field emission electron gun (with about 0.6 eV), and the like are used and an electron source having a smaller emitted-electron energy width and excellent stability is still in the search stage. Since such a new electron source has not been commercialized yet, the reduction of the chromatic aberration in accordance with the foregoing methods is currently approaching the limit. Of various approaches made to eliminate the limit, two have drawn attention, which are the use of a monochrometer and the reduction of the chromatic aberration using an aberration corrector. In relation to the approach using the aberration corrector, a technology which allows the compensation of the aberration in an objective lens by composing an aberration corrector from a combination of multipole lenses was proposed by Scherzer in the year 1947. In the 1970s, a specific structure had already been disclosed in Non-Patent Document 1 or Non-Patent Document 2. Recently, the technology has been experimentally verified by using a quadrupole/octupole aberration corrector of electromagnetic field type (Non-Patent Document 3). An outline of the operation of this type of aberration corrector will be described with reference to FIG. 4. An aberration corrector 10 is composed of a multipole lens 11, an electromagnetic multipole lens 12, an electromagnetic multipole lens 13, and a multipole lens 14 to generate quadrupole fields and octupole fields in superimposed relation. In the aberration corrector 10, the quadrupole fields cause a converging effect and a diverging effect in respective two directions (the x-axis and the y-axis) perpendicular to an optical axis (the z-axis), which separate paraxial trajectories. In FIG. 4, the trajectories of electron beams are schematically shown by the fine lines. The first-stage multipole lens 11 causes the electron beams emitted from a crossover 41 to have trajectories in the x-direction (the x-trajectories each having one arrow in the drawing) diverged and trajectories in the y-direction (the y-trajectories each having two arrows in the drawing) converged, which are separated from each other. A trajectory in an arbitrary direction can be considered as a linear combination of these x- and y-trajectories. The second-stage electromagnetic multipole lens 12 is capable of generating a quadrupole electric field and a quadrupole magnetic field that has been 45Β° rotated from the quadrupole electric field relative to the optical axis in an x-y plane and compositely applying the electric field and the magnetic field in the x-y plane. The first-stage multipole lens 11 is excited such that the y-trajectories cross in the vicinity of the center of the electromagnetic multipole lens 12. At this time, the x-trajectories are apart from each other at a maximum distance to form a line image 42 extending in the x-direction at the center of the electromagnetic multipole lens 12. The excitation of the quadrupole of the electromagnetic multipole lens 12 has been adjusted such that the x-trajectories cross in the vicinity of the center of the third-stage electromagnetic multipole lens 13. At this time, a line image 43 presents a linear configuration extending in the y-direction. The x-trajectories and the y-trajectories separated past the fourth multipole lens 14 join at a crossover 44. The aberration corrector 10 is operated such that the crossover 41 is stigmatically formed into an image at the crossover 44. At this time, the electromagnetic multipole lens 12 can be excited in the aberration corrector 10 by varying the ratio among the respective intensities of the quadruple fields including the electric and magnetic fields under the constraint that a resulting-force exerted on an incident electron with an energy serving as a reference is not changed. In this case, an electron with an energy shifted from the reference is different in speed from the electron with the reference energy so that the exerted force changes if the ratio among the respective intensities of the electric and magnetic fields changes and the trajectories are displaced. The displacement is large in the x-direction apart at a distance from the optical axis and the trajectories are hardly affected in the y-direction extending toward the centers of the multipole fields. When the electron passes through the electromagnetic multipole lens 13, the x- and y-directions in the foregoing relation are reversed. In other words, only electrons with incidence energies shifted independently in the x- and y-directions can have trajectories changed by changing the ratio among the respective intensities of the quadrupole fields including the electric and magnetic fields in the electromagnetic multipole lenses 12 and 13. By using this, the respective trajectories of an electron with a higher energy and an electron with a lower energy are preliminarily shifted outwardly and inwardly, each by an amount which allows the compensation of the chromatic aberration in the subsequently disposed objective lens, whereby the compensation of the chromatic aberration is performed. By generating octupole fields by using the multipole lenses 11 to 14 in addition to the quadrupole fields, it is also possible to compensate for the spherical aberration as disclosed in the articles 3 and 7 of Non-Patent Document 3. In these well-known examples, an embodiment which uses a dodecupole for superimposing the quadrupole fields and the octupole fields is shown. In these well-known examples, an electro-optical system is constructed with a view to reducing even high-order aberration occurring inherently in the aberration corrector. However, since extremely small probes should be formed, total axial alignment in an entire electron beam apparatus is difficult when the aberration corrector is incorporated into the apparatus. [Patent Document 1] Japanese Unexamined Patent Publication No. 2000-195453 [Non-Patent Document 1] Optik 33 (1971), pages 1–24 [Non-Patent Document 2] Optik 83 (1989), pages 30 to 40 [Non-Patent Document 3] Nuclear Instruments and Methods in Physics Research, A 363 (1995), pages 316 to 325 In the case of introducing such an aberration corrector into an electron beam apparatus, it is intricate and time-consuming to adjust the excitation and axial misalignment of each of the quadrupoles and octupoles in four stages in the aberration corrector and simultaneously align even the electro-optical axes of the other components of the apparatus. The reason for this is that, even when the secondary electron image of the specimen is observed, an axial alignment defect inherent in the aberration corrector and an axial alignment defect in the component other than the aberration corrector are intermingled indistinguishably and therefore the respective causes thereof cannot be tracked down individually. The present invention provides an electron beam apparatus with an aberration corrector which allows easy recognition of the effect of aberration correction, achieves a reduction in adjustment procedures therefor, and features enhanced ease of use. In the electron beam apparatus with the aberration corrector according to the present invention, a computer for controlling each of lenses and the aberration corrector provided in the electron beam apparatus has a scan mode for enabling the operation of the aberration corrector and a scan mode for disabling the operation of the aberration corrector and controls the aberration corrector such that the object point of the objective lens does not change in either of the two modes. Alternatively, the computer controls the aberration corrector such that the object point of the objective lens does not change in either of the two modes by associating the magnetization of a condenser lens with the operation of the aberration corrector. To enable associated operation of the lenses, a deflector, the aberration corrector, and the like, a system for integrally controlling the power sources of the lenses, the deflector, the aberration corrector, and the like by using the computer is constructed. Such a construction allows normal adjustment procedures required for beam convergence such as the alignment of an axis of incidence to the objective lens, focusing, and astigmatism correction to be generally performed in the scan mode for disabling the operation of the aberration corrector. Switching is performed continuously to the scan mode for enabling the operation of the aberration corrector. Since the object point of the objective lens is not moved in this mode, the image scaling factor and the focus remain unchanged. As a result, only an effect exerted by the aberration corrector on the electron beam can be evaluated from changes caused in a secondary electron image and in a written pattern by this procedure. In short, an increased probe diameter and deformation caused by various aberrations at this stage can be distinguished as those resulting from incomplete adjustment of the aberration corrector. Then, the excitation of each of the multipoles of the aberration corrector is finely adjusted individually such that the chromatic aberration and spherical aberration in the objective lens are eventually compensated for and a minimum probe diameter and an optimum resolution are obtainable. By independently performing the adjustment of the aberration corrector of the adjustment of the axial alignment of the objective lens other than the adjustment of the aberration corrector, the axial alignment process is simplified and the procedures for adjusting the entire apparatus are reduced. FIG. 1 schematically shows a structure of an example of an electron beam apparatus with an aberration corrector to which the present invention is applied. A Schottky emitter 1 is an electron source composed of monocrystalline tungsten containing oxygen, zirconium, and the like diffused therein and using a Schottky effect. A suppressor electrode 2 and an extraction electrode 3 are provided in the vicinity of the Schottky emitter 1. By heating the Schottky emitter 1 and applying a voltage of about +2 kV between the Schottky emitter 1 and the extraction electrode 3, Schottky electrons can be emitted from the Schottky emitter 1. A negative voltage is applied to the suppressor electrode 2 to suppress electrons emitted from the portion of the Schottky emitter 1 other than the tip portion thereof. The electrons that have come out of the hole of the extraction electrode 3 are accelerated and converged by an electrostatic lens formed of a first anode 4 and a second anode 5. Subsequently, an electron beam has a diameter limited by a first condenser lens 6 and a condenser aperture (not shown) and passes through a second condenser lens 7, an upper deflection coil 8, and a lower deflection coil 9 to be incident at a desired angle on an aberration corrector 10. In the aberration corrector 10, a multipole lens 11, an electromagnetic multipole lens 12, an electromagnetic multipole lens 13, and a multipole lens 14 are disposed by using an optical axis as a common axis. In the case where chromatic aberration is to be corrected, a quadrupole electric field or a quadrupole magnetic field is formed in a plane perpendicular to the optical axis by using the multipole lenses 11 and 14, while a quadrupole electric field and a quadrupole magnetic field that has been 45Β° rotated from the preceding quadrupole electric field relative to the optical axis in an x-y plane are formed by using the electromagnetic multipole lenses 12 and 13. These fields are formed by using a 4-pole electrode, an 8-pole electrode, or a 12-pole electrode (which may serve also as a magnetic pole). In the case where not only the chromatic aberration but also spherical aberration are to be corrected, octupole fields are formed in addition to the quadrupole fields by using the foregoing electrode and superimposed on the quadrupole fields. In this case, the multipole lenses require an octupole and a dodecupole. The electron beam imparted with the chromatic aberration and the spherical aberration to be compensated for in the objective lens 17 by the aberration corrector 10 passes through an upper scanning deflector 15 and a lower scanning deflector 16 to be converged by the objective lens 17 on a specimen 18 and used for scanning. In the case where the electron beam apparatus is used as a scanning electron microscope, a mechanism for detecting a secondary electron and a reflected electron and forming an image thereof is attached thereto, though it is not depicted herein. As the objective lens 17, a rotationally symmetric lens of magnetic field type, electric field type, or electromagnetic field type is used. There are cases where a voltage is applied from a retarding voltage source 29 to the specimen 18 to decelerate the electrons between the specimen 18 and the objective lens 17 for the prevention of the destruction of the specimen by the electron beam and the reduction of the aberration. Each of the components of the electron beam apparatus described above is accommodated in a vacuum chamber 19 and connected electrically to each of outside-vacuum current and voltage sources (20 to 29) via a connector. A method for supporting each of the components in the vacuum chamber 19 is not illustrated for the sake of simplicity. An electron bean can be generated and controlled for scanning or the like by controlling these power sources via a computer 30, Embodiment 1 FIG. 2 shows a first embodiment of the present invention. Based on the structure of the electron beam apparatus with the aberration corrector shown in FIG. 1, a control operation according to Embodiment 1 will be described by focusing attention on the trajectories of electron beams. The electrons emitted from the Schottky emitter 1 initially follow the trajectories indicated by the solid lines, along which they are accelerated between the first and second anodes 4 and 5 and converged by the first condenser lens 6, a condenser aperture 39, and the second condenser lens 7 to reach the aberration corrector 10 (the two condenser lenses need not necessarily be provided). In the scan mode (OFF mode) for disabling the operation of the aberration corrector 10, x- and y-trajectories are not separated from each other and move straight forward in the aberration corrector 10 along the trajectories indicated by the broken lines in the drawing to form a crossover at the position denoted by 44, which serves as the object point of the objective lens 17. To achieve the maximum resolution, an objective aligner 38 is adjusted such that the electron beams pass through the center of a current in the objective lens 17. Although this technique has been established, the electron beams are incident obliquely on the objective lens 17 in a strict sense of the term. Astigmatism and curvature of field aberration occurring at this stage can be corrected by using a stigmator 36 so that distortion does not cause an image blur. It can be considered that axial alignment which totally minimizes comatic aberration and lateral chromatic aberration has been performed. Although these aberrations resulting from the oblique incidence on the objective lens 17 can be eliminated in accordance with a method as disclosed in Patent Document 1, spherical aberration and axial chromatic aberration remain unremoved till the end. After the axial alignment is completed in the OFF mode, the aberration corrector 10 is operated in that state (ON mode). In this state, the electrons converged by the second condenser lens 7 and incident on the aberration corrector 10 are divided in the x- and y-directions in the aberration corrector 10, as described with reference to FIG. 4, and travel along the paraxial trajectories indicated by the solid lines in FIG. 2. At this time, the respective intensities of the multipole lenses have been set such that a crossover is formed at the position denoted by 44 in the aberration corrector 10. To actually form the crossover 44, the respective intensities of the quadrupole fields in the individual stage of the aberration corrector 10 can be determined by numerical calculation based on the following concept. For the sake of simplicity, it is assumed herein that each of the distance between the multipole lens 11 and the electromagnetic multipole lens 12 and the distance between the electromagnetic multipole lens 13 and the multipole lens 14 is zero, high-order fields are not considered by forming each of the electrodes and magnetic poles of the multipole lenses into a hyperbolic configuration, and the distance between the multipoles is actually finite, though numerical expressions for analytical calculation are shown explicitly. The configurations of the electrodes and the magnetic poles are inputted to a calculator and the fields are determined by. simulation using the calculator based on the concept shown below. Equations for determining the paraxial trajectories of the quadrupole lenses are represented as in Expressions (2) and (3) by assuming the z-direction as the direction of the optical axis: [Numerical Expression 2]Xβ€³(z)=βˆ’Ξ²2k(z)X(z)  (2)Yβ€³(z)=Ξ²2k(z)Y(z)  (3)where Ξ²2 is represented by the expression (4):[Numerical Expression 3] Ξ² 2 = ( Ο• 2 Ο• - Ο• 2 Ο• ) ( 4 ) where k(z) represents the field distribution in the z-direction, Ο†2 represents the intensity of a quadrupole magnetic field, Ο†2 represents the intensity of a quadrupole electric field, and Ο† represents a potential on the axis, which is determined by a voltage applied to the electrode and a coil current. It is appropriate to determine Ξ²i (i=1 to 4) for each of the stages. In an actual process, a dimensionless parameter ΞΈi=Ξ²iL is determined, where L represents the thickness of each of the electrodes in the direction of the z-axis, ΞΈ1 corresponds to the multipole lens 11, ΞΈ2 corresponds to the electromagnetic multipole lens 12, ΞΈ3 corresponds to the electromagnetic multipole lens 13, and ΞΈ4 corresponds to the multipole lens 14. It is assumed herein that the respective positions of the second condenser lens 7, the objective lens 17, the aberration corrector 10, and the crossover 44 have been given in advance. Under the foregoing conditions, four variables (ΞΈ1 to ΞΈ4) are calculated in accordance with the following numerical expressions to determine the respective intensities of the quadrupoles in the individual stages. 1. The passage of each of the y-trajectories through the center of the second-stage electromagnetic multipole lens 12, which is a condition for enabling aberration correction as described above with reference to FIG. 4, allows a condition represented by Expression (5) to be obtained.[Numerical Expression 4] 1 ΞΈ 4 ⁒ ΞΈ 3 ⁒ ΞΈ 2 [ L ⁒ ⁒ ΞΈ 3 ⁒ sinh ⁑ ( ΞΈ 2 2 ) ⁒ { ΞΈ 4 ⁒ cos ⁒ ⁒ ΞΈ 3 ⁒ ( cosh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ sinh ⁒ ⁒ ΞΈ 4 ) - ΞΈ 3 ⁒ sin ⁒ ⁒ ΞΈ 3 ⁑ ( sinh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ cosh ⁒ ⁒ ΞΈ 4 ) } + L ⁒ ⁒ ΞΈ 2 ⁒ cosh ⁑ ( ΞΈ 2 2 ) ⁒ { ΞΈ 4 ⁒ sin ⁒ ⁒ ΞΈ 3 ( cosh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ sinh ⁒ ⁒ ΞΈ 4 ) + ΞΈ 3 ⁒ cos ⁒ ⁒ ΞΈ 3 ⁒ ( sinh ⁒ ⁒ ΞΈ 4 + ( a + p ) ⁒ ⁒ ΞΈ 4 ⁒ cosh ⁒ ⁒ ΞΈ 4 + ap ⁒ ⁒ ΞΈ 1 2 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 4 ) - a ⁒ ⁒ ΞΈ 2 2 ⁒ sin ⁒ ⁒ ΞΈ 3 ⁑ ( sinh ⁒ ⁒ ΞΈ 4 + ΞΈ 4 ⁒ p ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 4 ) } ] ( 5 ) where aΓ—L is the distance between the electromagnetic multipole lens 12 and the electromagnetic multipole lens 13 and pΓ—L is the distance between a plane extending along the lower end surface of the multipole lens 14, which is in perpendicular relation to the optical axis., and the crossover 44. 2. Since a conical converged beam is incident on the aberration corrector 10, conditions represented by Expressions (6) and (7) can be obtainable from the relationship between the coordinates of the x- and y-trajectories on the upper end surface of the aberration corrector 10 and the gradients:[Numerical Expression 5] 1 ΞΈ 2 ⁒ ΞΈ 3 ⁒ ΞΈ 4 [ ΞΈ 2 ⁒ { ΞΈ 3 ( cos ⁒ ⁒ ΞΈ 2 ⁒ cosh ⁒ ⁒ ΞΈ 1 ( ΞΈ 4 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 3 ⁑ ( cos ⁒ ⁒ ΞΈ 4 - p ⁒ ⁒ ΞΈ 4 ⁒ sin ⁒ ⁒ ΞΈ 4 ) + ΞΈ 3 ⁒ sinh ⁒ ⁒ ΞΈ 3 ⁑ ( sin ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ cos ⁒ ⁒ ΞΈ 4 ) ) + ΞΈ 3 ⁒ ⁒ cos ⁒ ⁒ ΞΈ 1 ⁒ cosh ⁒ ⁒ ΞΈ 2 ( - ΞΈ 4 ⁒ cos ⁒ ⁒ ΞΈ 3 ⁑ ( cosh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 4 ) + ΞΈ 3 ⁒ ⁒ sin ⁒ ⁒ ΞΈ 3 ⁑ ( sinh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 4 ) ) ) + ( - ΞΈ 4 ⁒ cos ⁒ ⁒ ΞΈ 4 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 1 ⁒ ⁒ sin ⁒ ⁒ ΞΈ 2 ( sinh ⁒ ⁒ ΞΈ 3 + ( a + p ) ⁒ ΞΈ 3 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 3 + ap ⁒ ⁒ ΞΈ 3 2 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 3 ) + cos ⁒ ⁒ ΞΈ 1 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 2 ( - ΞΈ 4 ⁒ ⁒ sin ⁒ ⁒ ΞΈ 3 ⁒ ( cosh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 4 ) - ΞΈ 3 ⁒ ⁒ cos ⁒ ⁒ ΞΈ 3 ⁑ ( sinh ⁒ ⁒ ΞΈ 4 + ( a + p ) ⁒ ⁒ ΞΈ 4 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 4 + ap ⁒ ⁒ ΞΈ 4 2 ⁒ sinh ⁒ ⁒ ΞΈ 4 ) + a ⁒ ⁒ ΞΈ 3 2 ⁒ sin ⁒ ⁒ ΞΈ 3 ⁑ ( sinh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ cosh ⁒ ⁒ ΞΈ 4 ) ) + cosh ⁒ ⁒ ΞΈ 1 ⁒ sin ⁒ ⁒ ΞΈ 4 ⁒ sin ⁒ ⁒ ΞΈ 2 ( p ⁒ ⁒ ΞΈ 4 2 ⁑ ( sinh ⁒ ⁒ ΞΈ 3 + a ⁒ ⁒ ΞΈ 3 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 3 ) - ΞΈ 3 ⁑ ( cosh ⁒ ⁒ ΞΈ 3 + a ⁒ ⁒ ΞΈ 3 ⁒ sinh ⁒ ⁒ ΞΈ 3 ) ) ) ⁒ ⁒ ΞΈ 2 } + { ΞΈ 3 ( sin ⁒ ⁒ ΞΈ 2 ⁒ sinh ⁒ ⁒ ΞΈ 1 ( ΞΈ 4 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 3 ⁑ ( cos ⁒ ⁒ ΞΈ 4 - p ⁒ ⁒ ΞΈ 4 ⁒ sin ⁒ ⁒ ΞΈ 4 ) + ΞΈ 3 ⁒ sinh ⁒ ⁒ ΞΈ 3 ⁑ ( sin ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ ⁒ cos ⁒ ⁒ ΞΈ 4 ) ) + ΞΈ 3 ⁒ sin ⁒ ⁒ ΞΈ 1 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 2 ( ΞΈ 4 ⁒ cos ⁒ ⁒ ΞΈ 3 ⁑ ( cosh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 4 ) - sin ⁒ ⁒ ΞΈ 3 ⁑ ( sinh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 4 ) ) ) + ( ΞΈ 4 ⁒ ⁒ cos ⁒ ⁒ ΞΈ 4 ⁒ ⁒ cos ⁒ ⁒ ΞΈ 2 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 1 ⁑ ( sinh ⁒ ⁒ ΞΈ 3 + ( a + p ) ⁒ ⁒ ΞΈ 3 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 3 + ap ⁒ ⁒ ΞΈ 3 2 ⁒ sinh ⁒ ⁒ ΞΈ 3 ) + cosh ⁒ ⁒ ΞΈ 2 ⁒ sin ⁒ ⁒ ΞΈ 1 ( ΞΈ 4 ⁒ sin ⁒ ⁒ ΞΈ 3 ⁑ ( cosh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ sinh ⁒ ⁒ ΞΈ 4 ) + ΞΈ 3 ⁒ ⁒ cos ⁒ ⁒ ΞΈ 3 ⁑ ( sinh ⁒ ⁒ ΞΈ 4 + ( a + p ) ⁒ ΞΈ 4 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 4 + ap ⁒ ⁒ ΞΈ 4 2 ⁒ sinh ⁒ ⁒ ΞΈ 4 ) - a ⁒ ⁒ ΞΈ 3 2 ⁒ sin ⁒ ⁒ ΞΈ 3 ⁑ ( sinh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 4 ) ) + cos ⁒ ⁒ ΞΈ 2 ⁒ ⁒ sin ⁒ ⁒ ΞΈ 4 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 1 ( - p ⁒ ⁒ ΞΈ 4 2 ⁑ ( sinh ⁒ ⁒ ΞΈ 3 + a ⁒ ⁒ ΞΈ 3 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 3 ) + ΞΈ 3 ⁑ ( cosh ⁒ ⁒ ΞΈ 3 + a ⁒ ⁒ ΞΈ 3 ⁒ sinh ⁒ ⁒ ΞΈ 3 ) ) ) ⁒ ⁒ ΞΈ 2 } ⁒ ⁒ ΞΈ 1 ] = 0 ( 6 ) [Numerical Expression 6] 1 ΞΈ 1 ⁒ ΞΈ 2 ⁒ ΞΈ 3 ⁒ ΞΈ 4 [ L ⁒ ⁒ ΞΈ 2 ⁒ { ΞΈ 3 ( cos ⁒ ⁒ ΞΈ 2 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 1 ( ΞΈ 4 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 3 ⁑ ( cos ⁒ ⁒ ΞΈ 4 - p ⁒ ⁒ ΞΈ 4 ⁒ ⁒ sin ⁒ ⁒ ΞΈ 4 ) + ΞΈ 3 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 3 ⁑ ( sin ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ ⁒ cos ⁒ ⁒ ΞΈ 4 ) ) + cosh ⁒ ⁒ ΞΈ 2 ⁒ sin ⁒ ⁒ ΞΈ 1 ( - ΞΈ 4 ⁒ cos ⁒ ⁒ ΞΈ 3 ( cosh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ sinh ⁒ ⁒ ΞΈ 4 ) + ΞΈ 3 ⁒ sin ⁒ ⁒ ΞΈ 3 ⁑ ( sinh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 4 ) ) ) + ΞΈ 2 ( - ΞΈ 4 ⁒ ⁒ cos ⁒ ⁒ ΞΈ 4 ⁒ ⁒ sin ⁒ ⁒ ΞΈ 2 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 1 ( sinh ⁒ ⁒ ΞΈ 3 + ( a + p ) ⁒ ⁒ ΞΈ 3 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 3 + ap ⁒ ⁒ ΞΈ 3 2 ⁒ sinh ⁒ ⁒ ΞΈ 3 ) + sin ⁒ ⁒ ΞΈ 1 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 2 ( - ΞΈ 4 ⁒ sin ⁒ ⁒ ΞΈ 3 ⁑ ( cosh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ sinh ⁒ ⁒ ΞΈ 4 ) - ΞΈ 3 ⁒ cos ⁒ ⁒ ΞΈ 3 ⁑ ( sinh ⁒ ⁒ ΞΈ 4 + ( a + p ) ⁒ ⁒ ΞΈ 4 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 4 + ap ⁒ ⁒ ΞΈ 4 2 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 4 ) + a ⁒ ⁒ ΞΈ 3 2 ⁒ sin ⁒ ⁒ ΞΈ 3 ⁑ ( sinh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 4 ) ) + sin ⁒ ⁒ ΞΈ 4 ⁒ ⁒ sin ⁒ ⁒ ΞΈ 2 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 1 ( p ⁒ ⁒ ΞΈ 4 2 ⁑ ( sinh ⁒ ⁒ ΞΈ 3 + a ⁒ ⁒ ΞΈ 3 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 3 ) - ΞΈ 3 ⁑ ( cosh ⁒ ⁒ ΞΈ 3 + a ⁒ ⁒ ΞΈ 3 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 3 ) ) ) } + L ⁒ ⁒ ΞΈ 1 ⁒ { ΞΈ 3 ( cosh ⁒ ⁒ ΞΈ 1 ⁒ sin ⁒ ⁒ ΞΈ 2 ( ΞΈ 4 ⁒ cosh ⁒ ⁒ ΞΈ 3 ⁑ ( cos ⁒ ⁒ ΞΈ 4 - p ⁒ ⁒ ΞΈ 4 ⁒ sin ⁒ ⁒ ΞΈ 4 ) + ΞΈ 3 ⁒ sinh ⁒ ⁒ ΞΈ 3 ⁑ ( sin ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ cos ⁒ ⁒ ΞΈ 4 ) ) + cos ⁒ ⁒ ΞΈ 1 ⁒ sinh ⁒ ⁒ ΞΈ 2 ( - ΞΈ 4 ⁒ ⁒ cos ⁒ ⁒ ΞΈ 3 ( cosh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ sinh ⁒ ⁒ ΞΈ 4 ) + ΞΈ 3 ⁒ sin ⁒ ⁒ ΞΈ 3 ⁑ ( sinh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 4 ) ) ) + ΞΈ 2 ( ΞΈ 4 ⁒ ⁒ cos ⁒ ⁒ ΞΈ 4 ⁒ ⁒ cos ⁒ ⁒ ΞΈ 2 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 1 ( sinh ⁒ ⁒ ΞΈ 3 + ( a + p ) ⁒ ⁒ ΞΈ 3 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 3 + ap ⁒ ⁒ ΞΈ 3 2 ⁒ sinh ⁒ ⁒ ΞΈ 3 ) + cos ⁒ ⁒ ΞΈ 1 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 2 ( - ΞΈ 4 ⁒ sin ⁒ ⁒ ΞΈ 3 ⁑ ( cosh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ sinh ⁒ ⁒ ΞΈ 4 ) - ΞΈ 3 ⁒ ⁒ cos ⁒ ⁒ ΞΈ 3 ⁑ ( sinh ⁒ ⁒ ΞΈ 4 + ( a + p ) ⁒ ⁒ ΞΈ 4 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 4 + ap ⁒ ⁒ ΞΈ 4 2 ⁒ sinh ⁒ ⁒ ΞΈ 4 ) + a ⁒ ⁒ ΞΈ 3 2 ⁒ sin ⁒ ⁒ ΞΈ 3 ⁑ ( sinh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 4 ) ) + cos ⁒ ⁒ ΞΈ 2 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 1 ⁒ ⁒ sin ⁒ ⁒ ΞΈ 4 ( - p ⁒ ⁒ ΞΈ 4 2 ⁑ ( sinh ⁒ ⁒ ΞΈ 3 + a ⁒ ⁒ ΞΈ 3 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 3 ) + ΞΈ 3 ⁑ ( cosh ⁒ ⁒ ΞΈ 3 + a ⁒ ⁒ ΞΈ 3 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 3 ) ) ) } ] = 0 ( 7 ) 3. The position of the crossover 44 is fixed irrespective of the ON/OFF modes of the aberration corrector 10 and Expression (8) is obtainable from a condition for stigmatic image formation under which and the x-trajectories and the y-trajectories including the gradients thereof match at that point:[Numerical Expression 7] 1 ΞΈ 1 ⁒ ΞΈ 2 ⁒ ΞΈ 3 ⁒ ΞΈ 4 [ L ⁒ { ΞΈ 2 2 ⁒ sinh ⁒ ⁒ ΞΈ 2 ( - ΞΈ 4 ⁒ sin ⁒ ⁒ ΞΈ 3 ⁑ ( cosh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 4 ) - ΞΈ 3 ⁒ cos ⁒ ⁒ ΞΈ 3 ⁑ ( sinh ⁒ ⁒ ΞΈ 4 + ( a + p ) ⁒ ⁒ ΞΈ 4 ⁒ ⁒ cosh 4 + ap ⁒ ⁒ ΞΈ 4 2 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 4 ) + a ⁒ ⁒ ΞΈ 3 2 ⁒ sin ⁒ ⁒ ΞΈ 3 ⁑ ( sinh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 4 ) ) ⁒ ( - sin ⁒ ⁒ ΞΈ 1 + ( 4 + a + p ) ⁒ ΞΈ 1 ⁒ ⁒ cos ⁒ ⁒ ΞΈ 1 ) + ΞΈ 3 ⁒ ΞΈ 1 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 2 ( ΞΈ 4 ⁒ cos ⁒ ⁒ ΞΈ 3 ⁑ ( cosh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 4 ) - ΞΈ 3 ⁒ ⁒ sin ⁒ ⁒ ΞΈ 3 ⁑ ( sinh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 4 ) ) ⁒ ( cos ⁒ ⁒ ΞΈ 1 + ( 4 + a + p ) ⁒ ⁒ ΞΈ 1 ⁒ ⁒ sin ⁒ ⁒ ΞΈ 1 ) + ( ΞΈ 2 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 2 ( ΞΈ 3 ⁒ ⁒ sin ⁒ ⁒ ΞΈ 1 ( ΞΈ 4 ⁒ ⁒ cos ⁒ ⁒ ΞΈ 3 ⁑ ( cosh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 4 ) - ΞΈ 3 ⁒ ⁒ sin ⁒ ⁒ ΞΈ 3 ⁑ ( sinh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 4 ) ) + ΞΈ 1 ⁒ cos ⁒ ⁒ ΞΈ 1 ( p ⁒ ⁒ ΞΈ 4 2 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 4 ⁑ ( sin ⁒ ⁒ ΞΈ 3 - ( 4 + p ) ⁒ ⁒ ΞΈ 3 ⁒ ⁒ cos ⁒ ⁒ ΞΈ 3 ) + ΞΈ 3 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 4 ⁑ ( cos ⁒ ⁒ ΞΈ 3 + ( 4 + p ) ⁒ ⁒ ΞΈ 3 ⁒ ⁒ sin ⁒ ⁒ ΞΈ 3 ) + ΞΈ 4 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 4 ⁑ ( sin ⁒ ⁒ ΞΈ 3 - 4 ⁒ ⁒ ΞΈ 3 ⁒ ⁒ cos ⁒ ⁒ ΞΈ 3 + p ⁑ ( 4 + p ) ⁒ ⁒ ΞΈ 3 2 ⁒ ⁒ sin ⁒ ⁒ ΞΈ 3 ) ) + ( 4 + a + p ) ⁒ ⁒ sin ⁒ ⁒ ΞΈ 1 ( ΞΈ 4 ⁒ sin ⁒ ⁒ ΞΈ 3 ⁑ ( cosh ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 4 ) + ΞΈ 3 ⁒ ⁒ cos ⁒ ⁒ ΞΈ 3 ⁑ ( sinh ⁒ ⁒ ΞΈ 4 + ( a + p ) ⁒ ⁒ ΞΈ 4 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 4 + ap ⁒ ⁒ ΞΈ 4 2 ⁒ ⁒ sinh ⁒ ⁒ ΞΈ 4 ) - a ⁒ ⁒ ΞΈ 3 2 ⁒ sin ⁒ ⁒ ΞΈ 3 ⁑ ( sin ⁒ ⁒ ΞΈ 4 + p ⁒ ⁒ ΞΈ 4 ⁒ ⁒ cosh ⁒ ⁒ ΞΈ 4 ) ) ⁒ ⁒ ΞΈ 1 2 ) ) } ] = 0 ( 8 ) 4. From the foregoing four expressions, ΞΈ1 to ΞΈ4 are numerically determined and the paraxial trajectories are determined. Under these conditions, the position at which the line image 43 is formed is not strictly the center of the electromagnetic multipole 13. The presence of the solutions is not self-evident. There are cases where ΞΈ1 to ΞΈ4 which simultaneously satisfy the foregoing four expressions are not present depending on the values of a and p. This indicates that the respective crossover positions of the x-trajectories and the y-trajectories do not match, stigmatic image formation cannot be performed at the crossover 44, and aberration correction cannot be performed. In that case, measures are taken by considering the design of the apparatus such that the solutions of ΞΈ1 to ΞΈ4 are present in such a manner as to change the value of p by shifting the positional setting of the crossover 44 or change the distance between the electromagnetic multipole lenses 12 and 13 in the aberration corrector. 5. Amounts of blur at the crossover 44 resulting from the chromatic aberration are calculated for incident electron beams with different energies by varying the ratio among the respective intensities of the quadrupole electric fields and the quadrupole magnetic fields without changing the respective magnitudes of ΞΈ2, ΞΈ3 determined in the foregoing procedure 4, and the ratio among the respective intensities of the electric fields and the magnetic fields is determined such that the chromatic aberration (already known) in the objective lens 17 is compensated for. 6. In the case of correcting even the spherical aberration, spherical aberration in the aberration corrector 10 is calculated under the foregoing conditions and correction is performed by superimposing the octupole fields in each of the multipole lenses 11 to 14 such that the spherical aberration (already known) in the objective lens 17 is compensated for. At this time, the paraxial trajectories remain unchanged since ΞΈ1 to ΞΈ4 are unchanged. By the foregoing procedures, ON-mode operating conditions (excitation conditions for the individual quadrupole and octupole fields) for the aberration corrector 10 can be determined, while the object point of an objective lens 17 as shown in FIG. 2 is fixed. If the resolution obtained in this state is not more excellent than in the OFF mode, it is attributable to insufficient adjustment of the aberration corrector 10 so that the spherical and chromatic aberrations remaining in the objective lens 17 are corrected by adjusting the axial alignment of the aberration corrector 10 in accordance with, e.g., the method disclosed in the article 3 and the page 319 of Non-Patent Document 3 described above, whereby a totally optimal resolution is obtainable. Embodiment 2 FIG. 3 shows Embodiment 2 of the present invention. In this example, when the aberration corrector 10 is in the OFF mode, the condenser lens 7 is used with weak magnetization (indicated by the broken lines) in the same manner as in Embodiment 1 and the crossover 44 serving as the object point of the objective lens 17 is formed posterior to the aberration corrector 10. By using the computer 30, switching between the strong/weak magnetizations for the second condenser lens 7 is performed in association with switching between the ON/OFF modes of the aberration corrector 10 so that the second condenser lens 7 is used with strong magnetization in the ON mode and the crossover 41 (indicated by the solid lines) is formed anterior to the aberration corrector 10. The crossover 41 is caused to form a stigmatic image at the position of the crossover 44 by using the aberration corrector 10 such that it serves as the object point of the objective lens 17. When the aberration corrector 10 is in the ON mode, the total magnification of the spot before the crossover 44 should be smaller than when the aberration corrector 10 is in the OFF mode. Otherwise, the resolution is not improved since the diameter of a convergence spot 45 is originally large, even if the axial adjustment of the aberration corrector 10 is performed successfully. In such an application of the aberration corrector 10, the pair of multipole lenses 11 and 14 and the pair of electromagnetic multipole lenses 12 and 13 are excited with excellent symmetry so that the spherical aberration inherent in the aberration corrector 10 is reduced compared with the case of the first embodiment. This offers the advantage that the intensities of the octupole fields for correcting the spherical aberration can be reduced. According to the present invention, image forming conditions for the objective lens can be held constant irrespective of the ON/OFF modes of the aberration corrector, which allows easy recognition of the effect of aberration correction and an easy adjusting operation for the aberration corrector. The procedures for adjusting the entire apparatus can be reduced and an electron beam apparatus with an aberration corrector which is high in operability can be provided.
claims
1. A system for removing thermal energy generated by radioactive materials comprising:an air-cooled heat exchanger;a heat rejection closed-loop fluid circuit comprising a tube-side fluid path of the air-cooled heat exchanger, a coolant fluid flowing through the heat rejection closed-loop fluid circuit, the heat rejection closed-loop fluid circuit thermally coupled to the radioactive materials so that thermal energy generated by the radioactive materials is transferred to the coolant fluid; andthe air-cooled heat exchanger comprising a shell-side fluid path having a first air inlet, a second air inlet and an air outlet, the first air inlet located at a first elevation, the second air inlet located at a second elevation, and the air outlet located at a third elevation, the second elevation greater than the first elevation and the third elevation greater than the second elevation, the air-cooled heat exchanger transferring thermal energy from the coolant fluid flowing through the tube-side fluid path to air flowing through the shell-side fluid path;the tube-side fluid path comprising internal cavities of a plurality of heat exchange tubes, the plurality of heat exchange tubes located within the shell-side fluid path;wherein the shell-side fluid path comprises a first venturi located at an elevation between the second air inlet and the air outlet, the first venturi created by fins of the plurality of heat exchange tubes;wherein the shell-side fluid path comprises a second venturi located at an elevation between the first air inlet and the second air inlet, the second venturi created by fins of the plurality of heat exchange tubes;wherein the fins are discontinuous in structure such that the plurality of heat exchange tubes between the first venturi and second venturi at the second air inlet do not have fins. 2. The system of claim 1 wherein the plurality of heat exchange tubes form a tube bundle having a longitudinal axis, and wherein each of the fins of the plurality of heat exchange tubes comprise opposing surfaces that extend substantially parallel to the longitudinal axis. 3. The system of claim 1 wherein the shell-side fluid path comprises a first free transverse cross-sectional area at the second elevation and a second free transverse cross-sectional area at an elevation between the second air inlet and the air outlet, the second free transverse cross-sectional area being less than the first free transverse cross-sectional area. 4. The system of claim 3 wherein the shell-side fluid path comprises a third free transverse cross-sectional area at the third elevation, the third free transverse cross-sectional area being greater than the second free transverse cross-sectional area. 5. The system of claim 1 further comprising:an intermediate heat exchanger;an intermediate closed-loop fluid circuit comprising, in operable fluid coupling, a pool of a liquid and a first fluid path of the intermediate heat exchanger, the radioactive materials immersed in the pool of the liquid, the liquid flowing through the intermediate closed-loop fluid circuit; andthe heat rejection closed-loop fluid circuit further comprising a second fluid path of the intermediate heat exchanger, the intermediate heat exchanger transferring thermal energy from the liquid flowing through the first fluid path to the coolant fluid flowing through the second fluid path. 6. The system of claim 5 wherein the pool of the liquid and the intermediate heat exchanger are contained within a containment structure. 7. The system of claim 1, wherein the plurality of heat exchange tubes are arranged in a substantially vertical orientation. 8. The system of claim 7 wherein the plurality of heat exchange tubes collectively form a tube bundle that extends along a longitudinal axis, and the tube bundle comprising finned tube sections and a non-finned tube section arranged in axial alignment, the second air inlet transversely aligned with the non-finned tube section between the finned tube sections. 9. The system of claim 8 wherein the finned tube sections and the non-finned tube section alternate along the longitudinal axis. 10. The system of claim 8 further comprising a plurality of the non-finned tube sections, each of the first air inlet, the second air inlet and the air outlet transversely aligned with one of the non-finned tube sections. 11. The system of claim 8 further comprising:the air-cooled heat exchanger comprising a top tube sheet and a bottom tube sheet, the plurality of heat exchange tubes extending from the top tube sheet to the bottom tube sheet, the first air inlet located adjacent the bottom tube sheet and the air outlet located adjacent the top tube sheet; andthe tube-side fluid path of the air-cooled heat exchanger comprising a coolant fluid inlet header and a coolant fluid outlet header, the internal cavities of the plurality of heat exchange tubes forming passageways between the coolant fluid inlet header and the coolant fluid outlet header. 12. The system of claim 1 wherein the air-cooled heat exchanger comprises a shell, each of the first air inlet, the second air inlet and the air outlet formed in the shell. 13. The system of claim 12 further comprising:a shroud forming a shroud cavity, the air-cooled heat exchanger located within the shroud cavity, the shroud cavity comprising a shroud outlet plenum circumferentially surrounding the air-cooled heat exchanger, the air outlet of the shell located within the shroud outlet plenum; anda chimney forming a passageway from the shroud outlet plenum to an ambient environment. 14. The system of claim 1 further comprising a blower for inducing air flow through the shell-side fluid path. 15. A system for removing thermal energy generated by radioactive materials comprising:an air-cooled shell-and-tube heat exchanger comprising a shell and plurality of heat exchange tubes arranged in a substantially vertical orientation within the shell, the plurality of heat exchange tubes comprising interior cavities that collectively form a tube-side fluid path, the shell forming a shell-side fluid path that extends from an air inlet of the shell to an air outlet of the shell, the first air inlet located at a lower elevation than the air outlet and the plurality of heat exchange tubes located within the shell-side fluid path;the shell comprising a second air inlet located at an elevation between the first air inlet and the air outlet;a heat rejection closed-loop fluid circuit comprising the tube-side fluid path of the air-cooled heat exchanger, a coolant fluid flowing through the heat rejection closed-loop fluid circuit, the heat rejection closed-loop fluid circuit thermally coupled to the radioactive materials so that thermal energy generated by the radioactive materials is transferred to the coolant fluid; andthe air-cooled shell-and-tube heat exchanger transferring thermal energy from the coolant fluid flowing through the tube-side fluid path to air flowing through the shell-side fluid path;wherein the shell-side fluid path comprises a first venturi located at an elevation between the second air inlet and the air outlet, the first venturi created by fins of the plurality of heat exchange tubes;wherein the shell-side fluid path comprises a second venturi located at an elevation between the first air inlet and the second air inlet, the second venturi created by fins of the plurality of heat exchange tubes;wherein the fins are discontinuous in structure such that the plurality of heat exchange tubes between the first venturi and second venturi at the second air inlet do not have fins. 16. The system of claim 15 further comprising a pool of a liquid, the radioactive materials immersed in the pool of the liquid, wherein thermal energy generated by the radioactive materials is transferred to the liquid of the pool prior to being transferred to the coolant fluid. 17. The system of claim 15 further comprising:a shroud forming a shroud cavity, the shell of the shell-and-tube air-cooled heat exchanger located within the shroud cavity, the shroud cavity comprising a shroud outlet plenum circumferentially surrounding the shell-and-tube air-cooled heat exchanger, the air outlet of the shell located within the shroud outlet plenum; anda chimney forming a passageway from the shroud outlet plenum to an ambient environment. 18. The system of claim 15 further comprising a blower for inducing air flow through the shell-side fluid path. 19. The system of claim 15 wherein the plurality of heat exchange tubes collectively form a tube bundle that extends along a longitudinal axis, and the tube bundle comprising finned tube sections and non-finned tube sections arranged in alternating axial alignment.
062367102
claims
1. A curved crystal x-ray optical device comprising the following elements listed in the order in which they are located in said device: a lamella of crystalline material having atomic planes doubly curved with a radius of curvature of 2R.sub.1 in a first plane and R.sub.2 in a second plane perpendicular to the first plane wherein an arc of radius R.sub.1 in said first plane defines a focal circle of radius R.sub.1, with the said crystal lamella having a thickness no greater than about 1/5000 of the smallest radius of curvature and the concave side of said lamella faces outward, a thin plastic sheet having a thickness of about 0.025-0.1 mm covering a portion of the convex side of said crystal lamella and extending beyond its edges for a distance of 1-3 mm, a thick bonding layer having a thickness of 10 to 50 times the thickness of the lamella, a backing plate to which said lamella is attached by said bonding layer, said backing plate having an exterior planar indexing surface whereby the position in a first direction and the orientation in two angles for said lamella are preset relative to a mounting fixture in which said device is used, said mounting fixture having a mating surface for said indexing surface, said direction lying along a line substantially parallel to the large surface of the lamella, said line lying in a plane passing through the center of the lamella and an x-ray source. a lamella of crystalline material having atomic planes curved to a toroidal shape with a radius of curvature of 2R.sub.1 in a first plane and R.sub.2 in a second plane perpendicular to the first plane wherein an arc of radius R.sub.1 in said first plane defines a focal circle of radius R.sub.1, with the said crystal lamella having a thickness no greater than about 1/5000 of the smallest radius of curvature and the concave side of said lamella faces outward, a thick bonding layer having a thickness of 10 to 50 times the thickness of the lamella, a backing plate to which said lamella is attached by said bonding layer, said backing plate having an exterior planar indexing surface whereby the position in a first direction and the orientation in two angles for said lamella are preset relative to a mounting fixture in which said device is used, said mounting fixture having a mating surface for said indexing surface, said direction lying along a line substantially parallel to the large surface of the lamella, said line lying in a plane passing through the center of the lamella and an x-ray source. a) preparing a suitable doubly curved convex mold having a radius of curvature 2R.sub.1 in a first plane and R.sub.2 in a second plane orthogonal to the first plane, b) preparing a suitable crystal lamella, c) preparing a piece or pieces of thin plastic sheet having a thickness of approximately 0.025-1 mm., d) preparing a suitable pressing fixture attached to said mold and comprising a rectangular piston and a rectangular cavity in which said piston is free to translate, a screw that moves said piston inside said rectangular cavity, and a knob to turn said screw, e) preparing a suitable backing plate, said backing plate having orthogonal surfaces as needed for indexing the position of the backing plate relative to said piston in said pressing fixture, f) affixing said backing plate to said piston, g) positioning, fitting or covering a portion of the convex side of the crystal lamella with the claimed thin plastic sheet such that said sheet extends beyond the edges of the lamella a predetermined distance, h) assembling said convex mold, with said crystal lamella, a blob of bonding material, said backing plate and said piston inside said pressing fixture in this order, i) allowing initial setting of the bonding material, j) turning said screw with said knob to compress bonding material until said crystal is in intimate contact with said mold, k) allowing bonding material to reach its final hardened state, l) removing the bonded assembly from the said pressing fixture said mold, and said piston. 2. A curved crystal device as described in claim 1 wherein the thick bonding layer is an epoxy resin. 3. A curved crystal device as described in claim 1 wherein the thick bonding layer is a thermoplastic resin. 4. A curved crystal device as described in claim 1 wherein said backing plate contains a second exterior planar indexing surface at right angles to the first planar indexing surface and also contains a third planar indexing surface hereinafter called the inclined plane which lies at an angle with respect to the said second planar indexing surface whereby the position of said lamella in two directions mutually perpendicular to each other and to said first direction and a third angular orientation of the crystal lamella can be preset relative to said mounting fixture, said mounting fixture now provided with mating surfaces for said second indexing surface and said inclined plane, and a force is applied by the inclined plane on its mating surface by means of a screw, said force pushing the two inclined surfaces together so that they can slide against each other and cause the second indexing surface to be maintained in contact with its mating surface. 5. A curved x-ray optical device as described in claim 1 wherein the concave surface of said crystal lamella is parallel to the atomic planes in the plane of the focal circle so that the Johann geometry is obtained in the plane of the focal circle when the device is used in said mounting fixture. 6. A curved crystal x-ray optical device as described in claim 1 wherein said crystal lamella has its concave surface curved with a radius R.sub.1 in the plane of the focal circle so that the Johansson geometry is obtained in the plane of the focal circle when the device is used in said mounting fixture. 7. A curved crystal x-ray optical device as described in claim 1 wherein R.sub.2 =2R.sub.1 for the said crystal lamella and the concave surfaces of said lamella has a radius of R.sub.2 both in the plane of the focal circle and perpendicular to it yielding a simple spherically curved lamella. 8. A curved crystal x-ray optical device as described in claim 1 wherein R.sub.2 =2R.sub.1 for the said crystal lamella and the concave surface of said lamella has a radius of R.sub.1 in the plane of the focal circle and 2R.sub.1 in the plane perpendicular to it yielding the so-called Wittry geometry. 9. A curved crystal x-ray optical device comprising the following elements listed in the order in which they are located in said device: 10. A curved crystal device as described in claim 9 wherein the thick bonding layer is an epoxy resin. 11. A curved crystal device as described in claim 9 wherein the thick bonding layer is a thermoplastic resin. 12. A curved crystal device as described in claim 9 wherein said backing plate contains a second exterior planar indexing surface at right angles to the first planar indexing surface and also contains a third planar indexing surface hereinafter called the inclined plane which lies at an angle with respect to the said second planar indexing surface whereby the position of said lamella in two directions mutually perpendicular to each other and to said first direction and a third angular orientation of the crystal lamella can be preset relative to said mounting fixture, said mounting fixture now provided with mating surfaces for said second indexing surface and said inclined plane, and a force is applied by the inclined plane on its mating surface by means of a screw, said force pushing the two inclined surfaces together so that they can slide against each other and cause the second indexing surface to be maintained in contact with its mating surface. 13. A curved x-ray optical device as described in claim 9 wherein the concave surface of said lamella is parallel to the atomic planes in the plane of the focal circle so that the Johann geometry is obtained in the plane of the focal circle. 14. A curved crystal x-ray optical device as described in claim 9 wherein said crystal lamella has its concave surface curved with a radius R.sub.1 in the plane of the focal circle so that the Johansson geometry is obtained in the plane of the focal circle. 15. A curved crystal x-ray optical device as described in claim 9 wherein R.sub.2 =2R.sub.1 for the said crystal lamella and the concave surface of said lamella has a radius of R.sub.2 both in the plane of the focal circle and perpendicular to it yielding a simple spherically curved lamella. 16. A curved crystal x-ray optical device as described in claim 9 wherein R.sub.2 =2R.sub.1 for the said crystal lamella and the concave surface of said lamella has a radius of R.sub.1 in the plane of the focal circle and R.sub.1 in the plane perpendicular to it, yielding the so-called Wittry geometry. 17. A method of fabricating a doubly curved x-ray optical device comprising the following steps: 18. A method for fabricating a curved crystal x-ray optical device as described in claim 17 wherein said crystal lamella in step (b) contains two semi-circular indentations along opposite edges, and said mold in step (a) contains two spring-loaded dowel pins, said pins engaging said indentations for the purpose of orienting said crystal lamella with said mold. 19. A method for fabricating a curved crystal x-ray optical device as described in claim 17 wherein said crystal lamella in step (b) is a flat lamella with surfaces parallel to the atomic planes. 20. A method for fabricating a curved crystal x-ray optical device as described in claim 17 wherein said crystal lamella in step (b) is a flat lamella with surfaces making an angle with respect to the atomic planes. 21. A method for fabricating a curved crystal x-ray optical device as described in claim 17 wherein said crystal lamella in step (b) is a cylindrically curved lamella with surfaces parallel to the atomic planes along a midline, said cylindrically curved lamella having a radius of curvature of 2R.sub.1. 22. A method for fabricating a curved crystal x-ray optical device as described in claim 17 wherein said crystal lamella is a cylindrically curved lamella with surfaces making an angle with respect to the atomic planes along a midline, said cylindrically curved lamella having a radius of curvature of 2R.sub.1. 23. A method for fabricating a curved crystal x-ray optical device as described in claim 17 wherein said blob of bonding material in step (g) consists of an epoxy resin. 24. A method for fabricating a curved crystal x-ray optical device as described in claim 17 wherein said blob of bonding material in step (g) consists of a thermoplastic resin. 25. A method for fabricating a curved crystal x-ray optical device as described in claim 17 wherein said blob of bonding material in step (g) consists of a wax.
description
The present invention relates to an X-ray generator that generates the X-ray and extreme ultraviolet (β€œEUV”) light, and an exposure apparatus having the same. In manufacturing such a fine semiconductor device as a semiconductor memory and a logic circuit in the photolithography technology, a reduction projection exposure apparatus has been conventionally employed which uses a projection optical system that projects a circuit pattern formed on a mask onto a wafer, etc. to transfer the circuit pattern. The minimum critical dimension (β€œCD”) to be transferred by the projection exposure apparatus or resolution is proportionate to a wavelength of light used for exposure. Thus, a projection optical apparatus using the EUV light with a wavelength of about 10 nm to about 15 nm much shorter than that of the UV light (referred to as β€œEUV exposure apparatus” hereinafter) has been developed. The EUV exposure apparatus uses a discharge type plasma light source that generates the plasma and generates the EUV light by introducing gas to the electrode for discharging. Such an EUV exposure apparatus is disclosed, for example, in Japanese Patent Publication, Application No. 2004-226244. The EUV light source is used in a high vacuum similar to the mirror and mask. For example, the discharge type plasma light source generates the plasma by applying the high voltage to the electrode, and thus the electrode portion becomes at the high temperature. Although the cooling water chills the electrode, but the energy beyond the cooling capacity of the cooling water may need to be projected to continuously generate the high-intensity EUV light. However, the vacuum has no air around the electrode to radiate the heat, and the temperature of the electrode gradually rises and the continuous driving melts the electrode portion. Measures that attempt to always maintain the light source in the normal temperature range and prevent damages of the electrode include a continuous emission method that decreases the applied voltage to the electrode and thus the EUV light intensity, and a method that introduces a downtime period and lowers the electrode temperature. However, both methods cause a drop of the throughput of the exposure apparatus. In order to expose without lowering the throughput, one disclosed method switches plural EUV light sources and cools the light source that is not being used for exposure. See, for example, Japanese Patent Publication, Application No. 2003-282424, which arranges four light sources 11 to 14 at intervals of 90Β°, as shown in FIGS. 9A and 9B, rotates a mirror 21, and introduces the EUV light to the subsequent illumination optical system. The EUV exposure apparatus includes many mirrors, and each mirror's reflectance to the s-polarized light is higher than p-polarized light by several times. Since the p-polarized light is absorbed in the mirror and causes a generation of heat, effective use of the s-polarized light component of the incident light is vital to improve the use efficiency of the light. However, the prior art does not weigh the optimal polarization condition in switching the plural lights. In other words, the prior art has a problem of fluctuation of the polarization plane, a surface on which the electric field vector oscillates, whenever the light source is switched. The fluctuation of the polarization plane becomes conspicuous when the light intensity is different between the s-polarized light and the p-polarized light reflected by the mirror 21. When the mirror 21 has a multilayer coating, the incident angle upon the mirror 21 (abscissa axis) and a ratio between the p-polarized light and the s-polarized light reflected by the mirror 21 (Rp/Rs) (ordinate axis) shows a characteristic shown in FIG. 2. It is understood from FIG. 2 that the p-polarized light component becomes 0 around 45Β°. Since Japanese Patent Publication, Application No. 2003-282424 sets the incident angle upon the mirror 21 to about 45Β°, the reflected light on the mirror 21 becomes a linearly polarized light in which the electric field vector directs in the perpendicular direction to the optical axis of the light emitted from each light source. Therefore, whenever the light source is switched, the polarization plane rotates. For example, assume two planes shown in FIGS. 9A and 9B in Japanese Patent Publication, Application No. 2003-282424. The plane shown in FIG. 9A is a plane determined by the optical axis of the EUV light emitted form the mirror 21 and a line that connects the light source 11 to the mirror 21. The plane shown in FIG. 9B is a plane determined by the optical axis of the EUV light emitted form the mirror 21 and a line that connects the light source 12 to the mirror 21. When the mirror 21 switches the light source from 11 to 12 for, the plane rotates by 90Β° and the s-polarized light perpendicular to the plane rotates by 90Β°. If the light emission state shown in FIG. 9A is set so as to provide the subsequent illumination optical system with the highest s-polarized light state, the emission state shown in FIG. 9B rotates by 90Β° and the use efficiency of the illumination optical system exhibits the lowest s-polarized light. As a result, the throughput drops in the emission state shown in FIG. 9B. When the mirror 21 is rotated at a predetermined pulse, the mask is illuminated with an oscillation of strong and weak intensities and thus the exposure dose fluctuates. As a result, some of plural patterns having the same CD are exposed, but other patterns are not. Accordingly, it is an exemplary object of the present invention to provide an X-ray generator and an exposure apparatus having the same, which use and switch plural light sources, and prevent a drop of reflectance of the subsequent optical system and a fluctuation of the exposure dose. An X-ray generator according to one aspect of the present invention introducing an X-ray to an illumination optical system includes plural plasma light sources, and a reflector, movably arranged among the plural light sources, for switching light sources and for reflecting the X-ray from one of the plural light sources to the illumination optical system, wherein an angle between a plane determined by an optical axis of the X-ray emitted from the reflector and a line that connects the plural light sources to the reflector, and a polarization plane on which an electric field vector oscillates is between 45Β° and 135Β°, the polarization plane maximizing a reflectance to the X-ray of the illumination optical system. An X-ray generator according to another aspect of the present invention for generating plasma and introducing an X-ray to a subsequent optical system includes plural light sources for generating the plasma; and a reflector, movably arranged among the plural light sources, for switching the plural light sources and for reflecting the X-ray to the optical system, wherein a plane determined by a line that connects the light sources to the reflector and an optical axis of the X-ray emitted from the reflector varies an angle having an absolute value of 45Β° or smaller whenever the plural light sources are switched. An X-ray generator according to still another aspect of the present invention for generating plasma and introducing an X-ray to a subsequent optical system includes plural light sources for generating the plasma; and a reflector, movably arranged among the plural light sources, for switching the plural light sources and for reflecting the X-ray to the optical system, wherein an incident angle of the X-ray from each of the light sources upon the reflector has an absolute value between 0Β° and 30Β°. An X-ray generator according to another aspect of the present invention for generating plasma and introducing an X-ray to a subsequent optical system includes plural light sources for generating the plasma; and a reflector, movably arranged among the plural light sources, for switching the plural light sources and for reflecting the X-ray to the optical system, wherein an angle of the X-ray from each of the light sources upon the reflector has an absolute value between 0Β° and 30Β°. An exposure apparatus according to another aspect of the present invention includes the above X-ray generator, an illumination optical system for illuminating a pattern of a mask by using an X-ray, and a projection optical system for projecting the pattern of the mask onto an object to be exposed. A device manufacturing method according to one aspect of the present invention includes the steps of exposing an object by using the above exposure apparatus, and developing the object that has been exposed. Other objects and further features of the present invention will become readily apparent from the following description of the preferred embodiments with reference to the accompanying drawings. Referring now to the accompanying drawings, a description will be given of an EUV exposure apparatus 100 of this embodiment. The exposure apparatus 100 is a projection exposure apparatus that exposes a circuit pattern of a mask 120 onto an object 140 using the EUV light with a wavelength of 13.4 nm as illumination light for exposure in a step-and-scan manner. Of course, the exposure apparatus 100 may use a step-and-repeat manner. This exposure apparatus is suitable for a lithography process less than submicron or quarter micron, and the present embodiment uses the step-and-scan exposure apparatus (also referred to as a β€œscanner”) as an example. The β€œstep-and-scan,” as used herein, is an exposure method that exposes a mask pattern onto a wafer by continuously scanning the wafer relative to the mask, and by moving, after a shot of exposure, the wafer stepwise to the next exposure area to be shot. The β€œstep-and-repeat” is another mode of exposure method that moves a wafer stepwise to an exposure area for the next shot every shot of cell projection onto the wafer. The exposure apparatus 100 includes a light source section 110, a vacuum chamber 130, an illumination optical system 140, a mask M, a mask stage 150, a mask chuck 152, a projection optical system 160, a wafer stage 170, and a wafer chuck 170. Here, FIG. 1 is a schematic sectional view of the exposure apparatus 100, and omits an alignment control mechanism for an alignment between the mask M and the wafer W, and a focus control mechanism for focusing of the wafer W. The light source section 110 generates the EUV light, and includes a vacuum chamber 111, a pair of light sources 112a and 112b, a condenser mirror 114, a scan mirror 116, a scan mirror rotating mechanism 118. The vacuum chamber 111 accommodates the pair of light sources 112a and 112b, the condenser mirror 114, the scan mirror 116, and part of the scan mirror rotating mechanism 118. In addition, the vacuum chamber 111 is maintained highly vacuum by an exhausting means (not shown) so as to prevent absorptions into the air and attenuations of the EUV light. The light sources 112a and 112b are discharge type plasma light sources that generate the plasma and generates the X-ray by introducing gas to the electrode for discharging. In FIG. 1, the lines that connect each of the light sources 112a and 112b to the rotational center of the scan mirror 116 are aligned with each other. More specifically, the optical axes of the emitted lights from the light sources 112a and 112b intersect at one point, and the light sources 112a and 112b are arranged at regular intervals on the same circumference around the intersection of the optical axis. The scan mirror 116's rotational center is arranged at the intersection of the optical axis. However, this arrangement is not essential, and the line that connects the light source 112a to the scan mirror 116 may incline to the light that connects the light source 112b to the scan mirror 116. The condenser mirror 114a is an elliptical mirror that condenses the EUV light from the light source 112a, and the condenser mirror 114b is an elliptical mirror that condenses the EUV light from the light source 112b. The condenser mirrors 114a and 114b have a first focal point at a plasma emission point, and a second focal point at a exit of the light source section 110. A light that connects the center of the plasma emission point and the center of the light source exit corresponds to the optical axis. The capturing solid angle depends upon a debris remover (not shown) and the illumination optical system 140. The scan mirror 116 is rotatably arranged between the light sources 112a and 112b, and serves to deflect the EUV lights from the light sources 112a and 112b. The scan mirror 116 has a reflection surface 116a, which is arranged and inclined by 45Β° so that the EUV light reflected on the scan mirror directs in the same direction. When the rotating mechanism 118 rotates the scan mirror 116, the reflection surface 116a sequentially faces the light sources 112a and 112b. When each of the light sources 112a and 112b emits the EUV light, the EUV light is reflected on the scan mirror 116 and introduced to the light source exit. The rotating mechanism 118 can apply any rotating structure, such as use of a motor, known in the art, and a detailed description thereof will be omitted. This embodiment sets to 90Β° an angle between a direction of the s-polarization plane of the illumination optical system 140 relative to the EUV light and a plane determined by the EUV light emitted from the scan mirror 116 and the line that connect the plural light sources 112a and 112b to the scan mirror 116. Nevertheless, the present invention allows the angle to be between 45Β° and 135Β° with respect to all of the plural light sources. Preferably, when the light sources are switched, the polarization plane of the light incident upon the illumination optical system maintains and thus the use efficiency of the light maintains maximum. This embodiment sets the number of plural light sources to two and the angle to 90Β°. However, a preferable angular range would be 90°±5Β°, when the manufacturing error etc. is weighed. Plural light sources are switched, and cooled when not in use. In order to maximize the effect of the present invention that arranges plural light sources and cools the non-used light source, the large number of light sources is preferable. Each light source has a condenser mirror. Even a close configuration causes a spread of an angle, and it is difficult to maintain the angle close to 90Β°. On the other hand, the angle between 45Β° and 135Β° maintains the efficiency of at least 70% or higher of that with the angle of 90Β° and consequently a sufficiently high use efficiency of the light. The condition of the angle between 45Β° and 135Β° corresponds to a change of an angle of the plane to 45Β° or smaller when the light sources are switched, if the plane is determined by the optical axis of the EUV light from the scan mirror 116 and the line that connects the plural light sources 112a and 112b to the scan mirror 116. While this embodiment sets the incident angle upon the scan mirror 116 to 45Β°, the present invention does not limit the angle to 45Β°. For efficiently reflect the EUV light, the scan mirror 116 has a multilayer coating made of molybdenum and silicon having such an optimized coating cycle that the scan mirror 116's the reflectance of the EUV light having 13.5 nm becomes maximum. When the incident angle upon the multilayer mirror becomes about 45Β°, the reflectance drastically differs between the polarized light components of the light. The p-polarized light is the polarized light having an electric field vector within a plane that contains the optical axis of the incident light and is perpendicular to the reflecting surface. The s-polarized light is the polarized light that has an electric field vector orthogonal to that of the p-polarized light. At the incident angle upon the multilayer mirror of about 45Β°, the reflectance of the p-polarized light becomes minimum and the reflectance of the s-polarized light does not change drastically. Therefore, when the non-polarized light is incident upon the multilayer mirror at an angle of about 45Β°, the p-polarized light is hardly reflected and the reflected light includes almost the linearly polarized light or the s-polarized light. Here, β€œabout 45°” covers a range between 30Β° and 60Β° for the following reasons. FIG. 2 shows a difference of polarization dependant reflectance of the multilayer mirror. The multilayer coating includes plural pairs of molybdenum and silicon, and the light has a wavelength about 13.5 nm. The cycle of the multilayer coating is optimized so that the reflectance can be maximum for each incident angle. A ratio Rp/Rs is plotted where Rs is the s-polarized light component's reflectance, and Rp is the p-polarized light component's reflectance. The reflectance of the p-polarized light component is smaller than that of the s-polarized light as the incident angle increases, although they have a small difference near the normal incidence area. It is understood that when the incident angle is close to 45Β°, the reflectance of the p-polarized light component becomes extremely small. The illumination optical system 140 uses the arc-shaped EUV light, for example, with a wavelength of 13.5 nm corresponding to an arc-shaped field of the projection optical system 160 to illuminate the mask M, and includes condenser mirrors 141, 143-148, an optical integrator 142. The condenser mirror serves to collect the EUV light that is radiated from the light source exit. The optical integrator 142 serves to uniformly illuminate the mask M with a predetermined numerical aperture (β€œNA”). The illumination optical system 140 may use a multilayer mirror and a grazing angle total reflection mirror. As shown in FIG. 1, reflection points of the principal rays of the components in the illumination optical system 140 are arranged on a single plane (or paper surface), and the principal rays advance along this plane in the illumination optical system 140. With respect to all the mirrors 141 to 148 in the illumination optical system 140, the electric field vector of the s-polarized component of the incident light is perpendicular to the plane. Thus, the polarized light component having an electric field vector perpendicular to the plane in the light incident upon the illumination optical system 140 is the s-polarized light condition for the mirrors 141 to 148 in the illumination optical system 140, enhancing the reflectance. On the other hand, the polarized light component having an electric field vector parallel to the plane is the p-polarized light condition for the mirrors 141 to 148 in the illumination optical system 140, decreasing the reflectance. Since this embodiment arranges the center axes of the light sources 112a and 112b, the condenser mirrors 114a and 114b, and the scan mirror 116 on the above plane, the light reflected on the scan mirror 116 sets the s-polarized light to a polarized light whose electric field vector directs a direction perpendicular to the plane, enhancing the reflectance. This polarized light component also becomes the s-polarized light for the mirrors 141 to 148 in the illumination optical system 140, and maintains the high reflectance of the illumination optical system 140, enabling the mask M to be illuminated at a high efficiency. The high light intensity of the pattern image on the wafer W shortens a necessary exposure time period to expose the resist and improves the throughput of the exposure apparatus. The polarization plane of the s-polarized light accords between the scan mirror 116 and the illumination optical system 140, whichever light source 112a or 112b is used. Therefore, the throughput of the exposure apparatus 100 is always enhanced even when the scan mirror 116 switches the light source. The scan mirror 116 selects one of the light sources, the selected on emits and illuminates the mask M, and the projection optical system 160 projects a reduced size of the pattern onto the wafer W. The mask M is a reflection mask that has a circuit pattern or image to be transferred, and supported and driven by the mask stage 152. The diffracted light from the mask M is reflected by the projection optical system 160 and projected onto the wafer W. The mask M and the wafer W are arranged in an optically conjugate relationship. The exposure apparatus 100 is a scanner, and projects the pattern of the mask M onto the wafer W by scanning the mask M and the wafer W. The mask stage 150 supports the mask M and is connected to a moving mechanism (not shown). The mask stage 150 may use any structure known in the art. A moving mechanism (not shown) may include a linear motor etc., and drives the mask stage 150 at least in a direction X and moves the mask M. The exposure apparatus 100 synchronously scans the mask M and the wafer W. The projection optical system 160 uses plural multilayer mirrors 130a to project a reduced size of a pattern of the mask M onto the wafer W on the image plane. The number of mirrors is about four to six. For wide exposure area with the small number of mirrors, the mask M and wafer W are simultaneously scanned to transfer a wide area that is an arc-shaped area or ring field apart from the optical axis by a predetermined distance. The projection optical system 160 has a NA of about 0.2 to 0.3. The instant embodiment uses the wafer W as an object to be exposed, but the object to be exposed may include a spherical semiconductor and liquid crystal plate and a wide range of other objects to be exposed. Photoresist is applied onto the wafer W. The wafer W is held onto the wafer stage 170 by a wafer chuck 172. The wafer stage 170 moves the wafer W, for example, using a linear motor in XYZ directions. The mask M and the wafer W are synchronously scanned. The positions of the mask stage 150 and wafer stage 170 are monitored, for example, by a laser interferometer, and driven at a constant speed ratio. The alignment control mechanism measures a positional relationship between the position of the mask M and the optical axis of the projection optical system 160, and a positional relationship between the position of the wafer W and the optical axis of the projection optical system 160, and sets positions and angles of the mask stage 150 and the wafer stage 170 so that a projected image of the mask M may be positioned in place on the wafer W. A focus control mechanism measures a focus position on the wafer W surface, and control over a position and angle of the wafer stage 170 may always maintain the wafer W surface at an imaging position of the projection optical system 160 during exposure. In exposure, the EUV light emitted from the light source section 110 illuminates the mask M via the illumination optical system 140, and images a pattern of the mask M onto the wafer W surface. The instant embodiment uses an arc or ring shaped image plane, scans the mask M and wafer W at a speed ratio corresponding to a reduction ratio to expose the entire surface of the mask M. When a single scan exposure ends on the wafer W, the emission of the light source stops and the scan mirror 116 rotates to switch the light source to another light source. During this time period, the wafer stage 150 steps to the next scan exposure start position in the XY directions, and the switched light source starts emitting. As a result, the mask M and the wafer W are synchronously scanned for exposure. During this time period, the previously emitting light source suspends, and its electrodes are sufficiently cooled, and prevented from getting damages and worn. The exposure that switches two light sources 112a and 112b avoids damages and exhaustion of the light source, and provides an emission with a high intensity. This configuration improves the throughput of the exposure apparatus 100, and prolongs the life of the light source, reducing the running cost. The reduced scattering of the light intensity between light sources prevents a fluctuation of the resolving power, and realizes the high-quality exposure. Therefore, the exposure apparatus stably generates the EUV light, and provides devices, such as a semiconductor device, an LCD device, an imaging device (e.g., a CCD), and a thin-film magnetic head, with high throughput and economical efficiency. The number of light sources is not limited to two. FIG. 3A is a schematic sectional view of an embodiment that uses six light sources 112c to 112h, and FIG. 3B is its schematic perspective view, although FIG. 3B omits the condenser mirrors 114c to 114h for convenience. An angle ΞΈ between the above plane and a light that connects each light source to the scan mirror 116 is set within a small angular range, such as 45Β° or smaller, preferably 30Β° or smaller. This embodiment changes by ΞΈ the polarization plane of the light emitted when the light sources are switched. If the incident angle upon the scan mirror 116 is 45Β°, the reflectance to the p-polarized light becomes approximately 0 and the light incident upon the illumination optical system becomes approximately a linearly polarized light. When the direction of the electric field of the incident light does not become perpendicular to the plane and shifts by an angle ΞΈ relative to the right angle, the maximum efficiency loss reaches (1βˆ’cos ΞΈ) in comparison with the normal incidence case. The loss is about 30% at ΞΈ of 45Β°; the efficiency loss decreases for small ΞΈ. Since the light source and the condenser mirror have finite sizes, it is difficult to extremely reduce ΞΈ when plural light sources are arranged. Three or more light sources can be arranged without a large efficiency loss, if ΞΈ is 45Β° or smaller, preferably 30Β° or smaller. In this case, the driving frequency of each light source is lower, and accordingly a longer cooling time period can be maintained than a case where two light sources are switched. Therefore, this configuration can avoid damages and exhaustion of the light source, provides an emission at a higher intensity, and improves the throughput of the exposure apparatus 100. FIG. 4 shows an exposure apparatus 100A according to another embodiment. Those elements in FIG. 4, which are corresponding elements in FIG. 1, are designated by the same reference numerals, and a description thereof will be omitted. The exposure apparatus 100A is different from the exposure apparatus 100 in having a light source section 110A. This embodiment sets an incident angle upon the scan mirror 116 to 10Β°. The scan mirror 116 has a multilayer coating made of molybdenum and silicon having such an optimized coating cycle that the reflectance to the EUV light having a wavelength of 13.5 nm can be maximum at this set incident angle. As shown in FIG. 2, with respect to the reflectance of the multilayer mirror, there is little difference between the p-polarized light component and the s-polarized light component around the incident angle of 0Β° or in the normal incidence area. However, as the incident angle increases, the reflectance of the p-polarized light component becomes smaller than that of the s-polarized light component. Around the incident angle of 30Β°, the reflectance of the p-polarized light component is about 60% of that of the s-polarized light component. Since this embodiment sets the incident angle to 10Β°, the reflectance of the p-polarized light component is 96% of that of the s-polarized light component and there is little difference between them. Hence, the polarization state of the light that is reflected on the scan mirror 116 and introduced to the illumination optical system 140 is almost the same non-polarization state as that emitted from the light source. As a consequence, the polarization state of the light incident upon the illumination optical system 140 hardly varies even when the light sources are switched. The illumination optical system 140 maintains a high reflectance, and illuminates the mask M at a high efficiency. The high light intensity of the pattern image on the wafer W shortens a necessary exposure time period, and improves the throughput of the exposure apparatus 100A. While this embodiment illustratively sets the incident angle upon the scan mirror 116 to 10Β°, the present invention does not limit the incident angle to 10Β°. The incident angle up to 30Β° does not increase a difference of reflectance between the p-polarized light and the s-polarized light, and provides the effect of the present invention. The present invention does not require the incident angles from the light sources 112a and 112b upon the scan mirror 116 to be the same, and the light sources 112a and 112b may be arranged within a certain incident angle range. For example, assume that the light sources 112a and 112b are positioned differently so that Rp/Rs of the light source 112a is about 1.0 and Rp/Rs of the light source 112b is about 0.6. When these light sources are switched, the EUV light having an intensity pulse between 1.0 and 0.6 is generated. The incident angle of 30Β° or smaller leads to Rp/Rs of 0.6 or greater as in this embodiment, and is practical so as to improve the throughput and a fluctuation of the resolving power within a non-influential range. The increased incident angle up to 30Β° enables plural light sources to be arranged without a large efficiency loss. The driving frequency of each light source is lower, and accordingly a longer cooling time period can be maintained than a case where a small number of light sources are switched. Therefore, this configuration can avoid damages and exhaustion of the light source, provides an emission at a higher intensity, and improves the throughput of the exposure apparatus. The scan mirror 116 may use a polygon mirror 116A shown in FIG. 5. This configuration is advantageous in that a slight angular change of the scan mirror 116A can switch the light sources 112i to 112l at a high speed. FIG. 5 omits the condenser mirror for convenience. FIG. 6 shows an exposure apparatus 100B according to another embodiment. Those elements in FIG. 6, which are corresponding elements in FIG. 1, are designated by the same reference numerals, and a description thereof will be omitted. The exposure apparatus 100B is different from the exposure apparatus 100 in having a light source section 110B. This embodiment sets an incident angle upon a scan mirror 116B to 80Β°. The scan mirror 116B has such a thin film that the EUV light having a wavelength of 13.5 nm generates a total reflection and enhances the reflectance at this set incident angle. The thin film is made of molybdenum, palladium, ruthenium, rhodium, carbon, etc. The scan mirror 116B is connected to a rotating mechanism 118, and a rotation of the scan mirror 116B can switch a pair of the light source and condenser mirror. As shown in FIG. 6, with respect to the reflectance of the total reflection mirror, there is little difference between the p-polarized light component and the s-polarized light component around the incident angle of 90Β° or in the grazing angle or oblique incidence area. However, as the incident angle increases, the reflectance of the p-polarized light component becomes smaller than that of the s-polarized light component. When the incident angle is smaller than a critical angle, both the reflectances of the p-polarized and s-polarized lights lower. A difference of the reflectance is small due to the difference of polarized light when the incident angle is greater than the critical angle at which the reflectance abruptly changes. Since this embodiment sets the incident angle to 80Β°, the reflectance of the p-polarized light component is 90% of that of the s-polarized light component and there is little difference between them. Therefore, the polarization state of the light that is reflected on the scan mirror 116 and introduced to the illumination optical system 140 is almost the same non-polarization state as that emitted from the light source. As a consequence, the polarization state of the light incident upon the illumination optical system 140 hardly changes even when the scan mirror 116B switches the light source. The illumination optical system 140 maintains a high reflectance, and illuminates the mask M at a high efficiency. The high light intensity of the pattern image on the wafer W shortens a necessary exposure time period, and improves the throughput of the exposure apparatus 100B. While this embodiment illustratively sets the incident angle upon the scan mirror 116 to 80Β°, the present invention does not limit the incident angle to 80Β°. The incident angle 60Β° or greater does not increase a difference of reflectance between the p-polarized light and the s-polarized light, and provides the effect of the present invention. The reduced incident angle above 60Β° enables plural light sources to be arranged without a large efficiency loss. The driving frequency of each light source is lower, and accordingly a longer cooling time period can be maintained than a case where a small number of light sources are switched. This configuration can avoid damages and exhaustion of the light source, provides an emission at a higher intensity, and improves the throughput of the exposure apparatus. Setting of 60Β° is derived from FIG. 8 that provides a condition of Rp/Rs of about 0.6, and has a similar purport to aforementioned 30Β°. The scan mirror may use a polygon mirror 116C shown in FIG. 7. This configuration is advantageous in that a slight angular change of the scan mirror 116C can switch the light sources 112m to 112o at a high speed. FIG. 7 omits the condenser mirror for convenience. Referring now to FIGS. 10 and 11, a description will be given of an embodiment of a device manufacturing method using the above exposure apparatus 100. FIG. 10 is a flowchart for explaining manufacture of devices (i.e., semiconductor chips such as IC and LSI, LCDs, CCDs, etc.). Here, a description will be given of a fabrication of a semiconductor chip as an example. Step 1 (circuit design) designs a semiconductor device circuit. Step 2 (mask fabrication) forms a mask having a designed circuit pattern. Step 3 (wafer preparation) manufactures a wafer using materials such as silicon. Step 4 (wafer process), which is referred to as a pretreatment, forms actual circuitry on the wafer through photolithography using the mask and wafer. Step 5 (assembly), which is also referred to as a posttreatment, forms into a semiconductor chip the wafer formed in Step 4 and includes an assembly step (e.g., dicing, bonding), a packaging step (chip sealing), and the like. Step 6 (inspection) performs various tests for the semiconductor device made in Step 5, such as a validity test and a durability test. Through these steps, a semiconductor device is finished and shipped (Step 7). FIG. 11 is a detailed flowchart of the wafer process in Step 4. Step 11 (oxidation) oxidizes the wafer's surface. Step 12 (CVD) forms an insulating film on the wafer's surface. Step 13 (electrode formation) forms electrodes on the wafer by vapor disposition and the like. Step 14 (ion implantation) implants ions into the wafer. Step 15 (resist process) applies a photosensitive material onto the wafer. Step 16 (exposure) uses the exposure apparatus 100 to expose a circuit pattern of the mask onto the wafer. Step 17 (development) develops the exposed wafer. Step 18 (etching) etches parts other than a developed resist image. Step 19 (resist stripping) removes the disused resist after etching. These steps are repeated, and multilayer circuit patterns are formed on the wafer. The device manufacturing method of this embodiment may manufacture a higher quality device than the conventional method. The device fabrication method that uses the exposure apparatus 100 and the resultant devices also constitute one aspect of the present invention. Further, the present invention is not limited to these preferred embodiments, and various variations and modifications may be made without departing from the scope of the present invention. This application claims a foreign priority benefit based on Japanese Patent Application No. 2004-348036, filed on Dec. 1, 2004, which is hereby incorporated by reference herein in its entirety as if fully set forth herein.
description
This application is a continuation and claims priority under 35 U.S.C. Β§120 to U.S. Pat. application Ser. No. 11/870,961, filed Oct. 11, 2007 now U.S. Pat. No. 8,003,964, the entire content is hereby incorporated by reference. This description relates to applying a particle beam to a patient. For therapy on a tumor, for example, a proton beam should be applied to all of the tumor and to none of the surrounding normal tissue, to the extent possible. An applicator can be placed across the therapy beam near the patient to help to control which tissue the proton beam reaches. Described herein is an apparatus comprising a yoke and a catch arm. The yoke comprises a first end and a second end, and is configured to hold a device comprising an aperture and a range compensation structure. The catch arm is pivotally secured to the first end of the yoke. The catch arm comprises a locking feature. The locking feature and the second end of the yoke interface, respectively, to a first retention feature and a second retention feature defined by the aperture and the range compensation structure. The locking feature is configured to interface to the first retention feature and the second end of the yoke is configured to interface to the second retention feature. The apparatus may comprise one or more of the following features, either alone or in combination. The yoke may define a groove configured to receive a rim contained on the device. The second end of the yoke may comprise a pivot feature comprising a rounded protrusion and the first retention feature may comprise a notch on at least one of the aperture and the range compensation structure. The locking feature may comprise a hook and the second retention feature may comprise a notch on at least one of the aperture and the range compensation structure. The apparatus may comprise a catch arm locking feature defined by the yoke to inhibit rotation of the catch arm. The catch arm locking feature may be for engaging a catch arm lock of the device. The catch arm lock may comprise a latch plate that is positionable to engage the catch arm locking feature. The catch arm locking feature may be configured to spring bias the latch plate. The apparatus may comprise an indicator switch to detect locked and unlocked positions of the catch arm. At least one spring biased plunger may be on the yoke and may be configured to urge the device against the locking feature. Also described herein is a method of loading a device onto a device holder. The method comprises positioning a first retention feature defined by the device so as to be received by a pivot feature defined by a yoke of the device holder, moving the device over the pivot feature and into the holder, and securing a second retention feature defined by the device with a locking feature defined by a catch arm pivotally secured to the yoke. The method may also comprise aligning a rim defined by the device with a groove defined by the yoke. Also described herein is a proton applicator mount that comprises a base, a plurality of rollers associated with the base and configured to support a proton applicator, at least one alignment block associated with the base and configured to receive a corresponding alignment post of a proton applicator, and a locking clamp associated with the base. The locking clamp comprises a clamp bar, a handle attached to the clamp bar, a rotatable shaft carried by the base, and first and second hinges secured to the clamp bar and to the shaft. Each hinge comprises a hinge block defining a cam aperture and a cam path slot, a cam secured to the shaft and rotatably carried in the cam aperture of the hinge block, and a cam path protrusion on the base and configured to be received by the cam path slot. The cam aperture and the cam path slot are for guiding rotational and radial movement of the hinge block about the shaft. The proton applicator mount may also comprise one or more of the following features, either alone or in combination. The cam may define a limit feature configured to be received by a corresponding cam aperture limit feature defined by the hinge block to limit rotation of the cam. The clamp bar may comprise at least one alignment block configured to receive a corresponding alignment post of the proton applicator. The proton applicator mount may comprise a dovetail feature on the base and configured to align the proton applicator. The alignment block may define a substantially V-shaped groove. The alignment block may define a substantially conical receptacle. The handle may comprise a latch configured to be received by a latch receiver disposed on the base. Each cam of the first and second hinges may be spring biased. Also described herein is a transport cart for a proton applicator. The transport cart comprises a cart body, a plurality of rollers disposed on an upper portion of the cart body and configured to support a proton applicator, at least one docking interlock comprising a docking protrusion extending outwardly from the cart body and configured to be received by a docking plate disposed on a docking target, a docking cam pivotally attached to the docking protrusion and configured to engage the docking plate to retain the cart against the docking target, and at least one applicator interlock comprising a locking cam pivotally attached to the upper portion of the cart body and spring biased to a locking position, the locking cam being configured to retain a proton applicator. Also described herein is a method of loading a proton applicator onto a radiation beam delivery system. The method comprises docking a transport cart carrying the proton applicator against the radiation beam delivery system. At least one docking interlock of the transport cart engages and retains the transport cart against the radiation beam delivery system. The docking interlock comprises a docking protrusion extending outwardly from the cart body and configured to be received by a docking plate disposed on the radiation beam delivery system, and a docking cam pivotally attached to the docking protrusion and configured to engage the docking plate to retain the cart against the radiation beam delivery system. The method also comprises moving the proton applicator over a plurality cart rollers supporting the proton applicator and disposed on the transport cart across onto a plurality mount rollers disposed on a proton applicator mount of the radiation beam delivery system. The method may comprise one or more of the following features, either alone or in combination. The method may comprise disengaging an applicator interlock disposed on the cart before moving the proton applicator. The applicator interlock may comprise a locking cam pivotally attached to the cart and configured to retain the proton applicator. The method may comprise engaging a locking clamp disposed on a base of the proton applicator mount after receiving the proton applicator. The locking clamp may comprise a clamp bar, a handle attached to the clamp bar, a rotatable shaft carried by the base, and first and second hinges secured to the clamp bar and the shaft. Each hinge may comprise a hinge block defining a cam aperture and a cam path slot, a cam secured to the shaft and rotatably carried in the cam aperture of a corresponding hinge block, and a cam path protrusion disposed on the base and configured to be received by the cam path slot. The cam aperture and the cam path slot are for guiding rotational and radial movement of the hinge block about the shaft. These and other features and aspects and combinations of them can be expressed as methods, apparatus, systems, and means for performing functions and in other ways. Any of the foregoing features may be combined to form embodiments not specifically described herein. Other features and advantages will be apparent from the description and the claims. A proton applicator system (PAS) provides final collimation and range compensation of a proton beam to a clinical target, such as tumor, within a patient. The PAS can also shield other patient tissues from radiation intended for the target. Referring to FIGS. 1-3, a holder 100 holds a device 200 that is part of a proton applicator system (e.g. a patient aperture and a range compensator) in a loaded position. A patient aperture may be used to limit the extent of application of a proton beam to patient tissue. A range compensator may be used to provide vertical compensation (e.g., height) relative to the patient tissue for the proton applicator. The holder 100 includes a main yoke piece 110 having first and second ends 111 and 112, respectively, and a pivot feature 122 (e.g., a protrusion) on the stationary yoke 110. The stationary yoke 110 may be arc-shaped or any other shape. The pivot feature 122 may include a rounded protrusion located near the first end 111 of the stationary yoke 110. The device 200 includes a device body 210 defining first and second retention features 212 and 214, respectively. The first and second retention features 212, 214 may include notches that are defined by the device body 210. The pivot feature 122 may be configured to receive the first retention feature 212 of the device 200. The device 200 is pivoted over the pivot feature 122 into the holder 100. The holder 100 includes a locking catch 130 pivotally attached to the second end 112 of the stationary yoke 110 and a locking feature 134 (e.g., a hook) to receive the second retention feature 214 of the device 200, thereby retaining the device 200 in the holder 100. The locking catch 130 may be spring-biased toward a locking position with a spring 136. The device 200 may be held in the holder 100 axially by an associated rim 220 received by a groove 120 defined by the stationary yoke 110. The shape and size of the retention features 212, 214, the pivot feature 122, and locking feature 134 are scalable with outer dimensions of the device 200. Referring to FIG. 3, the holder 100 includes an indicator switch 140 to detect a presence of the device 200 and the locked or unlocked positions of the catch 130. Spring-loaded plungers 145 on the holder 100 aid ejection of the device 200 upon release of the locking catch 130. The spring-loaded plungers 145 also keep the device 200 against the pivot feature 122. Locking feature 134 is arranged to reduce movement or tolerance jitter, which in turn makes for repeatable insertion of device 200 into the holder. The holder 100 may remain accurate and repeatable for hundreds of cycles. Referring to FIGS. 4 and 5, the holder 100 includes a secondary locking mechanism 150. FIG. 4 illustrates holder 100 in the locked position and FIG. 5 illustrates the holder 100 in the unlocked position. In this example, a user activates a secondary release button 152 and slightly rotates the locking catch 130 to release the device 200 from the holder 100. A latch plate 154 connected to the secondary release button 152 and the locking catch 130 is loaded in the locked position with a compression spring 156. The latch plate 154 locks the catch 130 in the loaded position by toggling into a recess 158 defined by the stationary yoke 110. When the secondary release button 152 and latch plate 154 are pulled forward, a secondary release linkage plunger 160 is moved into a detent 155 defined by the latch plate 154, thereby locking the latch plate 154 in a forward position, and allowing rotation of the locking catch 130. The secondary release linkage plunger 160 is biased by a spring 162 toward the detent 155. The device 200 can be safely removed manually. As the device 200 is inserted into the holder 100 by placing the first retention feature 212 of the device 200 on the pivot feature 122 and rotating the device 200 into the holder 100, the locking catch 130 pivots or rotates into the second retention feature 214 of the device 200 to retain the device 200. As the device 200 rotates into the holder 100, the secondary release linkage plunger 160 is actuated up into the catch 130, allowing the latch plate 154 to lock into the recess 158 defined by the stationary yoke 110. The locked latch plate 154 reduces the chances that the catch 130 will inadvertently rotate outward and release the device 200. Referring to FIGS. 6-10, multiple holders 100 may be layered or stacked to allow for various device thicknesses and weight ranges. Example of devices that the holder 100 can lock and retain include both a patient aperture 300 and a range compensator 400. The aperture 300 includes an aperture body 310 defining first and second retention features 312 and 314, repetitively. The range compensator 400 includes a range compensator body 410 defining first and second retention features 412 and 414, repetitively. The aperture 300 and the range compensator 400 are each held in lateral and longitudinal directions by the first and second retention features 312, 314, 412, and 414. The first retention features 312 and 412 are configured to engage and be received by the pivot feature 122 disposed on the holder 100. The second retention features 314 and 414 are configured to be received by the locking feature 134 of the catch 130. Both devices 300 and 400 are individually loaded and retained by the same features 122, 134 of the holder 100. The patient aperture 300 and the range compensator 400 both include a retention edge or rim 320 and 420, respectively, configured to be received by the groove 120 defined by the stationary yoke 110 for axial retention. Referring to FIGS. 11-12, in this example, a proton applicator 500 includes an applicator base 510 and assembly applicator 520, which may be secured to the base 510. One or more holders, such as those described above, may be secured to the assembly applicator 520. In the example shown in FIG. 11, four holders 100 are stacked and secured on the assembly applicator 510. An applicator mount system 600 is used to mount the proton applicator 500 to a C-Inner Gantry (not shown). The applicator mount system 600 includes a base 610, a plurality of rollers 620 carried by the base 610 and exposed at a top surface 612 of the base 610, and an eccentric locking clamp (clamping bar) 630. The locking clamp holds the applicator in place during transport. When the locking clamp 630 is lowered, the applicator 500 may be rolled onto the applicator mount system 600 from an applicator transport cart 700 (see FIG. 18). As the applicator 500 is transferred from the cart 700 to the mount system 600, it is captured by dovetail features 640 on the base 610. The dovetail features 640 allow for course alignment and axial retention of the applicator 500. After the applicator 500 moves to the end of its travel, it comes to rest on stationary tooling ball alignment blocks 650 secured on the base 610. Referring to FIGS. 12-13, the alignment blocks 650 are configured to interface with the tooling ball posts 550A (e.g., tooling balls) located on the applicator base 510. Two types of tooling ball alignment blocks 650 are mounted on the mount system base 610, a V-groove alignment block 650A defining a V-groove, and a cone alignment block 650B defining a conical receptacle. The V-groove alignment block 650A restrains the applicator 500 axially and the cone alignment block 650B positions and restrains the applicator 500 perpendicular to a load direction and axially. Both alignment blocks 650A, 650B act to restrain or position the applicator 500 in the load direction. The applicator base 510 defines a dovetail groove 540 configured to mate with the dovetail features 640 on the mount system base 610. The applicator base 510 defines roller grooves 512 configured to receive the mount system base rollers 620. The eccentric locking clamp 630 is located on the opposite end of the mount system base 610 from the alignment blocks 650. The eccentric locking clamp 630 includes a clamping bar 632, a pair of V-groove alignment blocks 650A disposed on the clamping bar 632, a lock handle 634 connected to the clamping bar 632, and eccentric hinges 6000. The lock handle 634 (FIG. 16) includes a secondary release latch 636, which includes a secondary release block 636A secured to the base 610 and configured to retain a secondary release button 636B on the lock handle 634. When actuated, the eccentric locking clamp 630 imparts a clamping force onto the applicator base 510, locking the applicator 500 onto the applicator mount system 600. The eccentric hinging action of the locking clamp 630 has a rotational component that brings the clamp bar 632 into position against spring loaded tooling balls 550B on a side of the applicator base 510. The eccentric hinging action of the locking clamp 630 also has linear component that drives the clamp bar 632 and V-groove alignment blocks 650A forward to lock the applicator 500 into position. FIG. 14 illustrates the eccentric hinge 6000 in a locked position. FIG. 15 illustrates the eccentric hinge 6000 in an un-locked position. The eccentric hinge 6000 includes a hinge block 6100 secured to the clamp bar 632 and placed over an eccentric cam 6200 secured to a shaft 6210. The hinge block 6100 defines a limitation slot 6110 which receives a cam protrusion 6212. The limitation slot 6110 is positioned to provide an over-center locking action to lock the clamp 630. In some examples, the hinge block 6100 defines a cam path 6112 which retains a dowel pin 6300 secured to the mount system base 610. The cam path 6112 controls the rotational travel limits of the hinge block 6100. The shaft 6210 is common to both eccentric cams 6200. The shape of the cam path 6112 allows for linear movement of the eccentric cam 6200. Rotation of the eccentric cam 6200 produces the linear force component that clamps the proton applicator 500 in place with accuracy and repeatability. Use of the eccentric cam 6200 provides a strong mechanical force advantage over a conventional non-eccentric clamp. The eccentric hinge 6000 includes an extension spring 6400 to control the timing of engagement of the eccentric cam 6200. The spring force is balanced, such that as the clamp bar 632 is rotating into the lock position the actuation of the linear movement of the eccentric cam 6200 is held back. Referring to FIG. 16, when the hinge block 6100 and clamp bar 632 are at a rotational limit of travel, the linear component of the eccentric cam 6200 engages and locks the proton applicator 500 into position. To disengage the clamp 630, the same actions may be performed in reverse. Both forward and reverse movements may be controlled by the rotation of the lock handle 634. The lock handle 634 is locked into position with the aid of the secondary release latch 636 which is compression spring loaded. Engagement of the secondary release latch 636 into a secondary retaining block 637 latches the lock handle 634. Referring to FIGS. 17-19, the applicator transport cart 700 may be used to transport and change proton applicators 500 onto and off of the C-Inner Gantry (CIG). The applicator transport cart 700 includes a support body 710 having a top portion 702 on which the proton applicator 500 rests. In one example, two different sized proton applicators 500 may be provided with a radiation beam delivery system: a large field proton applicator 500 (25 cm treatment field diameter) and a small field proton applicator 500 (14 cm treatment field diameter). Both proton applicators 500 are of significant weight and size and must be transported and changed safely by a radiation therapist. Changing of the proton applicators 500 should by completed in a timely manner in order to increase the number of patient treatments per day. Each proton applicator 500 may have a transport cart 700 that docks into a side of the CIG. Referring to FIGS. 20-21, a docking interlock 720 prevents the transport cart 700 from backing out of the C-Inner Gantry while docked. The docking interlock 720 aligns the transport cart 700 both laterally and vertically with tapered protruding tongues 722 that dock into an associated slotted docking plate 822. The docking plate 822 resides on the CIG. The docking interlock 720 locks into the CIG with spring loaded ramped cams 724 that catch into the opposite side of the docking plate 822 with a retention surface 726. The transport cart 700 includes a plurality of rollers 730 disposed on the top portion 702 of the transport cart 700. The rollers 730 are positioned to support the proton applicator 500. Referring to FIGS. 22-23, the transport cart 700 includes an applicator interlock 740 which retains the proton applicator 500 on the transport cart 700. In some implementations, the applicator interlock 740 includes at least one ramped spring loaded locking cam 742 disposed on the top portion 702 of the transport cart 700. An applicator release handle 744 on the transport cart 700 is configured to engage and disengage the cam(s) 740. As the proton applicator 500 is pushed onto the cart 700, the proton applicator 500 moves over the cam 742, pushing it down into the top portion 702 until the proton applicator 500 reaches an end of travel. At an end of travel, the ramped cam 742 is exposed and free to spring up to a spring biased position, holding the proton applicator 500 in place with a retention surface 746 on the ramped cam 742. The applicator interlock 740 is similar to the docking interlock 720 by utilizing similar types of ramped cam devices to lock a device into position. To transfer the applicator 500 off the transport cart 700 and onto the applicator mount system 600, the eccentric locking clamp 630 of the applicator mount system 600 is disengaged to the un-locked position. The applicator release handle 744 on the transport cart 700 is disengaged and the therapist pushes the proton applicator 500 off the transport cart 700 and onto the applicator mount system 600. The proton applicator 500 travels on rollers 730 from the transport cart 700 to rollers 620 of the applicator mount system 600. The locking clamp 630 of the applicator mount system 600 is engaged into its locked position. The docking interlock 720 is disengaged (e.g. by an associated handle) and the transport cart 700 is released from the CIG and moved away to a storage area. Removing the proton applicator 500 involves similar steps as described above. However, when the proton applicator 500 is moved from the CIG to the transport cart 700 the user does not need to actuate the applicator release handle 742 on the transport cart 700. The claims are not limited to the implementations described above. Elements of different implementations may be combined to form other implementations not specifically described herein. Other implementations are within the scope of the following claims.
056132441
claims
1. A process for treating liquid wastes comprising: mixing finely divided dry solid glass-forming minerals and reductant(s); forming the mixture with water into pellet, brick, briquette, plate, extrudate, or agglomerate by conventional methods including mixing, rolling, compacting, extruding, agglomerating, or other pelletizing technique; heating the resulting substrate in the temperature range 50.degree. to 120.degree. C. to remove free moisture; allowing absorption of the liquid waste to occur by the substrate; drying the loaded substrate in the temperature range 50.degree. to 120.degree. C. to remove free moisture; heating the dry intermediate product to the temperature range 150.degree. C. to 450.degree. C. in order to initiate and complete reaction between nitrogenous species in the liquid waste and any reductant; and heating the denitrified material by any means to cause melting. mixing finely divided dry solid glass-forming minerals and reductant(s) with liquid waste; forming the resultant thick paste or slurry into pellet, brick, briquette, plate, extrudate, or agglomerate by conventional methods including mixing, rolling, compacting, extruding, agglomerating, or other pelletizing technique; heating the resulting substrate to the temperature range 50.degree. to 120.degree. C. to remove free moisture; heating the dry intermediate product to the temperature range 150.degree. C. to 450.degree. C. in order to initiate and complete reaction between nitrogenous species in the liquid waste and any reductant; and heating the denitrified material by any means to cause melting. mixing finely divided dry solid glass-forming minerals; forming the mixture with water into pellet, brick, briquette, plate, extrudate, or agglomerate by conventional methods including mixing, rolling, compacting, extruding, agglomerating, or other pelletizing technique; heating the resulting shape in the temperature range 50.degree. to 120.degree. C. to remove free moisture; indurating (sintering) the resulting substrate to prepare a physically strong substrate; adding any requisite reductant to the liquid waste, as determined by the appropriate chemical reaction; allowing absorption of the solution of liquid waste and reductant to occur by the substrate; drying the loaded substrate in the temperature range 50.degree. to 120.degree. C. to remove free moisture; heating the dry intermediate product to the temperature range 150.degree. C. to 450.degree. C. in order to initiate and complete reaction between nitrogenous species in the liquid waste and any reductant; and heating the denitrified material by any means to cause melting. 2. The method of claim 1, wherein the liquid waste is hazardous. 3. The method of claim 1, wherein the composition of glass-forming minerals and reductants is 0 to 20 percent boric acid, 0 to 10 percent alumina, 0 to 20 percent southern bentonite, 25 to 75 percent diatomite, 0 to 25 percent Micro-Cel (synthetic calcium silicate by Celite Corp.), 0 to 25 percent silica, 0 to 15 percent sugar, and 0 to 10 percent activated carbon. 4. A method of claim 3 wherein the preferred composition of glass forming minerals and reductants is 10.15 percent boric acid, 5.21 percent Bayer alumina, 3.42 percent southern bentonite, 48.21 percent diatomite, 17.45 percent Micro-Cel, 9.38 percent minus 200 mesh silica, 3.19 percent powdered sugar, and 2.99 percent activated carbon. 5. A method of claim 1, which further comprises an additional step chosen from the group consisting of: post melting thermal treatment by quenching in water or other liquid; casting onto cooled substrate; programmed cooling; soaking at a temperature below the melting temperature; or reheating of programmatically cooled material. 6. A method to treat liquid waste comprising: 7. The method of claim 6, wherein the liquid is hazardous. 8. A process for treating liquid wastes comprising: 9. The method of claim 8, wherein the liquid waste is hazardous.
summary
description
This application is based upon and claims the benefit of priority from the prior Japanese Patent Application No. 2009-216469 filed on Sep. 18, 2009 in Japan, the entire contents of which are incorporated herein by reference. 1. Field of the Invention The present invention relates to a charged particle beam drawing apparatus and proximity effect correction method thereof, wherein patterns corresponding to figures included in a drawing data are drawn in a drawing area of a workpiece by applying a charged particle beam to the workpiece, wherein a resist is applied to an upper surface of the workpiece. 2. Description of Related Art As is known in the prior art, in a charged particle beam drawing apparatus, patterns corresponding to figures included in a drawing data (EB data) are drawn in a drawing area of a workpiece, such as a mask substrate (reticle) and a wafer, by applying a charged particle beam to the workpiece, wherein a resist is applied to an upper surface of the workpiece. For example, the charged particle beam drawing apparatus in the prior art is described in Japanese Unexamined Patent Publication No. 2003-318077. In the charged particle beam drawing apparatus described in Japanese Unexamined Patent Publication No. 2003-318077, a proximity effect correcting map having meshes is formed, the size of each mesh being 2 ΞΌmΓ—2 ΞΌm, so that figures included in the drawing data (EB data) are placed in the proximity effect correcting map (see FIGS. 10(a) and 10(c), and paragraph 0095 of Japanese Unexamined Patent Publication No. 2003-318077). Then, in the charged particle beam drawing apparatus described in Japanese Unexamined Patent Publication No. 2003-318077, representative figures are formed, wherein area of a representative figure is equal to gross area of figures placed in a mesh (see FIG. 10(c) and paragraph 0096 of Japanese Unexamined Patent Publication No. 2003-318077). Then, in the charged particle beam drawing apparatus described in Japanese Unexamined Patent Publication No. 2003-318077, a proximity effect correction dose (optimum dose D(x)) of the charged particle beam in each mesh is calculated by solving proximity effect correction equations (see paragraph 0030 of Japanese Unexamined Patent Publication No. 2003-318077), wherein the size of each mesh is 2 ΞΌmΓ—2 ΞΌm (see FIG. 10(d) and paragraphs 0041, 0044, 0072, and 0109 of Japanese Unexamined Patent Publication No. 2003-318077). In detail, in the charged particle beam drawing apparatus described in Japanese Unexamined Patent Publication No. 2003-318077, when the proximity effect correction dose (optimum dose D(x)) of the charged particle beam in each mesh is calculated, the size of each mesh being 2 ΞΌmΓ—2 ΞΌm, area of representative figure in each mesh is considered. Concretely, if area of representative figure in a mesh is large, namely, if area density of figures in the mesh is large, dose of the charged particle beam for drawing patterns corresponding to figures in the mesh is large, consequently, influence of backscattering on figures in surrounding meshes is large. If area of representative figure in a mesh is small, namely, if area density of figures in the mesh is small, dose of the charged particle beam for drawing patterns corresponding to figures in the mesh is small, consequently, influence of backscattering on figures in surrounding meshes is small. A following equation (1) shows a relation among the accumulation energy of the charged particle beam accumulated in the resist by forward-scattering of the charged particle beam (which corresponds to the left portion of the left side of the equation (1)), the accumulation energy of the charged particle beam accumulated in the resist by backscattering of the charged particle beam (which corresponds to the right portion of the left side of the equation (1)), and sum of the accumulation energy of the charged particle beam accumulated in the resist (which corresponds to the right side of the equation (1)), in the typical charged particle beam drawing apparatus in the prior art, such as the charged particle beam drawing apparatus described in Japanese Unexamined Patent Publication No. 2003-318077. D ⁑ ( x ) 2 + Ξ· ⁒ ∫ ∫ pattern ⁒ D ⁑ ( x β€² ) ⁒ g ⁑ ( x - x β€² ) ⁒ β…† x β€² = E 0 ⁒ ↙ ⁒ constant ⁒ ⁒ in ⁒ ⁒ unit drawing ⁒ ⁒ area , such ⁒ ⁒ as ⁒ ⁒ a ⁒ ⁒ chip ( 1 ) In the equation (1), E0 (right side of the equation (1)) shows the accumulation energy of the charged particle beam accumulated in a position x in the resist. In detail, x shows a location vector. In the equation (1), D(x) shows the proximity effect correction dose of the charged particle beam applied from an optical column to the position x in the resist. The left portion (D(x)/2) of the left side of the equation (1) shows the accumulation energy of the charged particle beam accumulated in the position x in the resist, after the charged particle beam is applied from the optical column to the position x in the resist. Namely, the equation (1) means that a half (D(x)/2) of the dose D(x) of the charged particle beam applied from the optical column to the position x in the resist is accumulated in the position x in the resist. The right portion of the left side of the equation (1) shows the accumulation dose of the charged particle beam accumulated in the position x in the resist by proximity effect (backscattering), after the charged particle beam is applied from the optical column to positions xβ€² in a whole drawing area in the resist. In detail, in the equation (1), Ξ· shows a proximity effect correction coefficient, and g shows a proximity effect influence distribution. In the typical charged particle beam drawing apparatus in the prior art, Gaussian distribution (normal distribution) is used as the proximity effect influence distribution g. Following equations (2) to (8) show proximity effect correction equations used in the typical charged particle beam drawing apparatus in the prior art, such as the charged particle beam drawing apparatus described in Japanese Unexamined Patent Publication No. 2003-318077. g ⁑ ( x - x β€² ) = 1 Ο€ ⁒ ⁒ Οƒ 2 ⁒ exp [ - ( x - x β€² ) 2 Οƒ 2 ] ( 2 ) D ⁑ ( x ) = βˆ‘ n = 0 ∞ ⁒ ⁒ d n ⁑ ( x ) ( 3 ) d 0 ⁑ ( x ) = E 0 1 / 2 + Ξ· ⁒ ⁒ U ⁑ ( x ) ( 4 ) E 0 = ( 1 / 2 + Ξ· ) ⁒ D base ( 5 ) d n ⁑ ( x ) = Ξ· ⁒ ⁒ d 0 ⁑ ( x ) E 0 ⁑ [ d n - 1 ⁑ ( x ) ⁒ U ⁑ ( x ) - V n ⁑ ( x ) ] ⁒ ⁒ ( n β‰₯ 1 ) ( 6 ) U ⁑ ( x ) = ∫ pattern ⁒ g ⁑ ( x - x β€² ) ⁒ ⁒ β…† x ( 7 ) V n ⁑ ( x ) = ∫ pattern ⁒ ⁒ β…† n - 1 ⁒ ( x β€² ) ⁒ g ⁑ ( x - x β€² ) ⁒ β…† x β€² ( 8 ) An equation (3) corresponds to the equation 1 in paragraph 0030 of Japanese Unexamined Patent Publication No. 2003-318077. An equation (4) corresponds to the equation 2 in paragraph 0030 of Japanese Unexamined Patent Publication No. 2003-318077. An equation (6) corresponds to the equation 3 in paragraph 0030 of Japanese Unexamined Patent Publication No. 2003-318077. An equation (7) corresponds to the equation 4 in paragraph 0030 of Japanese Unexamined Patent Publication No. 2003-318077. An equation (8) corresponds to the equation 5 in paragraph 0030 of Japanese Unexamined Patent Publication No. 2003-318077. In the equation (2), a shows a standard deviation of the proximity effect influence distribution g. In the equation (5), Dbase shows a base dose of the charged particle beam. Namely, in the typical charged particle beam drawing apparatus in the prior art, such as the charged particle beam drawing apparatus described in Japanese Unexamined Patent Publication No. 2003-318077, the proximity effect correction dose D(x) of the charged particle beam in each mesh is calculated by solving the proximity effect correction equations (equations (2) to (8)) under the condition that the sum (the right side of the equation (1)) of the accumulation energy of the charged particle beam accumulated by forward-scattering (the left portion of the left side of the equation (1)) and the accumulation energy of the charged particle beam accumulated by backscattering (the right portion of the left side of the equation (1)) is constant in a unit drawing area, such as a chip, the unit drawing area being a part of the whole drawing area of the workpiece. When patterns are drawn on the workpiece, a correction error can appear to the patterns. In detail, in one case, a correction error can appear to the patterns throughout the unit drawing area, such as a chip. In another case, a correction error can appear to the patterns locally in the unit drawing area, such as a chip. If a correction error, in which the actual width of linear patterns are smaller than the target width of the patterns, appears to the patterns throughout the unit drawing area, such as a chip, the correction error can be solved by increasing the proximity effect correction dose D(x) of the charged particle beam in each mesh, under the condition that the sum (the right side of the equation (1)) of the accumulation energy of the charged particle beam accumulated by forward-scattering (the left portion of the left side of the equation (1)) and the accumulation energy of the charged particle beam accumulated by backscattering (the right portion of the left side of the equation (1)) is constant in a whole unit drawing area, such as a chip, in the typical charged particle beam drawing apparatus in the prior art, such as the charged particle beam drawing apparatus described in Japanese Unexamined Patent Publication No. 2003-318077. If a correction error, in which the actual width of linear patterns are larger than the target width of the patterns, appears to the patterns throughout the unit drawing area, such as a chip, the correction error can be solved by decreasing the proximity effect correction dose D(x) of the charged particle beam in each mesh, under the condition that the sum (the right side of the equation (1)) of the accumulation energy of the charged particle beam accumulated by forward-scattering (the left portion of the left side of the equation (1)) and the accumulation energy of the charged particle beam accumulated by backscattering (the right portion of the left side of the equation (1)) is constant in a whole unit drawing area, such as a chip, in the typical charged particle beam drawing apparatus in the prior art, such as the charged particle beam drawing apparatus described in Japanese Unexamined Patent Publication No. 2003-318077. However, when a correction error appears to the patterns locally in the unit drawing area, such as a chip, if the proximity effect correction dose D(x) of the charged particle beam in some meshes in the unit drawing area is changed, and if the proximity effect correction dose D(x) of the charged particle beam in another meshes in the unit drawing area is not changed in order to solve the correction error, the condition that the sum (the right side of the equation (1)) is constant in the whole unit drawing area, such as a chip, is not fulfilled. Accordingly, in the charged particle beam drawing apparatus in the prior art, such as the charged particle beam drawing apparatus described in Japanese Unexamined Patent Publication No. 2003-318077, the proximity effect correction dose D(x) of the charged particle beam in only some meshes in the unit drawing area cannot be changed, therefore, the correction error which appears to the patterns locally in the unit drawing area, such as a chip, cannot be solved. Consequently, in the prior art, when it is necessary to solve the correction error which appears to the patterns locally in the unit drawing area, such as a chip, a conventional lithography technology or computer lithography technology is used. In the conventional lithography technology, if it is supposed that the correction error which appears to the patterns locally in the unit drawing area, such as a chip, is caused by resist process, such as resist application process, pre-bake process, development process, post-bake process, a simulation, in which the shape of patterns are gradually changed, is performed, so that the shape of patterns which are drawn, are made to correspond to the target shape of patterns. Accordingly, the correction error which appears to the patterns locally in the unit drawing area, such as a chip, is solved. However, in the conventional lithography technology, not only the dose of the charged particle beam is changed, but also a change of a whole mask process (resist process) is considered, in order to solve the correction error which appears to the patterns locally in the unit drawing area, such as a chip. Consequently, it is not easy to solve the correction error which appears to the patterns locally in the unit drawing area, such as a chip, in the conventional lithography technology. In the computer lithography technology which is rapidly developing in recent years, the correction error which appears to the patterns locally in the unit drawing area, such as a chip, can be solved by selecting an optimum condition of mask pattern and optical system, and then, using a computer, and then, correcting mask process (resist process). However, in the computer lithography technology, it is necessary to consider a change of mask process model by considering a whole mask process (resist process), in order to solve the correction error which appears to the patterns locally in the unit drawing area, such as a chip. And large calculation cost is required because calculation time of the computer is enormous. Accordingly, it is not easy to solve the correction error which appears to the patterns locally in the unit drawing area, such as a chip, in the computer lithography technology. An object of the present invention is to provide an charged particle beam drawing apparatus and proximity effect correction method thereof, which can easily solve the correction error which appears to the patterns locally in the unit drawing area, such as a chip. In detail, the object of the present invention is to provide the charged particle beam drawing apparatus and proximity effect correction method thereof, which can easily solve the correction error which appears to the patterns locally in the unit drawing area, such as critical dimension error caused by resist process (mask process), unknown mechanism. In accordance with one aspect of the present invention, a charged particle beam drawing apparatus, comprising: a drawing portion for drawing patterns corresponding to figures included in a drawing data, in a drawing area of a workpiece, by irradiating the workpiece with a charged particle beam, wherein the workpiece is formed by applying a resist to an upper surface of the workpiece; a proximity effect correcting map forming portion for forming a proximity effect correcting map having meshes, so that the figures included in the drawing data are placed in the proximity effect correcting map; a representative figure forming portion for forming representative figures, wherein area of a representative figure in a mesh is equal to gross area of figures in the mesh; a proximity effect correction dose calculating portion for calculating a proximity effect correction dose of the charged particle beam in each mesh, on the basis of area of each representative figure in each mesh; a figure area changing portion for changing area of at least one figure, before the representative figures are formed by the representative figure forming portion, if it is necessary to change the proximity effect correction dose of the charged particle beam for drawing at least one pattern corresponding to the at least one figure; and a proximity effect correction dose changing portion for changing the proximity effect correction dose of the charged particle beam for drawing the at least one pattern corresponding to the at least one figure, calculated by the proximity effect correction dose calculating portion, if it is necessary to change the proximity effect correction dose of the charged particle beam for drawing the at least one pattern corresponding to the at least one figure is provided. In accordance with another aspect of the present invention, a proximity effect correction method of a charged particle beam drawing apparatus for drawing patterns corresponding to figures included in a drawing data, in a drawing area of a workpiece, by irradiating the workpiece with a charged particle beam, wherein the workpiece is formed by applying a resist to an upper surface of the workpiece, comprising: forming a proximity effect correcting map having meshes by a proximity effect correcting map forming portion, so that the figures included in the drawing data are placed in the proximity effect correcting map; forming representative figures by a representative figure forming portion, wherein area of a representative figure in a mesh is equal to gross area of figures in the mesh; calculating a proximity effect correction dose of the charged particle beam in each mesh, on the basis of area of each representative figure in each mesh, by a proximity effect correction dose calculating portion; changing area of at least one figure by a figure area changing portion, before the representative figures are formed by the representative figure forming portion, if it is necessary to change the proximity effect correction dose of the charged particle beam for drawing at least one pattern corresponding to the at least one figure; and changing the proximity effect correction dose of the charged particle beam for drawing the at least one pattern corresponding to the at least one figure, calculated by the proximity effect correction dose calculating portion, by a proximity effect correction dose changing portion, if it is necessary to change the proximity effect correction dose of the charged particle beam for drawing the at least one pattern corresponding to the at least one figure is provided. Other and further objects, features and advantages of the invention will appear more fully from the following description. FIG. 1 is a schematic illustration of a first embodiment of a charged particle beam drawing apparatus 10 according to the present invention. FIG. 2 shows a control computer 10b1 of a control portion 10b of the charged particle beam drawing apparatus 10 of the first embodiment shown in FIG. 1, in detail. As shown in FIG. 1, the charged particle beam drawing apparatus 10 of the first embodiment has a drawing portion 10a for drawing patterns in a drawing area of a workpiece M, such as a mask substrate (reticle) and a wafer, by irradiating the workpiece M with a charged particle beam 10a1b, wherein the workpiece M is formed by applying a resist to an upper surface of the workpiece M. In the charged particle beam drawing apparatus 10 of the first embodiment, an electron beam is used as the charged particle beam 10a1b. In the charged particle beam drawing apparatus 10 of a second embodiment, a charged particle beam such as an ion beam, except the electron beam can be used as the charged particle beam 10a1b. In the charged particle beam drawing apparatus 10 of the first embodiment, as shown in FIG. 1, the drawing portion 10a has a charged particle beam gun 10a1a, deflectors 10a1c, 10a1d, 10a1e, 10a1f for deflecting the charged particle beam 10a1b emitted from the charged particle beam gun 10a1a, and a movable stage 10a2a for supporting the workpiece M. Patterns are drawn on the workpiece M by the charged particle beam 10a1b deflected by the deflectors 10a1c, 10a1d, 10a1e, 10a1f. In the charged particle beam drawing apparatus 10 of the first embodiment, as shown in FIG. 1, a drawing chamber 10a2 composes a part of the drawing portion 10a. The movable stage 10a2a for supporting the workpiece M is placed in the drawing chamber 10a2. The stage 10a2a is movable in x axis direction (right and left direction in FIG. 1) and movable in y axis direction (not shown), y axis direction is perpendicular to x axis direction. In the charged particle beam drawing apparatus 10 of the first embodiment, as shown in FIG. 1, an optical column 10a1 composes a part of the drawing portion 10a. The charged particle beam gun 10a1a, the deflectors 10a1c, 10a1d, 10a1e, 10a1f, lenses 10a1g, 10a1h, 10a1i, 10a1j, 10a1k, a first forming aperture member 10a1l and a second forming aperture member 10a1m are placed in the optical column 10a1. In detail, in the charged particle beam drawing apparatus 10 of the first embodiment, as shown in FIGS. 1 and 2, a drawing data D inputted to the control computer 10b1 is transferred to a shot dividing portion 10b1a, and a shot dividing process is performed by the shot dividing portion 10b1a. The shot dividing process is explained below in detail. In the charged particle beam drawing apparatus 10 of the first embodiment, the drawing data D inputted to the control computer 10b1 is also transferred to a proximity effect correcting portion 10b1b, and a proximity effect correcting process is performed by the proximity effect correcting portion 10b1b. The proximity effect correcting process is explained below in detail. Then, in the charged particle beam drawing apparatus 10 of the first embodiment, as shown in FIGS. 1 and 2, shot data for irradiating the workpiece M with the charged particle beam 10a1b is formed by a shot data forming portion 10b1g, on the basis of a result of the shot dividing process of the shot dividing portion 10b1a and a result of the proximity effect correcting process of the proximity effect correcting portion 10b1b, in order to draw patterns in the drawing area of the workpiece M. Then, in the charged particle beam drawing apparatus 10 of the first embodiment, as shown in FIGS. 1 and 2, the shot data formed by the shot data forming portion 10b1g, is transferred to a deflection control portion 10b1h. Then, the deflectors 10a1c, 10a1d, 10a1e, 10a1f are controlled by the deflection control portion 10b1h on the basis of the shot data. Accordingly, the charged particle beam 10a1b emitted from the charged particle beam gun 10a1a is applied to a predetermined position in the drawing area of the workpiece M. In detail, in the charged particle beam drawing apparatus 10 of the first embodiment, as shown in FIGS. 1 and 2, the charged particle beam 10a1b emitted from the charged particle beam gun 10a1a is passed through an opening 10a1lβ€² (see FIG. 3A) of the first forming aperture member 10a1l and the workpiece M is irradiated with the charged particle beam 10a1b, or the charged particle beam 10a1b emitted from the charged particle beam gun 10a1a is interrupted by a part of the first forming aperture member 10a1l except the opening 10a1lβ€² and the workpiece M is not irradiated with the charged particle beam 10a1b, by controlling a blanking deflector 10a1c via a deflection control circuit 10b2 by means of the deflection control portion 10b1h on the basis of the shot data formed by the shot data forming portion 10b1g. In other words, in the charged particle beam drawing apparatus 10 of the first embodiment, dose (beam irradiate time) of the charged particle beam 10a1b can be controlled by controlling the blanking deflector 10a1c. In the charged particle beam drawing apparatus 10 of the first embodiment, as shown in FIGS. 1 and 2, a beam size changing deflector 10a1d is controlled via a deflection control circuit 10b3 by the deflection control portion 10b1h on the basis of the shot data formed by the shot data forming portion 10b1g, so that the charged particle beam 10a1b passed through the opening 10a1lβ€² (see FIG. 3A) of the first forming aperture member 10a1l is deflected by the beam size changing deflector 10a1d. And then, a part of the charged particle beam 10a1b deflected by the beam size changing deflector 10a1d is passed through an opening 10a1mβ€² (see FIG. 3A) of the second forming aperture member 10a1m. In other words, in the charged particle beam drawing apparatus 10 of the first embodiment, size or shape of the charged particle beam 10a1b applied to the drawing area of the workpiece M can be adjusted by adjusting deflecting amount or deflecting direction of the charged particle beam 10a1b deflected by the beam size changing deflector 10a1d. FIGS. 3A, 3B, 3C, 3D, 3E, 3F, 3G, 3H and 3I show examples of a pattern P which can be drawn in the drawing area of the workpiece M by a shot of the charged particle beam 10a1b in the charged particle beam drawing apparatus 10 of the first embodiment. In the charged particle beam drawing apparatus 10 of the first embodiment, as shown in FIGS. 1 and 3A, when the pattern P (see FIG. 3A) is drawn in the drawing area of the workpiece M by the charged particle beam 10a1b, a part of the charged particle beam 10a1b emitted from the charged particle beam gun 10a1a (see FIG. 1) is passed through the square opening 10a1lβ€² (see FIG. 3A) of the first forming aperture member 10a1l. So that, a horizontal sectional shape of the charged particle beam 10a1b passed through the opening 10a1lβ€² of the first forming aperture member 10a1l is almost square. And then, a part of the charged particle beam 10a1b passed through the opening 10a1lβ€² of the first forming aperture member 10a1l is passed through the opening 10a1mβ€² (see FIG. 3A) of the second forming aperture member 10a1m. In the charged particle beam drawing apparatus 10 of the first embodiment, as shown in FIGS. 1 and 3A, a horizontal sectional shape of the charged particle beam 10a1b passed through the opening 10a1mβ€² (see FIG. 3A) of the second forming aperture member 10a1m can be rectangular (square or oblong) or triangular, by deflecting the charged particle beam 10a1b passed through the opening 10a1lβ€² (see FIG. 3A) of the first forming aperture member 10a1l by means of the deflector 10a1d (see FIG. 1). In the charged particle beam drawing apparatus 10 of the first embodiment, as shown in FIGS. 1 and 3A, the pattern P (see FIG. 3A) having the same shape as the horizontal sectional shape of the charged particle beam 10a1b passed through the opening 10a1mβ€² (see FIG. 3A) of the second forming aperture member 10a1m can be drawn in the drawing area of the workpiece M, by applying the charged particle beam 10a1b passed through the opening 10a1mβ€² (see FIG. 3A) of the second forming aperture member 10a1m, to a predetermined position in the drawing area of the workpiece M, for a predetermined time. In the charged particle beam drawing apparatus 10 of the first embodiment, as shown in FIGS. 1 and 3A, a rectangular (square or oblong) pattern P (see FIGS. 3B, 3C, 3D and 3E) or a triangular pattern P (see FIGS. 3F, 3G, 3H and 3I) can be drawn in the drawing area of the workpiece M by a shot of the charged particle beam 10a1b, by means of controlling deflecting amount and deflecting direction of the charged particle beam 10a1b passed through the opening 10a1lβ€² (see FIG. 3A) of the first forming aperture member 10a1l and then deflected by the deflector 10a1d (see FIG. 1). In the charged particle beam drawing apparatus 10 of the first embodiment, as shown in FIGS. 1 and 2, a main deflector 10a1e is controlled via a deflection control circuit 10b4 by the deflection control portion 10b1h on the basis of the shot data formed by the shot data forming portion 10b1h, so that the charged particle beam 10a1b passed through the opening 10a1mβ€² (see FIG. 3A) of the second forming aperture member 10a1m is deflected by the main deflector 10a1e. In the charged particle beam drawing apparatus 10 of the first embodiment, as shown in FIGS. 1 and 2, a sub-deflector 10a1f is controlled via a deflection control circuit 10b5 by the deflection control portion 10b1h on the basis of the shot data formed by the shot data forming portion 10b1h, so that the charged particle beam 10a1b deflected by the main deflector 10a1e is deflected by the sub-deflector 10a1f. In the charged particle beam drawing apparatus 10 of the first embodiment, the irradiate position of the charged particle beam 10a1b in the drawing area of the workpiece M can be adjusted by adjusting deflecting amount and deflecting direction of the charged particle beam 10a1b by means of the main deflector 10a1e and the sub-deflector 10a1f. In the charged particle beam drawing apparatus 10 of the first embodiment, as shown in FIGS. 1 and 2, movement of the movable stage 10a2a is controlled via a stage control circuit 10b6 by a stage control portion 10b1i on the basis of the shot data formed by the shot data forming portion 10b1h. In the example shown in FIGS. 1 and 2, a layout data (CAD data, design data) prepared by a designer such as a semiconductor integrated circuit designer, is converted into the drawing data D of the format of the charged particle beam drawing apparatus 10. And then, the drawing data D is inputted to the control computer 10b1 of the charged particle beam drawing apparatus 10. In general, a plurality of small patterns are included in the layout data (CAD data, design data), so that the amount of the layout data (CAD data, design data) is very large. In general, after the layout data (CAD data, design data) is converted into a different format data, the amount of the data increases. Therefore, in order to compress the amount of the layout data (CAD data, design data) and the drawing data D, the layout data (CAD data, design data) and the drawing data D have hierarchical structure. FIG. 4 shows an example of the drawing data D shown in FIGS. 1 and 2. In the example shown in FIG. 4, the drawing data D (see FIGS. 1 and 2) applied to the charged particle beam drawing apparatus 10 of the first embodiment, has a chip hierarchy CP, a frame hierarchy FR which is lower than the chip hierarchy CP, a block hierarchy BL which is lower than the frame hierarchy FR, a cell hierarchy CL which is lower than the frame hierarchy FR, and a figure hierarchy FG which is lower than the cell hierarchy CL. In the example shown in FIG. 4, a chip CP1 is one of elements of the chip hierarchy CP, and corresponds to three frames FR1, FR2, FR3. The frame FR2 is one of elements of the frame hierarchy FR, and corresponds to eighteen blocks BL00, BL10, BL20, BL30, BL40, BL50, BL01, BL11, BL21, BL31, BL41, BL51, BL02, BL12, BL22, BL32, BL42, BL52. The block BL21 is one of elements of the block hierarchy BL, and corresponds to cells CLA, CLB, CLC, CLD. The cell CLA is one of elements of the cell hierarchy CL, and corresponds to a plurality of figures FG1, FG2. Each of the figures FG1, FG2 is one of elements of the figure hierarchy FG. In the charged particle beam drawing apparatus 10 of the first embodiment, as shown in FIGS. 1, 2 and 4, the charged particle beam 10a1b (see FIG. 1) draws patterns in the drawing area of the workpiece M (see FIG. 1), and the patterns correspond to the plurality of figures FG1, FG2 (see FIG. 4) in the figure hierarchy FG (see FIG. 4) in the drawing data D (see FIGS. 1 and 2). FIGS. 5A, 5B, 5C, 5D, 5E, 5F, 5G, 5H and 5I show an example of sequence of drawing of the pattern P1 corresponding to the figure FG1 included in the drawing data D by means of the charged particle beam 10a1b. In detail, FIGS. 5A, 5B, 5C, 5D, 5E, 5F, 5G, 5H and 5I explain the example of the number of the shots of the charged particle beam 10a1b for drawing the pattern P1 corresponding to the figure FG1 included in the drawing data D, in the drawing area of the workpiece M, in the charged particle beam drawing apparatus 10 of the first embodiment. In the charged particle beam drawing apparatus 10 of the first embodiment, as shown in FIGS. 5A, 5B, 5C, 5D, 5E, 5F, 5G, 5H and 5I, more than one shots of the charged particle beam 10a1b (see FIG. 3A) is performed, if the pattern P1 (see FIG. 5I) corresponding to the figure FG1 (see FIG. 4) included in the drawing data D (see FIGS. 1 and 2) is larger than the largest pattern P (see FIG. 3B). In other words, in the charged particle beam drawing apparatus 10 of the first embodiment, if the pattern P1 (see FIG. 5I) corresponding to the figure FG1 (see FIG. 4) included in the drawing data D (see FIGS. 1 and 2) is larger than the largest pattern P (see FIG. 3B), the shot dividing portion 10b1a (see FIG. 2) divides the figure FG1 (see FIG. 4) included in the drawing data D (see FIGS. 1 and 2) into small figures (not shown) in the drawing data D, the small figures corresponding to patterns P1a, P1b, P1c, P1d, P1e, P1f, P1g, P1h and P1i (see FIGS. 5A, 5B, 5C, 5D, 5E, 5F, 5G, 5H and 5I). Namely, the shot dividing portion 10b1a (see FIG. 2) performs the shot dividing process. Then, the shot data is formed by the shot data forming portion 10b1g (see FIG. 2), on the basis of the result of the shot dividing process of the shot dividing portion 10b1a. In the example shown in FIGS. 5A, 5B, 5C, 5D, 5E, 5F, 5G, 5H and 5I, as shown in FIG. 5A, a pattern P1a which has the same shape as the largest pattern P (see FIG. 3B) is drawn in the drawing area of the workpiece M by a first shot of the charged particle beam 10a1b (see FIG. 3A). Then, in the example shown in FIGS. 5A, 5B, 5C, 5D, 5E, 5F, 5G, 5H and 5I, a pattern P1b (see FIG. 5B) is drawn in the drawing area of the workpiece M by a second shot of the charged particle beam 10a1b (see FIG. 3A). Then, a pattern P1c (see FIG. 5C) is drawn in the drawing area of the workpiece M by a third shot of the charged particle beam 10a1b (see FIG. 3A). Then, a pattern P1d (see FIG. 5D) is drawn in the drawing area of the workpiece M by a forth shot of the charged particle beam 10a1b (see FIG. 3A). Then, a pattern P1e (see FIG. 5E) is drawn in the drawing area of the workpiece M by a fifth shot of the charged particle beam 10a1b (see FIG. 3A). Then, a pattern P1f (see FIG. 5F) is drawn in the drawing area of the workpiece M by a sixth shot of the charged particle beam 10a1b (see FIG. 3A). Then, a pattern P1g (see FIG. 5G) is drawn in the drawing area of the workpiece M by a seventh shot of the charged particle beam 10a1b (see FIG. 3A). Then, a pattern P1h (see FIG. 5H) is drawn in the drawing area of the workpiece M by an eighth shot of the charged particle beam 10a1b (see FIG. 3A). Then, a pattern P1i (see FIG. 5I) is drawn in the drawing area of the workpiece M by a ninth shot of the charged particle beam 10a1b (see FIG. 3A). Accordingly, in the example shown in FIGS. 5A, 5B, 5C, 5D, 5E, 5F, 5G, 5H and 5I, the pattern P1 corresponding to the figure FG1 (see FIG. 4) included in the drawing data D (see FIGS. 1 and 2) is drawn in the drawing area of the workpiece M. In the example shown in FIGS. 5A, 5B, 5C, 5D, 5E, 5F, 5G, 5H and 5I, in order to explain that nine times shots of the charged particle beam 10a1b (see FIG. 3A) are necessary to draw the pattern P1 in the drawing area of the workpiece M, and that four times shots of the charged particle beam 10a1b (see FIG. 3A) for drawing the patterns P1a, P1b, P1d, P1e which respectively has the same shape as the largest pattern P (see FIG. 3B) are not enough to draw the pattern P1 in the drawing area of the workpiece M, shots of the charged particle beam 10a1b are divided into four times shots of the charged particle beam 10a1b for drawing the patterns P1a, P1b, P1d, P1e which respectively have the same shape as the largest pattern P (see FIG. 3B), and five times shots of the charged particle beam 10a1b for drawing the patterns P1c, P1f, P1g, P1h, P1i which are respectively smaller than the largest pattern P (see FIG. 3B). Actually, in the charged particle beam drawing apparatus 10 of the first embodiment, the shot dividing process is performed, so that drawing of a small pattern, such as the pattern P1i (see FIG. 5I), is avoided. Namely, if the pattern P1 (see FIG. 5I) is drawn by nine times shots of the charged particle beam 10a1b (see FIG. 3A), the pattern P1 is equally divided into nine patterns, the nine patterns are arranged in three rows extending in X axis direction (right and left direction in FIG. 5I) of three, and each pattern is drawn by one shot of the charged particle beam 10a1b (see FIG. 3A). FIGS. 6, 7, and 9 explain the proximity effect correcting process performed by the proximity effect correcting portion 10b1b (see FIG. 2) in detail, the proximity effect correcting portion 10b1b is a characteristic part of the charged particle beam drawing apparatus 10 of the first embodiment. In the charged particle beam drawing apparatus 10 of the first embodiment, the proximity effect correcting portion 10b1b (see FIG. 2) is composed, so that the correction error, which appears to the patterns locally in the unit drawing area, such as a chip CP1 (see FIG. 4), can be solved. In the charged particle beam drawing apparatus 10 of the first embodiment, if the correction error does not appear to the patterns locally in the unit drawing area, such as the chip CP1 (see FIG. 4), the relation shown in the equation (1) is used, the proximity effect correcting process is performed in the same way as in the typical charged particle beam drawing apparatus in the prior art, such as the charged particle beam drawing apparatus described in Japanese Unexamined Patent Publication No. 2003-318077. In the charged particle beam drawing apparatus 10 of the first embodiment, if the drawing data D (see FIG. 2) inputted to the control computer 10b1 (see FIG. 2) includes figures FG01, FG02 (see FIG. 6A), a proximity effect correcting map (see FIG. 6A) having meshes (see FIG. 6A) is formed by a proximity effect correcting map forming portion 10b1b1 (see FIG. 2), for example, the size of each mesh being 2 ΞΌmΓ—2 ΞΌm (see FIG. 6A), so that the figures FG01, FG02 (see FIG. 6A) are placed in the proximity effect correcting map (see FIG. 6A). Then, in the charged particle beam drawing apparatus 10 of the first embodiment, representative figures f00, f10, f20, f30, f40, f50, f60, f01, f11, f02, f12, f22, f32 (see FIG. 6C) are formed by a representative figure forming portion 10b1b2 (see FIG. 2), wherein area of each representative figure f00, f10, f20, f30, f40, f50, f60, f01, f11, f02, f12, f22, f32 (see FIG. 6C) is equal to gross area of figures FG01, FG02 (see FIG. 6A) placed in each mesh M00, M10, M20, M30, M40, M50, M60, M01, M11, M02, M12, f22, f32 (see FIG. 6B). Namely, in the example shown in FIGS. 6A, 6B and 6C, area of the representative figure f00 (see FIG. 6C) in the mesh M00 (see FIG. 6B) is equal to gross area of a part of the figure FG01 (see FIG. 6A) placed in the mesh M00 (see FIG. 6B), area of the representative figure f20 (see FIG. 6C) in the mesh M20 (see FIG. 6B) is equal to gross area of a part of the figure FG02 (see FIG. 6A) placed in the mesh M20 (see FIG. 6B). In the example shown in FIGS. 6A, 6B and 6C, the center of gravity of each representative figure f00, f10, f20, f30, f40, f50, f60, f01, f11, f02, f12, f22, f32 (see FIG. 6C) is placed in the center of each mesh M00, M10, M20, M30, M40, M50, M60, M01, M11, M02, M12, f22, f32 (see FIG. 6B) in order to simplify the calculation. In another example (not shown) in which rigorous calculation is required, the center of gravity of each representative figure f00, f10, f20, f30, f40, f50, f60, f01, f11, f02, f12, f22, f32 (see FIG. 6C) is not placed in the center of each mesh M00, M10, M20, M30, M40, M50, M60, M01, M11, M02, M12, f22, f32 (see FIG. 6B). But, the center of gravity of the representative figure f00 (see FIG. 6C) is made to correspond to the center of gravity of the part of the figure FG01 (see FIG. 6A) placed in the mesh M00 (see FIG. 6B), and the center of gravity of the representative figure f20 (see FIG. 6C) is made to correspond to the center of gravity of the part of the figure FG02 (see FIG. 6A) placed in the mesh M20 (see FIG. 6B), for example. Then, in the charged particle beam drawing apparatus 10 of the first embodiment, a proximity effect correction dose D00, D10, D20, D30, D40, D50, D60, D01, D11, D02, D12, D22, D32 (see FIG. 6D) of the charged particle beam in each mesh M00, M10, M20, M30, M40, M50, M60, M01, M11, M02, M12, f22, f32 (see FIG. 6B) is calculated by a proximity effect correction dose calculating portion 10b1b3 (see FIG. 2), on the basis of area of each representative figure f00, f10, f20, f30, f40, f50, f60, f01, f11, f02, f12, f22, f32 (see FIG. 6C) in each mesh M00, M10, M20, M30, M40, M50, M60, M01, M11, M02, M12, f22, f32 (see FIG. 6B). In the example shown in FIGS. 6A, 6B, 6C and 6D, area of the representative figure f01 (see FIG. 6C) in the mesh M01 (see FIG. 6B) is large, so that dose of the charged particle beam 10a1b (see FIG. 1) for drawing a pattern corresponding to a part of the figure FG01 (see FIG. 6A) in the mesh M01 (see FIG. 6B) is large. Consequently, influence of backscattering (right portion of the left side of the equation (1)) on figures, such as the figure FG02 (see FIG. 6A), in surrounding meshes, such as the mesh M20 (see FIG. 6B), is large. Area of the representative figure f60 (see FIG. 6C) in the mesh M60 (see FIG. 6B) is small, so that dose of the charged particle beam 10a1b (see FIG. 1) for drawing a pattern corresponding to a part of the figure FG02 (see FIG. 6A) in the mesh M60 (see FIG. 6B) is small. Consequently, influence of backscattering (right portion of the left side of the equation (1)) on figures (not shown) in surrounding meshes (not shown) is small. In detail, in the example shown in FIGS. 6A, 6B, 6C and 6D, the proximity effect correction dose D00, D10, D20, D30, D40, D50, D60, D01, D11, D02, D12, D22, D32 (see FIG. 6D) of the charged particle beam in each mesh M00, M10, M20, M30, M40, M50, M60, M01, M11, M02, M12, M22, M32 (see FIG. 6B) is calculated by solving the proximity effect correction equations (2) to (8). Concretely, in the example shown in FIGS. 6A, 6B, 6C and 6D, sum (d0(x)+d1(x)+d2(x)) of dose d0(x) (n=0) (see equation (4)) corresponding to a first term, dose d1(x) (n=1) (see equation (6)) corresponding to a second term, and dose d2(x) (n=2) (see equation (6)) corresponding to a third term, is used as the proximity effect correction dose D(x) (see equation (3)) of the charged particle beam, in the same way as in an example shown in paragraphs 0104 to 0109 of Japanese Unexamined Patent Publication No. 2003-318077. In other words, in the charged particle beam drawing apparatus 10 of the first embodiment, if the correction error does not appear to the patterns locally in the unit drawing area, such as the chip CP1 (see FIG. 4), the proximity effect correction dose D00, D10, D20, D30, D40, D50, D60, D01, D11, D21, D31, D41, D51, D61, D02, D12, D22, D32, D42, D52, D62 (see FIG. 6D) of the charged particle beam in each mesh M00, M10, M20, M30, M40, M50, M60, M01, M11, M21, M31, M41, M51, M61, M02, M12, M22, M32, M42, M52, M62 (see FIG. 6B) is calculated by solving the proximity effect correction equations (2) to (8) under the condition that the sum (the right side of the equation (1)) of the accumulation energy of the charged particle beam accumulated by forward-scattering (the left portion of the left side of the equation (1)) and the accumulation energy of the charged particle beam accumulated by backscattering (the right portion of the left side of the equation (1)) is a constant E0 in a unit drawing area, such as a chip CP1 (see FIG. 4), in the same way as in the typical charged particle beam drawing apparatus in the prior art, such as the charged particle beam drawing apparatus described in Japanese Unexamined Patent Publication No. 2003-318077. In the charged particle beam drawing apparatus 10 of the first embodiment, in the example shown in FIGS. 6A and 7, the figure FG01 (see FIG. 6A) is divided into twenty small shot figures S01a, S01b, S01c (see FIG. 7) by the shot dividing portion 10b1a (see FIG. 2), the figure FG02 (see FIG. 6A) is divided into eight small shot figures S02a, S02b, S02c, S02d, S02e, S02f, S02g, S02h (see FIG. 7) by the shot dividing portion 10b1a (see FIG. 2). In detail, in the example shown in FIGS. 6B, 6D and 7, the center of gravity of the shot figure S01a (see FIG. 7) and the center of gravity of the shot figure S01b (see FIG. 7) are placed in the mesh M00 (see FIG. 6B), so that the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the shot figures S01a, S01b (see FIG. 7) is D00 (see FIG. 6D). The center of gravity of the shot figure S01c (see FIG. 7) is placed in the mesh M10 (see FIG. 6B), so that the proximity effect correction dose of the charged particle beam for drawing a pattern corresponding to the shot figure S01c (see FIG. 7) is D10 (see FIG. 6D). The center of gravity of the shot figure S02a (see FIG. 7) is placed in the mesh M20 (see FIG. 6B), so that the proximity effect correction dose of the charged particle beam for drawing a pattern corresponding to the shot figure S02a (see FIG. 7) is D20 (see FIG. 6D). The center of gravity of the shot figure S02b (see FIG. 7) and the center of gravity of the shot figure S02c (see FIG. 7) are placed in the mesh M30 (see FIG. 6B), so that the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the shot figures S02b, S02c (see FIG. 7) is D30 (see FIG. 6D). The center of gravity of the shot figure S02d (see FIG. 7) and the center of gravity of the shot figure S02e (see FIG. 7) are placed in the mesh M40 (see FIG. 6B), so that the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the shot figures S02d, S02e (see FIG. 7) is D40 (see FIG. 6D). The center of gravity of the shot figure S02f (see FIG. 7) and the center of gravity of the shot figure S02g (see FIG. 7) are placed in the mesh M50 (see FIG. 6B), so that the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the shot figures S02f, S02g (see FIG. 7) is D50 (see FIG. 6D). The center of gravity of the shot figure S02h (see FIG. 7) is placed in the mesh M60 (see FIG. 6B), so that the proximity effect correction dose of the charged particle beam for drawing a pattern corresponding to the shot figure S02h (see FIG. 7) is D60 (see FIG. 6D). FIGS. 8A, 8B and 8C show an example of a correction error which appears to the patterns locally in the unit drawing area, such as a chip CP1 (see FIG. 4). In the example shown in FIGS. 8A, 8B and 8C, the actual width of the linear pattern corresponding to the figure FG02 (see FIG. 8A) is smaller than the target width of the linear pattern. FIGS. 9A, 9B and 9C show another example of a correction error which appears to the patterns locally in the unit drawing area, such as a chip CP1 (see FIG. 4). In the example shown in FIGS. 9A, 9B and 9C, the actual width of the linear pattern corresponding to the figure FG02 (see FIG. 9A) is larger than the target width of the linear pattern. In the charged particle beam drawing apparatus 10 of the first embodiment, if a correction error appears to the patterns locally in the unit drawing area, such as the chip CP1 (see FIG. 4), a relation shown in a following equation (9) is used, and the proximity effect correcting process is performed. D ⁑ ( x ) 2 + Ξ· ⁒ ⁒ ∫ ∫ pattern ⁒ D ⁑ ( x β€² ) ⁒ g ⁑ ( x - x β€² ) ⁒ β…† x β€² = E 0 constant ⁒ ⁒ term + ⁒ Ξ” ⁒ ⁒ E ⁑ ( x ) additional term In the charged particle beam drawing apparatus 10 of the first embodiment, if the correction error appears to the patterns locally in the unit drawing area, such as the chip CP1 (see FIG. 4), the proximity effect correction dose D00β€², D10β€², D20β€², D30β€², D40β€², D50β€², D60β€², D01β€², D11β€², D21β€², D31β€², D41β€², D51β€², D61β€², D02β€², D12β€², D22β€², D32β€², D42β€², D52β€², D62β€², D00β€³, D10β€³, D20β€³, D30β€³, D40β€³, D50β€³, D60β€³, D01β€³, D11β€³, D21β€³, D31β€³, D41β€³, D51β€³, D61β€³, D02β€³, D12β€³, D22β€³, D32β€³, D42β€³, D52β€³, D62β€³ (see FIGS. 8C and 9C) of the charged particle beam in each mesh M00, M10, M20, M30, M40, M50, M60, M01, M11, M21, M31, M41, M51, M61, M02, M12, M22, M32, M42, M52, M62 (see FIG. 6B) is calculated by solving the proximity effect correction equations (2) to (8) under the condition that the sum (the right side of the equation (9)) of the accumulation energy of the charged particle beam accumulated by forward-scattering (the left portion of the left side of the equation (9)) and the accumulation energy of the charged particle beam accumulated by backscattering (the right portion of the left side of the equation (9)) is not equal to the constant E0 (constant term in the right side of the equation (9)) in a unit drawing area, such as a chip CP1 (see FIG. 4), of the workpiece M (see FIG. 1). In detail, in the charged particle beam drawing apparatus 10 of the first embodiment, if a correction error appears to the patterns locally in the unit drawing area, such as the chip CP1 (see FIG. 4), and the actual width of the linear pattern corresponding to the figure FG02 (see FIG. 8A) is smaller than the target width of the linear pattern, a proximity effect correcting map (see FIG. 8A) having meshes (see FIG. 8A) is formed by the proximity effect correcting map forming portion 10b1b 1 (see FIG. 2), for example, the size of each mesh being 2 ΞΌmΓ—2 ΞΌm (see FIG. 8A), so that the figures FG01, FG02 (see FIG. 8A) are placed in the proximity effect correcting map (see FIG. 8A). Then, in the charged particle beam drawing apparatus 10 of the first embodiment, before representative figures f20, f30, f40, f50, f60 (see FIG. 6C) are formed by the representative figure forming portion 10b1b2 (see FIG. 2), the area of the figure FG02 (see FIG. 8A) is changed by a figure area changing portion 10b1b2a (see FIG. 2), so that the area of the figure FG02 (see FIG. 8A) increases, namely, the figure FG02 (see FIG. 8A) is enlarged, wherein it is necessary to change the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figure FG02 (see FIG. 8A). Then, in the charged particle beam drawing apparatus 10 of the first embodiment, representative figures f00, f10, f01, f11, f02, f12, f22, f32 (see FIG. 8B) are formed by the representative figure forming portion 10b1b2 (see FIG. 2), wherein area of each representative figure f00, f10, f01, f11, f02, f12, f22, f32 (see FIG. 8B) is equal to gross area of the figure FG01 (see FIG. 8A) placed in each mesh M00, M10, M01, M11, M02, M12, f22, f32 (see FIG. 6B). And representative figures f20a, f30a, f40a, f50a, f60a (see FIG. 8B) are formed by the representative figure forming portion 10b1b2 (see FIG. 2), wherein area of each representative figure f20a, f30a, f40a, f50a, f60a (see FIG. 8B) is equal to gross area of enlarged figure FG02 (not shown) placed in each mesh M20, M30, M40, M50, M60 (see FIG. 6B). In detail, in the example shown in FIGS. 8A, 8B and 8C, the area Sf20a (see FIG. 8B) of representative figure f20a (see FIG. 8B) is x1 percent larger than the area Sf20 (see FIG. 8B) of representative figure f20 (see FIG. 6C) (Sf20a=Sf20Γ—(1+x1/100)). The area Sf30a (see FIG. 8B) of representative figure f30a (see FIG. 8B) is x1 percent larger than the area Sf30 (see FIG. 8B) of representative figure f30 (see FIG. 6C) (Sf30a=Sf30Γ—(1+x1/100)). The area Sf40a (see FIG. 8B) of representative figure f40a (see FIG. 8B) is x1 percent larger than the area Sf40 (see FIG. 8B) of representative figure f40 (see FIG. 6C) (Sf40a=Sf40Γ—(1+x1/100)). The area Sf50a (see FIG. 8B) of representative figure f50a (see FIG. 8B) is x1 percent larger than the area Sf50 (see FIG. 8B) of representative figure f50 (see FIG. 6C) (Sf50a=Sf50Γ—(1+x1/100)). The area Sf60a (see FIG. 8B) of representative figure f60a (see FIG. 8B) is x1 percent larger than the area Sf60 (see FIG. 8B) of representative figure f60 (see FIG. 6C) (Sf60a=Sf60Γ—(1+x1/100)). Then, in the charged particle beam drawing apparatus 10 of the first embodiment, proximity effect correction dose D00β€², D10β€², D01β€², D11β€², D02β€², D12β€², D22β€², D32β€² (see FIG. 8C) of the charged particle beam in each mesh M00, M10, M01, M11, M02, M12, f22, f32 (see FIG. 6B) is calculated by the proximity effect correction dose calculating portion 10b1b3 (see FIG. 2), on the basis of area of each representative figure f00, f10, f20a, f30a, f40a, f50a, f60a, f01, f11, f02, f12, f22, f32 (see FIG. 8B) in each mesh M00, M10, M20, M30, M40, M50, M60, M01, M11, M02, M12, f22, f32 (see FIG. 6B). Proximity effect correction dose D20β€², D30β€², D40β€², D50β€², D60β€² (see FIG. 8C) of the charged particle beam in each mesh M20, M30, M40, M50, M60 (see FIG. 6B) is calculated by the proximity effect correction dose calculating portion 10b1b3 (see FIG. 2), on the basis of area of each representative figure f00, f10, f20a, f30a, f40a, f50a, f60a, f01, f11, f02, f12, f22, f32 (see FIG. 8B) in each mesh M00, M10, M20, M30, M40, M50, M60, M01, M11, M02, M12, f22, f32 (see FIG. 6B). In other words, if the area Sf20a, Sf30a, Sf40a, Sf50a, Sf60a (see FIG. 8B) of each representative figure f20a, f30a, f40a, f50a, f60a (see FIG. 8B) in each mesh M20, M30, M40, M50, M60 (see FIG. 6B) is enlarged with respect to the area Sf20, Sf30, Sf40, Sf50, Sf60 (see FIG. 8B) of each representative figure f20, f30, f40, f50, f60 (see FIG. 6C) as shown in FIG. 8B, the enlargement of the area Sf20a, Sf30a, Sf40a, Sf50a, Sf60a (see FIG. 8B) of each representative figure f20a, f30a, f40a, f50a, f60a (see FIG. 8B) in each mesh M20, M30, M40, M50, M60 (see FIG. 6B) has an influence on surrounding meshes M00, M10, M01, M11, M02, M12, M22, M32 (see FIG. 6B). Accordingly, proximity effect correction dose D00β€², D10β€², D01β€², D11β€², D02β€², D12β€², D22β€², D32β€² (see FIG. 8C) of the charged particle beam in each mesh M00, M10, M01, M11, M02, M12, M22, M32 (see FIG. 6B) is different from proximity effect correction dose D00, D10, D01, D11, D02, D12, D22, D32 (see FIG. 6D) of the charged particle beam in each mesh M00, M10, M01, M11, M02, M12, M22, M32 (see FIG. 6B). Then, in the charged particle beam drawing apparatus 10 of the first embodiment, proximity effect correction dose D20β€², D30β€², D40β€², D50β€², D60β€² (see FIG. 8C) of the charged particle beam for drawing patterns corresponding to the figure FG02 (see FIG. 8A) is changed by a proximity effect correction dose changing portion 10b1b4 (see FIG. 2), wherein it is necessary to change the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figure FG02 (see FIG. 8A). In detail, in the example shown in FIGS. 8A, 8B and 8C, the proximity effect correction dose D20β€² (see FIG. 8C) of the charged particle beam in mesh M20 (see FIG. 6B) calculated by the proximity effect correction dose calculating portion 10b1b3 (see FIG. 2) is changed by the proximity effect correction dose changing portion 10b1b4 (see FIG. 2). Accordingly, the proximity effect correction dose D20b (see FIG. 8C) of the charged particle beam in the mesh M20 (see FIG. 6B), which is changed from the proximity effect correction dose D20β€² (see FIG. 8C), is x1 percent larger than the proximity effect correction dose D20β€² (see FIG. 8C) (D20b=D20β€²Γ—(1+x1/100)). In the example shown in FIGS. 8A, 8B and 8C, the proximity effect correction dose D30β€² (see FIG. 8C) of the charged particle beam in mesh M30 (see FIG. 6B) calculated by the proximity effect correction dose calculating portion 10b1b3 (see FIG. 2) is changed by the proximity effect correction dose changing portion 10b1b4 (see FIG. 2). Accordingly, the proximity effect correction dose D30b (see FIG. 8C) of the charged particle beam in the mesh M30 (see FIG. 6B), which is changed from the proximity effect correction dose D30β€² (see FIG. 8C), is x1 percent larger than the proximity effect correction dose D30β€² (see FIG. 8C) (D30b=D30β€²Γ—(1+x1/100)). In the example shown in FIGS. 8A, 8B and 8C, the proximity effect correction dose D40β€² (see FIG. 8C) of the charged particle beam in mesh M40 (see FIG. 6B) calculated by the proximity effect correction dose calculating portion 10b1b3 (see FIG. 2) is changed by the proximity effect correction dose changing portion 10b1b4 (see FIG. 2). Accordingly, the proximity effect correction dose D40b (see FIG. 8C) of the charged particle beam in the mesh M40 (see FIG. 6B), which is changed from the proximity effect correction dose D40β€² (see FIG. 8C), is x1 percent larger than the proximity effect correction dose D40β€² (see FIG. 8C) (D40b=D40β€²Γ—(1+x1/100)). In the example shown in FIGS. 8A, 8B and 8C, the proximity effect correction dose D50β€² (see FIG. 8C) of the charged particle beam in mesh M50 (see FIG. 6B) calculated by the proximity effect correction dose calculating portion 10b1b3 (see FIG. 2) is changed by the proximity effect correction dose changing portion 10b1b4 (see FIG. 2). Accordingly, the proximity effect correction dose D50b (see FIG. 8C) of the charged particle beam in the mesh M50 (see FIG. 6B), which is changed from the proximity effect correction dose D50β€² (see FIG. 8C), is x1 percent larger than the proximity effect correction dose D50β€² (see FIG. 8C) (D50b=D50β€²Γ—(1+x1/100)). In the example shown in FIGS. 8A, 8B and 8C, the proximity effect correction dose D60β€² (see FIG. 8C) of the charged particle beam in mesh M60 (see FIG. 6B) calculated by the proximity effect correction dose calculating portion 10b1b3 (see FIG. 2) is changed by the proximity effect correction dose changing portion 10b1b4 (see FIG. 2). Accordingly, the proximity effect correction dose D60b (see FIG. 8C) of the charged particle beam in the mesh M60 (see FIG. 6B), which is changed from the proximity effect correction dose D60β€² (see FIG. 8C), is x1 percent larger than the proximity effect correction dose D60β€² (see FIG. 8C) (D60b=D60β€²Γ—(1+x1/100)). In the example shown in FIGS. 8A, 8B and 8C, the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the shot figures S01a, S01b (see FIG. 7) is D00β€² (see FIG. 8C). The proximity effect correction dose of the charged particle beam for drawing a pattern corresponding to the shot figure S01c (see FIG. 7) is D10β€² (see FIG. 8C). The proximity effect correction dose of the charged particle beam for drawing a pattern corresponding to the shot figure S02a (see FIG. 7) is D20b (see FIG. 8C). The proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the shot figures S02b, S02c (see FIG. 7) is D30b (see FIG. 8C). The proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the shot figures S02d, S02e (see FIG. 7) is D40b (see FIG. 8C). The proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the shot figures S02f, S02g (see FIG. 7) is D50b (see FIG. 8C). The proximity effect correction dose of the charged particle beam for drawing a pattern corresponding to the shot figure S02h (see FIG. 7) is D60b (see FIG. 8C). In other words, in the charged particle beam drawing apparatus 10 of the first embodiment, if a correction error appears to the patterns locally in the unit drawing area, such as the chip CP1 (see FIG. 4), and the actual width of the linear pattern corresponding to the figure FG02 (see FIG. 8A) is smaller than the target width of the linear pattern, the proximity effect correcting process is performed as shown in FIGS. 8A, 8B and 8C. Consequently, in the charged particle beam drawing apparatus 10 of the first embodiment, the correction error, which appears to the patterns locally in the unit drawing area, such as the chip CP1 (see FIG. 4), can be solved. Namely, the correction error, which does not appear to patterns corresponding to the figure FG01 (see FIG. 8A), but appears to patterns corresponding to the figure FG02 (see FIG. 8A), can be solved. In the charged particle beam drawing apparatus 10 of the first embodiment, if a correction error appears to the patterns locally in the unit drawing area, such as the chip CP1 (see FIG. 4), and the actual width of the linear pattern corresponding to the figure FG02 (see FIG. 9A) is larger than the target width of the linear pattern, a proximity effect correcting map (see FIG. 9A) having meshes (see FIG. 9A) is formed by the proximity effect correcting map forming portion 10b1b 1 (see FIG. 2), for example, the size of each mesh being 2 ΞΌmΓ—2 ΞΌm (see FIG. 9A), so that the figures FG01, FG02 (see FIG. 9A) are placed in the proximity effect correcting map (see FIG. 9A). Then, in the charged particle beam drawing apparatus 10 of the first embodiment, before representative figures f20, f30, f40, f50, f60 (see FIG. 6C) are formed by the representative figure forming portion 10b1b2 (see FIG. 2), the area of the figure FG02 (see FIG. 9A) is changed by the figure area changing portion 10b1b2a (see FIG. 2), so that the area of the figure FG02 (see FIG. 9A) decreases, namely, the figure FG02 (see FIG. 9A) is reduced, wherein it is necessary to change the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figure FG02 (see FIG. 9A). Then, in the charged particle beam drawing apparatus 10 of the first embodiment, representative figures f00, f10, f01, f11, f02, f12, f22, f32 (see FIG. 9B) are formed by the representative figure forming portion 10b1b2 (see FIG. 2), wherein area of each representative figure f00, f10, f01, f11, f02, f12, f22, f32 (see FIG. 9B) is equal to gross area of the figure FG01 (see FIG. 9A) placed in each mesh M00, M10, M01, M11, M02, M12, f22, f32 (see FIG. 6B). And representative figures f20c, f30c, f40c, f50c, f60c (see FIG. 9B) are formed by the representative figure forming portion 10b1b2 (see FIG. 2), wherein area of each representative figure f20c, f30c, f40c, f50c, f60c (see FIG. 9B) is equal to gross area of reduced figure FG02 (not shown) placed in each mesh M20, M30, M40, M50, M60 (see FIG. 6B). In detail, in the example shown in FIGS. 9A, 9B and 9C, the area Sf20c (see FIG. 9B) of representative figure f20c (see FIG. 9B) is x2 percent smaller than the area Sf20 (see FIG. 9B) of representative figure f20 (see FIG. 6C) (Sf20c=Sf20Γ—(1βˆ’x2/100)). The area Sf30c (see FIG. 9B) of representative figure f30c (see FIG. 9B) is x2 percent smaller than the area Sf30 (see FIG. 9B) of representative figure f30 (see FIG. 6C) (Sf30c=Sf30Γ—(1βˆ’x2/100)). The area Sf40c (see FIG. 9B) of representative figure f40c (see FIG. 9B) is x2 percent smaller than the area Sf40 (see FIG. 9B) of representative figure f40 (see FIG. 6C) (Sf40c=Sf40Γ—(1βˆ’x2/100)). The area Sf50c (see FIG. 9B) of representative figure f50c (see FIG. 9B) is x2 percent smaller than the area Sf50 (see FIG. 9B) of representative figure f50 (see FIG. 6C) (Sf50c=Sf50Γ—(1βˆ’x2/100)). The area Sf60c (see FIG. 9B) of representative figure f60c (see FIG. 9B) is x2 percent smaller than the area Sf60 (see FIG. 9B) of representative figure f60 (see FIG. 6C) (Sf60c=Sf60Γ—(1βˆ’x2/100)). Then, in the charged particle beam drawing apparatus 10 of the first embodiment, proximity effect correction dose D00β€³, D10β€³, D01β€³, D11β€², D02β€³, D12β€³, D22β€³, D32β€³ (see FIG. 9C) of the charged particle beam in each mesh M00, M10, M01, M11, M02, M12, f22, f32 (see FIG. 6B) is calculated by the proximity effect correction dose calculating portion 10b1b3 (see FIG. 2), on the basis of area of each representative figure f00, f10, f20c, f30c, f40c, f50c, f60c, f01, f11, f02, f12, f22, f32 (see FIG. 9B) in each mesh M00, M10, M20, M30, M40, M50, M60, M01, M11, M02, M12, f22, f32 (see FIG. 6B). Proximity effect correction dose D20β€³, D30β€³, D40β€³, D50β€³, D60β€³ (see FIG. 9C) of the charged particle beam in each mesh M20, M30, M40, M50, M60 (see FIG. 6B) is calculated by the proximity effect correction dose calculating portion 10b1b3 (see FIG. 2), on the basis of area of each representative figure f00, f10, f20c, f30c, f40c, f50c, f60c, f01, f11, f02, f12, f22, f32 (see FIG. 9B) in each mesh M00, M10, M20, M30, M40, M50, M60, M01, M11, M02, M12, f22, f32 (see FIG. 6B). Then, in the charged particle beam drawing apparatus 10 of the first embodiment, proximity effect correction dose D20β€³, D30β€³, D40β€³, D50β€³, D60β€³ (see FIG. 9C) of the charged particle beam for drawing patterns corresponding to the figure FG02 (see FIG. 9A) is changed by the proximity effect correction dose changing portion 10b1b4 (see FIG. 2), wherein it is necessary to change the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figure FG02 (see FIG. 9A). In detail, in the example shown in FIGS. 9A, 9B and 9C, the proximity effect correction dose D20β€³ (see FIG. 9C) of the charged particle beam in mesh M20 (see FIG. 6B) calculated by the proximity effect correction dose calculating portion 10b1b3 (see FIG. 2) is changed by the proximity effect correction dose changing portion 10b1b4 (see FIG. 2). Accordingly, the proximity effect correction dose D20d (see FIG. 9C) of the charged particle beam in the mesh M20 (see FIG. 6B), which is changed from the proximity effect correction dose D20β€³ (see FIG. 9C), is x2 percent smaller than the proximity effect correction dose D20β€³ (see FIG. 9C) (D20d=D20β€³Γ—(1βˆ’x2/100)). In the example shown in FIGS. 9A, 9B and 9C, the proximity effect correction dose D30β€³ (see FIG. 9C) of the charged particle beam in mesh M30 (see FIG. 6B) calculated by the proximity effect correction dose calculating portion 10b1b3 (see FIG. 2) is changed by the proximity effect correction dose changing portion 10b1b4 (see FIG. 2). Accordingly, the proximity effect correction dose D30d (see FIG. 9C) of the charged particle beam in the mesh M30 (see FIG. 6B), which is changed from the proximity effect correction dose D30β€³ (see FIG. 9C), is x2 percent smaller than the proximity effect correction dose D30β€³ (see FIG. 9C) (D30d=D30β€³Γ—(1βˆ’x2/100)). In the example shown in FIGS. 9A, 9B and 9C, the proximity effect correction dose D40β€³ (see FIG. 9C) of the charged particle beam in mesh M40 (see FIG. 6B) calculated by the proximity effect correction dose calculating portion 10b1b3 (see FIG. 2) is changed by the proximity effect correction dose changing portion 10b1b4 (see FIG. 2). Accordingly, the proximity effect correction dose D40d (see FIG. 9C) of the charged particle beam in the mesh M40 (see FIG. 6B), which is changed from the proximity effect correction dose D40β€³ (see FIG. 9C), is x2 percent smaller than the proximity effect correction dose D40β€³ (see FIG. 9C) (D40d=D40β€³Γ—(1βˆ’x2/100)). In the example shown in FIGS. 9A, 9B and 9C, the proximity effect correction dose D50β€³ (see FIG. 9C) of the charged particle beam in mesh M50 (see FIG. 6B) calculated by the proximity effect correction dose calculating portion 10b1b 3 (see FIG. 2) is changed by the proximity effect correction dose changing portion 10b1b4 (see FIG. 2). Accordingly, the proximity effect correction dose D50d (see FIG. 9C) of the charged particle beam in the mesh M50 (see FIG. 6B), which is changed from the proximity effect correction dose D50β€³ (see FIG. 9C), is x2 percent smaller than the proximity effect correction dose D50β€³ (see FIG. 9C) (D50d=D50β€³Γ—(1βˆ’x2/100)). In the example shown in FIGS. 9A, 9B and 9C, the proximity effect correction dose D60β€³ (see FIG. 9C) of the charged particle beam in mesh M60 (see FIG. 6B) calculated by the proximity effect correction dose calculating portion 10b1b3 (see FIG. 2) is changed by the proximity effect correction dose changing portion 10b1b4 (see FIG. 2). Accordingly, the proximity effect correction dose D60d (see FIG. 9C) of the charged particle beam in the mesh M60 (see FIG. 6B), which is changed from the proximity effect correction dose D60β€³ (see FIG. 9C), is x2 percent smaller than the proximity effect correction dose D60β€³ (see FIG. 9C) (D60d=D60β€³Γ—(1βˆ’x2/100)). In the example shown in FIGS. 9A, 9B and 9C, the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the shot figures S01a, S01b (see FIG. 7) is D00β€³ (see FIG. 9C). The proximity effect correction dose of the charged particle beam for drawing a pattern corresponding to the shot figure S01c (see FIG. 7) is D10β€³ (see FIG. 9C). The proximity effect correction dose of the charged particle beam for drawing a pattern corresponding to the shot figure S02a (see FIG. 7) is D20d (see FIG. 9C). The proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the shot figures S02b, S02c (see FIG. 7) is D30d (see FIG. 9C). The proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the shot figures S02d, S02e (see FIG. 7) is D40d (see FIG. 9C). The proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the shot figures S02f, S02g (see FIG. 7) is D50d (see FIG. 9C). The proximity effect correction dose of the charged particle beam for drawing a pattern corresponding to the shot figure S02h (see FIG. 7) is D60d (see FIG. 9C). In other words, in the charged particle beam drawing apparatus 10 of the first embodiment, if a correction error appears to the patterns locally in the unit drawing area, such as the chip CP1 (see FIG. 4), and the actual width of the linear pattern corresponding to the figure FG02 (see FIG. 9A) is larger than the target width of the linear pattern, the proximity effect correcting process is performed as shown in FIGS. 9A, 9B and 9C. Consequently, in the charged particle beam drawing apparatus 10 of the first embodiment, the correction error, which appears to the patterns locally in the unit drawing area, such as the chip CP1 (see FIG. 4), can be solved. Namely, the correction error, which does not appear to patterns corresponding to the figure FG01 (see FIG. 9A), but appears to patterns corresponding to the figure FG02 (see FIG. 9A), can be solved. FIGS. 10A, 10B, 11A, 11B, 11C, 11D, 12A, 12B, 13A, 13B, 14A, 14B, 15A and 15B show examples in which changing the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to figures included in the drawing data D (see FIG. 1) being necessary or unnecessary is distinguished. In detail, FIG. 10A shows a part of a list of the drawing data D (see FIG. 1). FIG. 10B shows a table of relation between index numbers and changing ratio included in the drawing data D. In the example shown in FIGS. 10A and 10B, a type code β€œ1” of figures FG10, FG11, FG12 included in a first figure group data is understood by reading a header (see FIG. 10A) of the first figure group data (see FIG. 10A). An index number β€œ01” of the figures FG10, FG11, FG12 included in the first figure group data is understood, by reading an index number list (see FIG. 10A) of the first figure group data. In the example shown in FIGS. 10A and 10B, changing ratio β€œ0%” of the figures FG10, FG11, FG12 included in the first figure group data and corresponding to index number β€œ01” is understood, by reading the table (see FIG. 10B) of relation between index numbers and changing ratio. Consequently, in the example shown in FIGS. 10A and 10B, changing the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figures FG10, FG11, FG12 (see FIG. 10A) included in the first figure group data as well as the figure FG01 (see FIG. 8A) being unnecessary, is understood. In the example shown in FIGS. 10A and 10B, a type code β€œ2” of figures FG20, FG21, FG22 included in a second figure group data is understood by reading a header (see FIG. 10A) of the second figure group data (see FIG. 10A). An index number β€œ02” of the figures FG20, FG21, FG22 included in the second figure group data is understood, by reading an index number list (see FIG. 10A) of the second figure group data. In the example shown in FIGS. 10A and 10B, changing ratio β€œ+20%” of the figures FG20, FG21, FG22 included in the second figure group data and corresponding to index number β€œ02” is understood, by reading the table (see FIG. 10B) of relation between index numbers and changing ratio. Consequently, in the example shown in FIGS. 10A and 10B, changing the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figures FG20, FG21, FG22 (see FIG. 10A) included in the second figure group data as well as the figure FG02 (see FIG. 8A) being necessary, is understood. In detail, in the example shown in FIGS. 10A and 10B, the area of the figures FG20, FG21, FG22 (see FIG. 10A) is changed by the figure area changing portion 10b1b2a (see FIG. 2), so that the area of the figures FG20, FG21, FG22 (see FIG. 10A) is 20 percent increased on the basis of the changing ratio β€œ+20%”. Then, proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figures FG20, FG21, FG22 (see FIG. 10A) calculated by the proximity effect correction dose calculating portion 10b1b3 (see FIG. 2) is changed by the proximity effect correction dose changing portion 10b1b4 (see FIG. 2), so that the proximity effect correction dose of the charged particle beam for drawing the patterns corresponding to the figures FG20, FG21, FG22 (see FIG. 10A) is 20 percent increased on the basis of the changing ratio β€œ+20%”. In the example shown in FIGS. 10A and 10B, a data of figure FG10 includes at least position and shape of the figure FG10, a data of figure FG11 includes at least position and shape of the figure FG11, a data of figure FG12 includes at least position and shape of the figure FG12, a data of figure FG20 includes at least position and shape of the figure FG20, a data of figure FG21 includes at least position and shape of the figure FG21, and a data of figure FG22 includes at least position and shape of the figure FG22. In the example shown in FIGS. 10A and 10B, the first figure group data includes β€œindex number list (index number=01)”, and the second figure group data includes β€œindex number list (index number=02)”. In another example (not shown), the first figure group data can include β€œchanging ratio=0%”, and the second figure group data can include β€œchanging ratio=+20%”. FIG. 11A shows an example wherein three cells CL1, CL2, CL3, which have the same size, are placed in the same position in the drawing data D (see FIG. 1). In FIG. 11B, the cell CL1 is selected from the three cells CL1, CL2, CL3 (see FIG. 11A). In FIG. 11C, the cell CL2 is selected from the three cells CL1, CL2, CL3 (see FIG. 11A). In FIG. 11D, the cell CL3 is selected from the three cells CL1, CL2, CL3 (see FIG. 11A). In the example shown in FIGS. 11A, 11B, 11C and 11D, a figure FG30 belongs to the cell CL1, figures FG40, FG41, FG42, FG43, FG44, FG45, FG46, FG47 belong to the cell CL2, and figures FG50, FG51 belong to the cell CL3. FIGS. 12A and 12B show an example in which changing the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to figures FG30, FG40, FG41, FG42, FG43, FG44, FG45, FG46, FG47, FG50, FG51 (see FIGS. 11A, 11B, 11C and 11D) being necessary or unnecessary is distinguished. In detail, FIG. 12A shows a part of a list of the drawing data D (see FIG. 1). FIG. 12B shows a table of relation between index numbers and changing ratio included in the drawing data D. In the example shown in FIGS. 12A and 12B, a data of cell CL1 (see FIG. 12A) in a cell reference information (see FIG. 12A) includes at least a position of the cell CL1 (see FIGS. 11A and 11B) and a pointer for linking up with a portion concerning the cell CL1 in a cell pattern data (see FIG. 12A). Accordingly, as shown in FIG. 12A by an arrow, a header (see FIG. 12A) of the portion concerning the cell CL1 in the cell pattern data can be read by reading the pointer included in the data of the cell CL1. Then, in the example shown in FIGS. 12A and 12B, the index number β€œ01” of the figure FG30 (see FIGS. 11A and 11B) belonging to the cell CL1 (see FIGS. 11A and 11B) is understood by reading an index number list (see FIG. 12A) concerning the cell CL1 in the cell pattern data. The changing ratio β€œ0%” of the figure FG30 corresponding to the index number β€œ01” is understood by reading the table of relation between index numbers and changing ratio (see FIG. 12B). Consequently, in the example shown in FIGS. 12A and 12B, changing the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figure FG30 (see FIGS. 11A and 11B) belonging to the cell CL1 (see FIGS. 11A and 11B) as well as the figure FG01 (see FIG. 8A) being unnecessary, is understood. In the example shown in FIGS. 12A and 12B, a data of cell CL2 (see FIG. 12A) in the cell reference information (see FIG. 12A) includes at least a position of the cell CL2 (see FIGS. 11A and 11C) and a pointer for linking up with a portion concerning the cell CL2 in the cell pattern data (see FIG. 12A). Accordingly, as shown in FIG. 12A by an arrow, a header (see FIG. 12A) of the portion concerning the cell CL2 in the cell pattern data can be read by reading the pointer included in the data of the cell CL2. Then, in the example shown in FIGS. 12A and 12B, the index number β€œ02” of the figures FG40, FG41, FG42, FG43, FG44, FG45, FG46, FG47 (see FIGS. 11A and 11C) belonging to the cell CL2 (see FIGS. 11A and 11C) is understood by reading an index number list (see FIG. 12A) concerning the cell CL2 in the cell pattern data. The changing ratio β€œ+20%” of the figures FG40, FG41, FG42, FG43, FG44, FG45, FG46, FG47 corresponding to the index number β€œ02” is understood by reading the table of relation between index numbers and changing ratio (see FIG. 12B). Consequently, in the example shown in FIGS. 12A and 12B, changing the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figures FG40, FG41, FG42, FG43, FG44, FG45, FG46, FG47 (see FIGS. 11A and 11C) belonging to the cell CL2 (see FIGS. 11A and 11C) as well as the figure FG02 (see FIG. 8A) being necessary, is understood. In detail, in the example shown in FIGS. 12A and 12B, the area of the figures FG40, FG41, FG42, FG43, FG44, FG45, FG46, FG47 (see FIGS. 11A and 11C) is changed by the figure area changing portion 10b1b2a (see FIG. 2), so that the area of the figures FG40, FG41, FG42, FG43, FG44, FG45, FG46, FG47 (see FIGS. 11A and 11C) is 20 percent increased on the basis of the changing ratio β€œ+20%”. Then, proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figures FG40, FG41, FG42, FG43, FG44, FG45, FG46, FG47 (see FIGS. 11A and 11C) calculated by the proximity effect correction dose calculating portion 10b1b3 (see FIG. 2) is changed by the proximity effect correction dose changing portion 10b1b4 (see FIG. 2), so that the proximity effect correction dose of the charged particle beam for drawing the patterns corresponding to the figures FG40, FG41, FG42, FG43, FG44, FG45, FG46, FG47 (see FIGS. 11A and 11C) is 20 percent increased on the basis of the changing ratio β€œ+20%”. In the example shown in FIGS. 12A and 12B, a data of cell CL3 (see FIG. 12A) in the cell reference information (see FIG. 12A) includes at least a position of the cell CL3 (see FIGS. 11A and 11D) and a pointer for linking up with a portion concerning the cell CL3 in the cell pattern data (see FIG. 12A). Accordingly, as shown in FIG. 12A by an arrow, a header (see FIG. 12A) of the portion concerning the cell CL3 in the cell pattern data can be read by reading the pointer included in the data of the cell CL3. Then, in the example shown in FIGS. 12A and 12B, the index number β€œ03” of the figures FG50, FG51 (see FIGS. 11A and 11D) belonging to the cell CL3 (see FIGS. 11A and 11D) is understood by reading an index number list (see FIG. 12A) concerning the cell CL3 in the cell pattern data. The changing ratio β€œ+40%” of the figures FG50, FG51 corresponding to the index number β€œ03” is understood by reading the table of relation between index numbers and changing ratio (see FIG. 12B). Consequently, in the example shown in FIGS. 12A and 12B, changing the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figures FG50, FG51 (see FIGS. 11A and 11D) belonging to the cell CL3 (see FIGS. 11A and 11D) as well as the figure FG02 (see FIG. 8A) being necessary, is understood. In detail, in the example shown in FIGS. 12A and 12B, the area of the figures FG50, FG51 (see FIGS. 11A and 11D) is changed by the figure area changing portion 10b1b2a (see FIG. 2), so that the area of the figures FG50, FG51 (see FIGS. 11A and 11D) is 40 percent increased on the basis of the changing ratio β€œ+40%”. Then, proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figures FG50, FG51 (see FIGS. 11A and 11D) calculated by the proximity effect correction dose calculating portion 10b1b3 (see FIG. 2) is changed by the proximity effect correction dose changing portion 10b1b4 (see FIG. 2), so that the proximity effect correction dose of the charged particle beam for drawing the patterns corresponding to the figures FG50, FG51 (see FIGS. 11A and 11D) is 40 percent increased on the basis of the changing ratio β€œ+40%”. FIGS. 13A and 13B show an another example in which changing the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to figures FG30, FG40, FG41, FG42, FG43, FG44, FG45, FG46, FG47, FG50, FG51 (see FIGS. 11A, 11B, 11C and 11D) being necessary or unnecessary is distinguished. In detail, FIG. 13A shows a part of a list of the drawing data D (see FIG. 1). FIG. 13B shows a table of relation between index numbers and changing ratio included in the drawing data D. In the example shown in FIGS. 13A and 13B, a data of cell CL1 (see FIG. 13A) in a cell reference information (see FIG. 13A) includes at least an index number list (see FIG. 13A) of the cell CL1 (see FIGS. 11A and 11B), a position information (see FIG. 13A) of the cell CL1, and a pointer (see FIG. 13A) for linking up with a portion concerning the cell CL1 in a cell pattern data (see FIG. 13A). Accordingly, an index number β€œ01” of the cell CL1 (see FIGS. 11A and 11B) is understood by reading the index number list (see FIG. 13A) of the cell CL1. A changing ratio β€œ0%” corresponding to the index number β€œ01” is understood by reading the table of relation between index numbers and changing ratio (see FIG. 13B). As shown in FIG. 13A by an arrow, a header (see FIG. 13A) of the portion concerning the cell CL1 in the cell pattern data can be read by reading the pointer included in the data of the cell CL1. The figure FG30 (see FIGS. 11A and 11B) belonging to the cell CL1 (see FIGS. 11A and 11B) is understood by reading the portion concerning the cell CL1 in the cell pattern data (see FIG. 13A). The changing ratio β€œ0%” of the figure FG30 belonging to the cell CL1 is understood. Consequently, in the example shown in FIGS. 13A and 13B, changing the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figure FG30 (see FIGS. 11A and 11B) belonging to the cell CL1 (see FIGS. 11A and 11B) as well as the figure FG01 (see FIG. 8A) being unnecessary, is understood. In the example shown in FIGS. 13A and 13B, a data of cell CL2 (see FIG. 13A) in the cell reference information (see FIG. 13A) includes at least an index number list (see FIG. 13A) of the cell CL2 (see FIGS. 11A and 11C), a position information (see FIG. 13A) of the cell CL2, and a pointer (see FIG. 13A) for linking up with a portion concerning the cell CL2 in the cell pattern data (see FIG. 13A). Accordingly, an index number β€œ02” of the cell CL2 (see FIGS. 11A and 11C) is understood by reading the index number list (see FIG. 13A) of the cell CL2. A changing ratio β€œ+20%” corresponding to the index number β€œ02” is understood by reading the table of relation between index numbers and changing ratio (see FIG. 13B). As shown in FIG. 13A by an arrow, a header (see FIG. 13A) of the portion concerning the cell CL2 in the cell pattern data can be read by reading the pointer included in the data of the cell CL2. The figures FG40, FG41, FG42, FG43, FG44, FG45, FG46, FG47 (see FIGS. 11A and 11C) belonging to the cell CL2 (see FIGS. 11A and 11C) is understood by reading the portion concerning the cell CL2 in the cell pattern data (see FIG. 13A). The changing ratio β€œ+20%” of the figures FG40, FG41, FG42, FG43, FG44, FG45, FG46, FG47 belonging to the cell CL2 is understood. Consequently, in the example shown in FIGS. 13A and 13B, changing the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figures FG40, FG41, FG42, FG43, FG44, FG45, FG46, FG47 (see FIGS. 11A and 11C) belonging to the cell CL2 (see FIGS. 11A and 11C) as well as the figure FG02 (see FIG. 8A) being necessary, is understood. In the example shown in FIGS. 13A and 13B, a data of cell CL3 (see FIG. 13A) in the cell reference information (see FIG. 13A) includes at least an index number list (see FIG. 13A) of the cell CL3 (see FIGS. 11A and 11D), a position information (see FIG. 13A) of the cell CL3, and a pointer (see FIG. 13A) for linking up with a portion concerning the cell CL3 in the cell pattern data (see FIG. 13A). Accordingly, an index number β€œ03” of the cell CL3 (see FIGS. 11A and 11D) is understood by reading the index number list (see FIG. 13A) of the cell CL3. A changing ratio β€œ+40%” corresponding to the index number β€œ03” is understood by reading the table of relation between index numbers and changing ratio (see FIG. 13B). As shown in FIG. 13A by an arrow, a header (see FIG. 13A) of the portion concerning the cell CL3 in the cell pattern data can be read by reading the pointer included in the data of the cell CL3. The figures FG50, FG51 (see FIGS. 11A and 11D) belonging to the cell CL3 (see FIGS. 11A and 11D) is understood by reading the portion concerning the cell CL3 in the cell pattern data (see FIG. 13A). The changing ratio β€œ+40%” of the figures FG50, FG51 belonging to the cell CL3 is understood. Consequently, in the example shown in FIGS. 13A and 13B, changing the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figures FG50, FG51 (see FIGS. 11A and 11D) belonging to the cell CL3 (see FIGS. 11A and 11D) as well as the figure FG02 (see FIG. 8A) being necessary, is understood. FIGS. 14A and 14B show an another example in which changing the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to figures FG30, FG40, FG41, FG42, FG43, FG44, FG45, FG46, FG47, FG50, FG51 (see FIGS. 11A, 11B, 11C and 11D) being necessary or unnecessary is distinguished. In detail, FIG. 14A shows a part of a list of the drawing data D (see FIG. 1). FIG. 14B shows a table of relation between index numbers and changing ratio included in the drawing data D. In the example shown in FIGS. 14A and 14B, a data of cell CL1 (see FIG. 14A) in a cell reference information (see FIG. 14A) includes at least a position of the cell CL1, and a pointer for linking up with a portion concerning the cell CL1 in a link reference information (see FIG. 14A). Accordingly, as shown in FIG. 14A by an arrow, the portion concerning the cell CL1 in the link reference information (see FIG. 14A) can be read by reading the pointer included in the data of the cell CL1 (see FIG. 14A). The portion concerning the cell CL1 (see FIG. 11A and 11B) in the link reference information (see FIG. 14A) includes at least a pointer (see FIG. 14A) for linking up with a header (see FIG. 14A) of a portion concerning the cell CL1 in a cell pattern data (see FIG. 14A), and an index number list (see FIG. 14A) of the cell CL1. Therefore, an index number β€œ01” of the cell CL1 (see FIGS. 14A and 14B) is understood by reading the index number list (see FIG. 14A) of the cell CL1. As shown in FIG. 14A by an arrow, the header of the portion concerning the cell CL1 in the cell pattern data (see FIG. 14A) can be read by reading the pointer included in the portion concerning the cell CL1 in the link reference information. The figure FG30 (see FIGS. 11A and 11B) belonging to the cell CL1 (see FIGS. 11A and 11B) is understood by reading the portion concerning the cell CL1 in the cell pattern data (see FIG. 14A). The changing ratio β€œ0%” of the figure FG30 belonging to the cell CL1 is understood. Consequently, in the example shown in FIGS. 14A and 14B, changing the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figure FG30 (see FIGS. 11A and 11B) belonging to the cell CL1 (see FIGS. 11A and 11B) as well as the figure FG01 (see FIG. 8A) being unnecessary, is understood. In the example shown in FIGS. 14A and 14B, a data of cell CL2 (see FIG. 14A) in the cell reference information (see FIG. 14A) includes at least a position of the cell CL2, and a pointer for linking up with a portion concerning the cell CL2 in the link reference information (see FIG. 14A). Accordingly, as shown in FIG. 14A by an arrow, the portion concerning the cell CL2 in the link reference information (see FIG. 14A) can be read by reading the pointer included in the data of the cell CL2 (see FIG. 14A). The portion concerning the cell CL2 (see FIG. 11A and 11C) in the link reference information (see FIG. 14A) includes at least a pointer (see FIG. 14A) for linking up with a header (see FIG. 14A) of a portion concerning the cell CL2 in the cell pattern data (see FIG. 14A), and an index number list (see FIG. 14A) of the cell CL2. Therefore, an index number β€œ02” of the cell CL2 (see FIGS. 14A and 14C) is understood by reading the index number list (see FIG. 14A) of the cell CL2. As shown in FIG. 14A by an arrow, the header of the portion concerning the cell CL2 in the cell pattern data (see FIG. 14A) can be read by reading the pointer included in the portion concerning the cell CL2 in the link reference information. The figures FG40, FG41, FG42, FG43, FG44, FG45, FG46, FG47 (see FIGS. 11A and 11C) belonging to the cell CL2 (see FIGS. 11A and 11C) is understood by reading the portion concerning the cell CL2 in the cell pattern data (see FIG. 14A). The changing ratio β€œ+20%” of the figures FG40, FG41, FG42, FG43, FG44, FG45, FG46, FG47 belonging to the cell CL2 is understood. Consequently, in the example shown in FIGS. 14A and 14B, changing the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figures FG40, FG41, FG42, FG43, FG44, FG45, FG46, FG47 (see FIGS. 11A and 11C) belonging to the cell CL2 (see FIGS. 11A and 11C) as well as the figure FG02 (see FIG. 8A) being necessary, is understood. In the example shown in FIGS. 14A and 14B, a data of cell CL3 (see FIG. 14A) in the cell reference information (see FIG. 14A) includes at least a position of the cell CL3, and a pointer for linking up with a portion concerning the cell CL3 in the link reference information (see FIG. 14A). Accordingly, as shown in FIG. 14A by an arrow, the portion concerning the cell CL3 in the link reference information (see FIG. 14A) can be read by reading the pointer included in the data of the cell CL3 (see FIG. 14A). The portion concerning the cell CL3 (see FIG. 11A and 11D) in the link reference information (see FIG. 14A) includes at least a pointer (see FIG. 14A) for linking up with a header (see FIG. 14A) of a portion concerning the cell CL3 in the cell pattern data (see FIG. 14A), and an index number list (see FIG. 14A) of the cell CL3. Therefore, an index number β€œ03” of the cell CL3 (see FIGS. 14A and 14D) is understood by reading the index number list (see FIG. 14A) of the cell CL3. As shown in FIG. 14A by an arrow, the header of the portion concerning the cell CL3 in the cell pattern data (see FIG. 14A) can be read by reading the pointer included in the portion concerning the cell CL3 in the link reference information. The figures FG50, FG51 (see FIGS. 11A and 11D) belonging to the cell CL3 (see FIGS. 11A and 11D) is understood by reading the portion concerning the cell CL3 in the cell pattern data (see FIG. 14A). The changing ratio β€œ+40%” of the figures FG50, FG51 belonging to the cell CL3 is understood. Consequently, in the example shown in FIGS. 14A and 14B, changing the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figures FG50, FG51 (see FIGS. 11A and 11D) belonging to the cell CL3 (see FIGS. 11A and 11D) as well as the figure FG02 (see FIG. 8A) being necessary, is understood. In an example shown in FIGS. 15A and 15B, a changing ratio map (see FIG. 15A) having meshes m00, m10, m20, m30, m40, m50, m60, m01, m11, m21, m31, m41, m51, m61, m02, m12, m22, m32, m42, m52, m62 (see FIG. 15A) is formed, for example, the size of each mesh being 100 ΞΌmΓ—100 ΞΌm (see FIG. 15A), as shown in FIG. 15A, so that changing the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to figures included in the drawing data D (see FIG. 1) being necessary or unnecessary is distinguished. In detail, in the example shown in FIGS. 15A and 15B, the changing ratio map (see FIG. 15A) having meshes m00, m10, m20, m30, m40, m50, m60, m01, m11, m21, m31, m41, m51, m61, m02, m12, m22, m32, m42, m52, m62 (see FIG. 15A) is formed, so that figures included in the drawing data D (see FIG. 1) are placed in the changing ratio map. In the example shown in FIGS. 15A and 15B, the changing ratio corresponding to the meshes m00, m10, m50, m60, m01, m11, m51, m61, m02, m12, m52, m62 (see FIG. 15A) is 0% (see FIG. 15B). Accordingly, in the example shown in FIGS. 15A and 15B, changing the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figures placed in the meshes m00, m10, m50, m60, m01, m11, m51, m61, m02, m12, m52, m62 (see FIG. 15A) as well as the figure FG01 (see FIG. 8A) being unnecessary, is understood. In the example shown in FIGS. 15A and 15B, the changing ratio corresponding to the meshes m20, m30, m40, m21, m41, m22, m32, m42 (see FIG. 15A) is +5% (see FIG. 15B). Accordingly, in the example shown in FIGS. 15A and 15B, changing the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figures placed in the meshes m20, m30, m40, m21, m41, m22, m32, m42 (see FIG. 15A) as well as the figure FG02 (see FIG. 8A) being necessary, is understood. In detail, in the example shown in FIGS. 15A and 15B, the area of the figures placed in the meshes m20, m30, m40, m21, m41, m22, m32, m42 (see FIG. 15A) is changed by the figure area changing portion 10b1b2a (see FIG. 2), so that the area of the figures placed in the meshes m20, m30, m40, m21, m41, m22, m32, m42 (see FIG. 15A) is 5 percent increased on the basis of the changing ratio β€œ+5%”. Then, proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figures placed in the meshes m20, m30, m40, m21, m41, m22, m32, m42 (see FIG. 15A) calculated by the proximity effect correction dose calculating portion 10b1b3 (see FIG. 2) is changed by the proximity effect correction dose changing portion 10b1b4 (see FIG. 2), so that the proximity effect correction dose of the charged particle beam for drawing the patterns corresponding to the figures placed in the meshes m20, m30, m40, m21, m41, m22, m32, m42 (see FIG. 15A) is 5 percent increased on the basis of the changing ratio β€œ+5%”. In the example shown in FIGS. 15A and 15B, the changing ratio corresponding to the mesh m31 (see FIG. 15A) is +10% (see FIG. 15B). Accordingly, in the example shown in FIGS. 15A and 15B, changing the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figures placed in the mesh m31 (see FIG. 15A) as well as the figure FG02 (see FIG. 8A) being necessary, is understood. In detail, in the example shown in FIGS. 15A and 15B, the area of the figures placed in the mesh m31 (see FIG. 15A) is changed by the figure area changing portion 10b1b2a (see FIG. 2), so that the area of the figures placed in the mesh m31 (see FIG. 15A) is 10 percent increased on the basis of the changing ratio β€œ+10%”. Then, proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figures placed in the mesh m31 (see FIG. 15A) calculated by the proximity effect correction dose calculating portion 10b1b3 (see FIG. 2) is changed by the proximity effect correction dose changing portion 10b1b4 (see FIG. 2), so that the proximity effect correction dose of the charged particle beam for drawing the patterns corresponding to the figures placed in the mesh m31 (see FIG. 15A) is 10 percent increased on the basis of the changing ratio β€œ+10%”. FIGS. 16A, 16B, 16C and 16D explain the proximity effect correcting process performed by the proximity effect correcting portion 10b1b in the charged particle beam drawing apparatus 10 of the first embodiment, if figures FG60, FG61 are placed in the same mesh Ma of the proximity effect correcting map, wherein changing the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figure FG60 is necessary, and changing the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figure FG61 is unnecessary. In the charged particle beam drawing apparatus 10 of the first embodiment, if the figures FG60, FG61 (see FIG. 16A) are placed in the same mesh Ma (see FIG. 16A) of the proximity effect correcting map (see FIG. 16A), the area of the figure FG60 (see FIGS. 16A and 16B) is changed by the figure area changing portion 10b1b2a (see FIG. 2), so that the area of the figure FG60 (see FIGS. 16A and 16B) is xa percent increased on the basis of the changing ratio β€œ+xa %”, but the area of the figure FG61 (see FIGS. 16A and 16B) is not changed by the figure area changing portion 10b1b2a (see FIG. 2). Then, in the charged particle beam drawing apparatus 10 of the first embodiment, a representative figure faβ€² (see FIG. 16C) is formed by the representative figure forming portion 10b1b2 (see FIG. 2), wherein area of the representative figure faβ€² (see FIG. 16C) is equal to gross area of figures FG60β€², FG61 (see FIG. 16B), and wherein the figure FG60β€² (see FIG. 16B) is formed by xa percent increasing the area of the figure FG60 (see FIGS. 16A and 16B). Then, in the charged particle beam drawing apparatus 10 of the first embodiment, proximity effect correction dose Daβ€² (see FIG. 16D) of the charged particle beam for drawing patterns corresponding to the figures FG60, FG61 (see FIG. 16A) is calculated by the proximity effect correction dose calculating portion 10b1b3 (see FIG. 2), on the basis of area of the representative figure faβ€² (see FIG. 16C). Then, in the charged particle beam drawing apparatus 10 of the first embodiment, the proximity effect correction dose Daβ€² (see FIG. 16D) of the charged particle beam for drawing patterns corresponding to the figure FG60 (see FIG. 16A) calculated by the proximity effect correction dose calculating portion 10b1b3 (see FIG. 2) is changed by the proximity effect correction dose changing portion 10b1b4 (see FIG. 2), so that the proximity effect correction dose Daβ€² (see FIG. 16D) of the charged particle beam for drawing patterns corresponding to the figure FG60 (see FIG. 16A) is xa percent increased on the basis of the changing ratio β€œ+xa %”. Accordingly, in the charged particle beam drawing apparatus 10 of the first embodiment, the proximity effect correction dose Daβ€³ (see FIG. 16D) of the charged particle beam for drawing patterns corresponding to the figure FG60 (see FIG. 16A) is obtained (Daβ€³=Daβ€²Γ—(1+xa/100)). In the charged particle beam drawing apparatus 10 of the first embodiment, the proximity effect correction dose Daβ€² (see FIG. 16D) of the charged particle beam for drawing patterns corresponding to the figure FG61 (see FIG. 16A) is not changed by the proximity effect correction dose changing portion 10b1b4 (see FIG. 2). In other words, in the charged particle beam drawing apparatus 10 of the first embodiment, if the figures FG60, FG61 (see FIG. 16A) are placed in the same mesh Ma (see FIG. 16A) of the proximity effect correcting map (see FIG. 16A), wherein changing the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figure FG60 (see FIG. 16A) is necessary, and changing the proximity effect correction dose of the charged particle beam for drawing patterns corresponding to the figure FG61 (see FIG. 16A) is unnecessary, the proximity effect correction dose Daβ€² (see FIG. 16D) of the charged particle beam for drawing patterns corresponding to the figure FG61 (see FIG. 16A) is obtained by the proximity effect correction dose calculating portion 10b1b3 (see FIG. 2), and the proximity effect correction dose Daβ€³ (see FIG. 16D) of the charged particle beam for drawing patterns corresponding to the figure FG60 (see FIG. 16A) is obtained by the proximity effect correction dose calculating portion 10b1b3 (see FIG. 2) and the proximity effect correction dose changing portion 10b1b4 (see FIG. 2), respectively. Accordingly, in the charged particle beam drawing apparatus 10 of the first embodiment, the proximity effect correction dose Daβ€² (see FIG. 16D) of the charged particle beam for drawing patterns corresponding to the figure FG61 (see FIG. 16A), and the proximity effect correction dose Daβ€³ (see FIG. 16D) of the charged particle beam for drawing patterns corresponding to the figure FG60 (see FIG. 16A), can be made optimum. FIG. 17A shows an example wherein three chips CP01, CP02, CP03, which have the same size, are placed in the same position in the drawing data D (see FIG. 1). In FIG. 17B, the chip CP01 is selected from the three chips CP01, CP02, CP03 (see FIG. 17A). In FIG. 17C, the chip CP02 is selected from the three chips CP01, CP02, CP03 (see FIG. 17A). In FIG. 17D, the chip CP03 is selected from the three chips CP01, CP02, CP03 (see FIG. 17A). In the example shown in FIGS. 17A, 17B, 17C and 17D, a cell CL30 including a plurality of figures belongs to the chip CP01, cells CL40, CL41, CL42, CL43, CL44, CL45, CL46, CL47 including a plurality of figures belong to the chip CP02, and cells CL50, CL51 including a plurality of figures belong to the chip CP03. In the example shown in FIGS. 17A, 17B, 17C and 17D, the plurality of figures included in the cell CL30 belonging to the chip CP01 correspond to the β€œindex number 01” (see FIG. 12B), the plurality of figures included in the cells CL40, CL41, CL42, CL43, CL44, CL45, CL46, CL47 belonging to the chip CP02 correspond to the β€œindex number 02” (see FIG. 12B), and the plurality of figures included in the cells CL50, CL51 belonging to the chip CP03 correspond to the β€œindex number 03” (see FIG. 12B). Namely, in the charged particle beam drawing apparatus 10 of the first embodiment, the proximity effect correcting process is performed in the same way as in the example shown in FIGS. 8A, 8B, 8C, 9A, 9B, 9C, 16A, 16B, 16C and 16D, if the correction error appears to the patterns locally in the unit drawing area, the size of the unit drawing area corresponding to the size of a frame of the chips CP01, CP02, CP03 (see FIGS. 17A, 17B, 17C and 17D) (in detail, if the correction error locally appears to the patterns corresponding to figures included in the cells CL40, CL41, CL42, CL43, CL44, CL45, CL46, CL47, CL50, CL51 (see FIGS. 17A, 17B, 17C and 17D), in the unit drawing area). Accordingly, in the charged particle beam drawing apparatus 10 of the first embodiment, the correction error, which appears to the patterns locally in the unit drawing area, can be solved, wherein the size of the unit drawing area corresponds to the size of the frame of the chips CP01, CP02, CP03 (see FIGS. 17A, 17B, 17C and 17D). In the charged particle beam drawing apparatus 10 of the third embodiment, above mentioned first and second embodiments, and examples are appropriately combined. As many apparently widely different embodiments of this invention may be made without departing from the spirit and scope thereof, it is to be understood that the invention is not limited to the specific embodiments thereof except as defined in the appended claims.
summary
summary
claims
1. An X-ray diffraction apparatus comprising:a soller slit having a plurality of thin plates, each thin plate being perpendicular to a bottom surface and arcuately arranged with a predetermined angular interval between each other so as to pass X-rays in a radiating direction from a particular focus, the soller slit being provided at a position through which X-rays diffracted on a sample surface pass, the particular focus being the center of a goniometer circle, the sample being irradiated with the X-rays at an angle for GIXD (Grazing Incident X-ray Diffraction);an X-ray source configured to irradiate line-focused X-rays on the sample;an in-plane arm rotatably provided relative to the center of the goniometer circle; anda photon-counting two dimensional detector provided on the in-plane arm and configured to detect diffracted X-rays which have passed through the soller slit, whereinthe soller slit is provided at a position through which X-rays diffracted on a crystal lattice plane perpendicular to the sample surface in the sample pass and a position where a diffraction angle resolution of the diffracted X-rays is depended on, a resolution in an in-plane direction of the soller slit being equal to or lower than 1Β°, the particular focus being the center of the goniometer circle, the X-rays being irradiated on the sample at an angle for GIXD (Grazing Incident X-ray Diffraction), andthe X-ray diffraction apparatus detects the diffracted X-rays with driving the in-plane arm. 2. The X-ray diffraction apparatus according to claim 1, further comprising:a TDI control unit configured to calculate a detected X-ray intensity on a detected position in space, in accordance with the detected position of the X-ray intensity on the detector and the rotation angle of the in-plane arm. 3. The X-ray diffraction apparatus according to claim 1, further comprising:a swing mechanism having the soller slit to allow the soller slit to swing with the particular focus as a center. 4. The X-ray diffraction apparatus according to claim 1, further comprising:a sample table that rotatably supports the sample around an axis perpendicular to the sample surface, whereinthe sample table is rotated in accordance with the rotation of the in-plane arm, and the sample is rotated around an axis perpendicular to the sample surface. 5. The X-ray diffraction apparatus according to claim 1, further comprising:a multilayer mirror that shapes the X-rays to be irradiated in a monochromatic and parallel beam, andan in-plane PSC that increase the parallelism of the shaped X-rays. 6. A method of measuring diffracted X-rays using a soller slit having a plurality of thin plates, each thin plate being perpendicular to a bottom surface and arcuately arranged with a predetermined angular interval between each other so as to pass X-rays in a radiating direction from a particular focus, the soller slit being provided at a position through which X-rays diffracted on a crystal lattice plane perpendicular to the sample surface in the sample pass and a position where a diffraction angle resolution of the diffracted X-rays is depended on, a resolution in an in-plane direction of the soller slit being equal to or lower than 1Β°, the particular focus being the center of a goniometer circle, the sample being irradiated with the X-rays at an angle for GIXD (Grazing Incident X-ray Diffraction);the method comprising:a step of providing the soller slit at a position through which X-rays diffracted on a sample surface pass, the particular focus being the center of a goniometer circle; anda step of irradiating line-focused X-rays on the sample at an angle for GIXD (Grazing Incident X-ray Diffraction), and detecting X-rays diffracted by the sample, with a photon-counting two-dimensional detector via the soller slit in accordance with the rotation of the in-plane arm rotatably provided relative to the center of the goniometer circle.
053435052
description
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS A nuclear reactor core is located in a vessel 1 placed in a concrete walled well or shaft 2. The floor or foundation 3 extends beneath the well 2 and below the remainder of the power station. The recovery device is included in the floor 3 and comprises partitions 4 normally constituted by parallel steel plates defining narrow, elongated, empty volumes 5, which alternate with coolant channels 6. The coolant is normally water. Each of the coolant channels 6 is surmounted by a refractory concrete layer 7 and a continuous silica concrete layer 8 surmounts the refractory concrete layers 7, as well as the empty volumes 5. The silica concrete layer 8 can sufficiently deaden the shock of the falling molten material, while partly absorbing the heat thereof, so that the remainder of the recovery device is not exposed to excessive temperatures. As illustrated in FIG. 1, a refractory concrete enclosure 9 is placed at the bottom lateral sides of the well 2 and is in contact with the ends of the refractory concrete layers 7. It makes it possible to confine the molten mass preventing it from attacking the less resistant lateral walls of the well 2. The coolant channels 6 are connected by at least one pipe 10 common to a coolant source 11, which can be of a random nature such as a watercourse, an artificial lake or a sump of the power station. In the latter case it is conceivable that the sump would be empty during normal operation and would only be filled during an accident during which case the pipe 10 is open. However, it is more reliable to use permanently filled sumps and if then the water level is too high, valves 12 or other sealing devices can close the pipe 10 during normal periods. It is then advantageous for the valves 12 or said devices to open automatically, i.e. are controlled by a device sensitive to the temperature rise in the area of the coolant channels 6 or provided with fusible parts, which disintegrate when the neighbouring area is heated. An outlet 13, formed by at least one pipe opposite to the preceding pipe 10, makes it possible to freely give off the vapour produced by the heating process into the atmosphere. The operation of the recovery device in the case of an accident firstly involves the destruction of the continuous silica concrete layer 8 and then the molten material penetrates the empty volumes 5 without being able to attack the refractory concrete layers 7. This viscous molten material is then decelerated by the partitions 4 and only descends slowly, particularly as it is rapidly exposed to the cooling process, which significantly increases the molten material viscosity. The partitions 4 may be locally pierced by the very hot material, but this will not lead to any significant disturbance, because the material which comes into contact with the coolant will be exposed to an even faster cooling operation, which will solidify the material and transform it into a plug obstructing the opening. Therefore it is pointless to protect the partitions 4 by covering them with refractory material, which would significantly slow down the cooling process. The evacuation of the vapour permits a permanent and automatic replenishment of the cold coolant from the source 11 through the coolant channels 6, in order to compensate for the unbalance of the hydrostatic pressures. Circulation is purely natural and no pump or similar device is used. The aim is to solidify the core material when it is only occupying part of the empty volumes 5. However, if necessary, cooling can continue until the empty volumes 5 are completely filled. A refractory concrete plate 14 is located below the empty volumes 5 and the coolant channels 6 so as to provide a final protection for the floor 3 before it can be attacked. The rectilinear partitions 4 defining the channel-like empty volumes 5 have the advantage of permitting a significant cooling flow and a large heat absorption fluid volume. Moreover, the recovery device can be elongated in accordance with the slightly different construction shown in FIGS. 3 and 4. The refractory concrete enclosure 9 is supported by a foundation 15, which is also visible in FIG. 2, but which is in this case not continuous and instead has an interruption 16, whose width substantially corresponds to the internal diameter of the refractory concrete enclosure 9. The partitions 4 and the empty volumes 5, as well as the coolant channels 6 which they define, extend below the interruption 16 and out of the foundation 15 and the vertical of the well 2. This arrangement enables the molten core to spread to a greater extent and to be cooled more rapidly. The refractory concrete enclosure 9 is subject to a sufficiently small overhang to be permitted. FIG. 4 shows how the pipe 10 and the outlet 13 are connected to the ends of the coolant channels 6. The empty volumes 5 are isolated from the pipe 10 and the outlet 13 by the walls 17.
046541918
description
DESCRIPTION OF THE PREFERRED EMBODIMENT FIG. 1 shows a small portion of the wall 1 of the safety containment of a pressurized water nuclear reactor. The wall 1, that is, the safety containment, has a spherical shape and consists of construction steel of medium quality. A pressure release pipe 2 extends through the containment wall 1 into the interior 20 of the containment and has an inner end 3 in an area where its axis is disposed about parallel to the wall 1. A closure plate 4, with which the pipe 2 is closed, extends essentially normal to the wall 1. The closure plate 4 is welded to a girder beam 6 of a U-shaped mounting structure 5 having a sturdy reinforced bracket section 8 welded to the inside of the containment wall 1 so as to extend normal therefrom and an intermediate section 7 provided with a flex joint area 9, the intermediate section 7 of the U-shaped structure 5 being remote from the wall 1 so that the open area 10 of the U-shaped structure is adjacent the wall 1. From its inner ends with which the pipe 2 is welded to the girder beam 6, the pipe extends through the bracket section 8 to which it is also welded. In the area of the pipe 2, which is disposed between the girder beam 6 and the bracket section 8, the pipe is provided with at least one circumferential groove 11 providing a reduced thickness wall area so as to form a breaking point, adapted to rupture when the girder beam 6 is pulled away from the bracket section 8 as it is shown in FIG. 2. Next to the welded closure plate 4 the girder beam 6 has an opening 12 through which one threaded end section 15 of a drawbar 13 extends which is provided with counternuts 14 tightened together with predetermined free motion gap to the girder beam 6. The drawbar 13 extends chord-like with respect to the spherical containment walls and has its other end section 16 mounted to a wall bracket 18 to which it is fastened by nuts 17, the wall bracket 18 being welded to the containment wall 1. OPERATION OF THE VALVE During an increase of pressure in the safety containment beyond its predetermined design limits, the containment wall 1 begins to stretch and grow. The drawbar free motion length is so selected that containment wall growth within elastic or even within slight plastic deformation is permitted without opening of the valve but that, at about 0.5% containment wall stretch, the pipe is ruptured at the design breaking point 11 by lever action of the girder beam 6 engaged by the drawbar 13. At 2% containment wall growth the pipe walls are separated at the breaking point to such a degree that, with a pipe diameter 20 of 20 cm, an annular gap 19 with a release flow cross-section of about 200 cm.sup.2 is formed. The play at the end of the drawbar may be set as desired by adjustment of the counternuts 14. Accordingly, the point of valve opening may be adjusted in either direction as desired. With regard to their geometry and materials, the drawbar and wall breaking point area are so dimensioned and designed that the pipe ruptures at the breaking point, preferably after a containment wall growth of at least 0.5% whereas the drawbar 13 remains in an elastic stress range. cl LIST OF REFERENCE NUMERALS 1 Containment wall PA0 2 Release pipe PA0 3 Inner end PA0 4 Closure plate PA0 5 Mounting structure PA0 6 Girder beam PA0 7 Intermediate section PA0 8 Bracket section PA0 9 Flex joint area PA0 10 Open area PA0 11 Breaking point PA0 12 Opening PA0 13 Drawbar PA0 14 Counternut PA0 15 One drawbar and section PA0 16 Other drawbar and section PA0 17 Nut PA0 18 Wall bracket PA0 19 Annular gap PA0 20 Containment interior
claims
1. A system for providing a particle beam to a patient, comprising:a first device to limit horizontal application of the particle beam;a second device to provide vertical compensation for the first device relative to the patient, the second device comprising a mounting structure, and the first device being substantially aligned to the second device at the mounting structure;a stationary yoke comprising a first end and a second end, the stationary yoke attaching to the first device and the second device; anda catch arm attached pivotally secured to the first end of the yoke, the catch arm being configured to attach to the first device and the second device, and the catch arm being configured to pivot about the first end of the stationary yoke both towards, and away from, the mounting structure. 2. The system of claim 1, wherein the first device comprises a patient aperture having an area for inhibiting passage of the particle beam; andwherein the second device comprises a range compensator that affects a distance between the patient and the first device. 3. The system of claim 1, wherein the first device comprises first notch features and the mounting structure comprises second notch features, the first notch features aligning to the second notch features; andwherein the stationary yoke comprises a first structure configured to mate to the first notch features that are aligned and the catch arm comprises a second structure configured to mate to the second notch features that are aligned. 4. The system of claim 1, wherein the first device, the second device, the stationary yoke, and the catch arm form an integrated structure; andwherein the system further comprises a C-shaped inner gantry on which the integrated structure is mounted. 5. The system of claim 1, wherein the stationary yoke comprises an indicator switch to detect locked and unlocked positions of the catch arm. 6. The system of claim 1, wherein the mounting structure comprises a rim and wherein the stationary yoke and the catch arm each comprise a groove to which the rim interfaces. 7. The system of claim 1, further comprising a catch arm locking feature defined by the yoke to inhibit rotation of the catch arm, the catch arm locking feature for engaging a catch arm lock, the catch arm lock comprising a latch plate that is positionable to engage the catch arm locking feature. 8. The system of claim 7, wherein the catch arm locking feature is configured to spring bias the latch plate. 9. The system of claim 7, further comprising at least one spring biased plunger on the stationary yoke and configured to urge the first device against the locking feature. 10. A system for providing a particle beam to a patient, comprising:a structure to limit a delivery area of the particle beam to the patient, the structure comprising a rim and notches around the rim; andan arm having a shape that corresponds to a shape of at least part of a perimeter of the structure, the arm having a groove that mates to the rim and protrusions that mate to the notches, the arm comprising a first part and a second part, the first part being configured to pivot relative to the second part. 11. The system of claim 10, wherein the structure comprises a patient aperture having an area for inhibiting passage of the particle beam; andwherein the structure comprises a range compensator that affects a distance between the patient and the first device. 12. The system of claim 11, wherein the notches comprise first notches on the patient aperture and second notches on the range compensator, the first notches aligning to the second notches; andwherein the protrusions comprise a first protrusion configured to mate to the first notches that are aligned and a second protrusion configured to mate to the second notches that are aligned. 13. The system of claim 10, wherein the structure and the arm form an integrated structure; andwherein the system further comprises a C-shaped inner gantry on which the integrated structure is mounted. 14. The system of claim 10, wherein the arm comprises an indicator switch to detect locked and unlocked positions of the first part of the arm. 15. The system of claim 10, further comprising at least one spring biased plunger on the second part of the arm. 16. The system of claim 10, further comprising a catch arm locking feature defined by the arm to inhibit rotation of the first part, the catch arm locking feature for engaging a catch arm lock, the catch arm lock comprising a latch plate that is positionable to engage the catch arm locking feature. 17. The system of claim 16, wherein the catch arm locking feature is configured to spring bias the latch plate.
abstract
An object of the invention is to realize a method and an apparatus for processing and observing a minute sample which can observe a section of a wafer in horizontal to vertical directions with high resolution, high accuracy and high throughput without splitting any wafer which is a sample. In an apparatus of the invention, there are included a focused ion beam optical system and an electron optical system in one vacuum container, and a minute sample containing a desired area of the sample is separated by forming processing with a charged particle beam, and there are included a manipulator for extracting the separated minute sample, and a manipulator controller for driving the manipulator independently of a wafer sample stage.
052895157
abstract
In a method of assembling a nuclear fuel assembly, a deflecting jig is inserted into grid cells in each of a plurality of grids. The diameter of the deflecting jig is enlarged to urge a spring of at least one pair of dimples and spring, associated with the grid cell to deflect the springs away from the dimple. A plurality of elongated key members are inserted into the grid cells through a plurality of openings defined at intersections between the straps forming walls of the grid cells. Each key member is rotated about its axis to cause hooks of the key member to project from a wall surface of the strap in a direction opposite to the projecting direction of the springs. The key member is then moved in a direction to engage the hooks with the wall surface of the strap. Urging of the spring by the deflecting jig is released to allow the same to be withdrawn from the grid cells and, subsequently, the fuel rods are inserted into the respective grid cells. The key member is then moved in a direction to bring the springs into pressure contact with the fuel rods. The key members are then withdrawn from the grid cells. A combination of the grid and the key member is also provided.
abstract
Process and device for the generation of a fog of micrometric and submicrometric droplets, which may find application to the generation of light in the extreme ultraviolet range, particularly for lithography. According to the process and device, a pressurized liquid is injected into a very small diameter nozzle opening up into a vacuum. Light is generated by focusing laser radiation onto the fog.
abstract
A structure and method for changing or controlling the thermal emissivity of the surface of an object in situ, and thus, changing or controlling the radiative heat transfer between the object and its environment in situ, is disclosed. Changing or controlling the degree of blackbody behavior of the object is accomplished by changing or controlling certain physical characteristics of a cavity structure on the surface of the object. The cavity structure, defining a plurality of cavities, may be formed by selectively removing material(s) from the surface, selectively adding a material(s) to the surface, or adding an engineered article(s) to the surface to form a new radiative surface. The physical characteristics of the cavity structure that are changed or controlled include cavity area aspect ratio, cavity longitudinal axis orientation, and combinations thereof. Controlling the cavity area aspect ratio may be by controlling the size of the cavity surface area, the size of the cavity aperture area, or a combination thereof. The cavity structure may contain a gas, liquid, or solid that further enhances radiative heat transfer control and/or improves other properties of the object while in service.
description
The present invention relates to a radiation shielding sheet which is used for the purpose of shielding a radiation (radioactive rays) in various technical fields such as radiation shielding in a nuclear power plant, inspection apparatus using a radiation, radiation shielding in a radiation apparatus for medical application, X-ray room, X-ray medical examination vehicle, X-ray protective clothes or the like. More particularly, the present invention relates to a radiation shielding sheet which is free from any environmental problems and safety problems for a human body, and having a highly radiation shielding performance and excellent economical efficiency. Generally, in a technical field to which a radiation shielding technique is applied, particularly in a case where a radiation therapy targeting at a human body and a measuring operation are performed, countermeasure for lowering an absolute amount of the harmful radiation has been essentially demanded. In order to reply to this demand, the following countermeasures have been devised. Namely, the radioactive rays are effectively irradiated to only a target portion, while the irradiation of the radioactive ray is not performed to portions other than the target portion, and the radiation time is shortened as short as possible. However, it is essentially difficult to limitedly irradiate the radiation only to the target portion which is an objective portion to be examined by means of the radiation. Therefore, there has been actually taken a countermeasure such that the portions other than the target portion to be examined are covered with a shielding material for shielding the radiation, whereby an object (human body) to be examined is protected from being exposed to the radiation. Further, also in case of an X-ray room or an X-ray medical examination car (vehicle) with which an X-ray generating apparatus is equipped, for the purpose of preventing the X-ray from leaking from a wall surface of the X-ray room to outside of the room or preventing the X-ray from leaking to outside of the car, there has been taken a countermeasure such that the radiation shielding material is attached to the wall surfaces of the X-ray room or the like. Furthermore, when an X-ray photograph is taken, a doctor and a patient would wear X-ray protection clothes and they engaged in the X-ray examining operation so as to avoid to be exposed to unnecessary X-ray radiation. As a material for shielding the X-ray which is one kind of the above radiations (radioactive rays), as has been prescribed in Japanese Industrial Standard (JIS Z4806, Z4801), there has been conventionally used mainly a lead (Pb) or a composite material containing the lead. However, a lead component is harmful when the lead component is absorbed into a human body, and the lead component shall demand a lot of attentions in handling or disposal thereof. Namely, the handling of the lead component is required to comply with strict regulations specified in Lead Poisoning Prevention Rules. While, in case of the disposal of the lead component, it is necessary to perform a treatment for securing that elusion or leaking of the lead component to an outer world is securely blocked. In recent years, in view of the above problems, as disclosed in a patent document 1 (Japanese Patent Publication: No. 2001-83288) and a patent document 2 (Japanese Patent Publication: No. 2002-365393), there have been proposed a countermeasure in which tungsten (W), tin (Sn), antimony (Sb), bithmus (Bi) and compounds thereof are used as the radiation shielding materials taking the place of the harmful lead. Further, as for the X-ray protection clothes requiring a flexibility for matching an outer shape of the object to be examined, there has been generally used a material which is formed by blending the above materials with resin or rubber to prepare a material mixture, followed by molding the material mixture. In another case where a radiation having a relatively low intensity is used, an acrylic plate or the like are used as a simple countermeasure. On the other hand, in a case where a radiation having a relatively high intensity is used, there has been generally used a plate-shaped radiation shielding material composed of tungsten (W) or the like having a high shielding capability. However, although the tungsten (W) plate has a high capacity of shielding the radiation, tungsten is a high cost material taking the place of lead (Pb). Further, bithmus (Bi) also has a high radiation-shielding capacity equivalent to that of Pb. However, bithmus is also a relatively high cost material. On the other hand, both antimony (Sb) and tin (Sn) are insufficient in the radiation shielding capacity, so that a thickness of a radiation-shielding sheet becomes thick in order to secure a sufficient shielding capacity, thus resulting in a disadvantage in lacking of mobility during handling the shielding sheet. In addition, it has been suggested that antimony (Sb) has toxic consequences similar to arsenic. In view of the above circumstances, there have been demanded a radiation shielding sheet which is free from an environmental problem, and has a uniform and high radiation shielding capacity and an excellence in economical efficiency. Patent Document 1: Japanese Patent Application Laid-open Publication No. 2001-83288 Patent Document 2: Japanese Patent Application Laid-open Publication No. 2002-365393 However, in the conventional radiation shielding materials, since the lead or the composite material containing lead had been used as the material for constituting the radiation shielding materials, there had been posed the following problems as described hereinbefore. Namely, such material was harmful when the material was absorbed in a human body, and special attentions must be paid at a time of handling or disposal of the shielding material, thus being lack in safety of the radiation shielding materials. As a radiation shielding material taking the place of lead, there has been proposed that tungsten (W), tin (Sn), antimony (Sb), bithmus (Bi) and compounds thereof should be used. However, the materials such as tungsten, bithmus and compounds thereof were high cost materials as a material in place of lead, so that a manufacturing cost of the shielding material is disadvantageously increased. In addition, there is arisen a fatal problem such that tungsten, bithmus and compounds thereof were insufficient in shielding capacity in comparison with those of the conventional materials. The present invention had been achieved to solve the aforementioned problems caused in the conventional prior arts, and an object of the present invention is to provide a radiation-shielding sheet which is free from any environmental problems and safety problems for a human body, and having a highly radiation shielding performance and excellent economical efficiency. In order to achieve the aforementioned object, the present invention provides a radiation shielding sheet formed by filling a shielding material into an organic polymer material, wherein the shielding material is an oxide powder containing at least one element selected from the group consisting of lanthanum (La), cerium (Ce), praseodymium (Pr), neodymium (Nd), samarium (Sm), europium (Eu) and gadolinium (Gd), the oxide powder has an average grain size of 1 to 20 ΞΌm, and a volumetric ratio of the shielding material filled in the radiation shielding sheet is 40 to 80 vol. %. As the organic polymer material to be a base material for constituting the radiation shielding sheet according to the present invention, a kind of the materials is not particularly limited but materials such as rubber, thermoplastic elastomer, polymer resin or the like are suitably used. As the rubber material, natural rubber or synthetic rubber can be used, and an additive agent such as sulfur, carbon black, anti-aging agent or the like can be added to the rubber materials. As the resin material, thermoplastic resins such as polyvinyl resin, polyamide resin, polyolefin resin, ABS resin, EVA resin or the like, or thermo-setting resins such as epoxy resin, phenol resin or the like can be preferably used. As an additive agent to be added to the aforementioned resins, it is possible to add a required amount of coupling agent, coloring agent, anti-static agent, plasticizer, stabilizing agent, pigment or the like. It is preferable to use organic polymer material excluding rubbers and chlorine-containing resin, because the rubbers are liable to cause an aging (degrading) phenomenon while the chlorine-containing resin would be an origin of generating harmful dioxin. As a result, it is particularly preferable to use polyurethane resin that is excellent in both strength and elasticity. The oxide powder of at least one rare earth element selected from the group consisting of lanthanum (La), cerium (Ce), praseodymium (Pr), neodymium (Nd), samarium (Sm), europium (Eu) and gadolinium (Gd) has an excellent radiation-shielding performance (capability), and a material cost of the oxide is low in price in comparison with other conventional metal materials such as tungsten or the like or other shielding materials, thus being excellent in economical efficiency. Particularly, when considering all the various factors such as shielding capacity and the economical efficiency together, the oxide powders of lanthanum, cerium, praseodymium, neodymium, samarium and europium are most effective, so that the radiation shielding sheet is required to contain at least one of the above rare earth element. The oxide powder of the above rare earth element has a relatively low specific gravity in comparison with that of tungsten or the like, so that there may be a tendency that a filling ratio of the oxide powder with respect to an entire radiation-shielding sheet is liable to be lowered. As a result, the radiation shielding capacity of the shielding sheet is lowered. Therefore, the filling ratio of the oxide powder as the shielding material with respect to the entire radiation-shielding sheet is specified to a range of 40 to 80 vol. %. In this connection, the filling ratio of the shielding material is indicated as a volumetric ratio of the shielding material with respect to a volume (100 vol. %) of the entire radiation-shielding sheet, wherein the entire volume (100 vol. %) consists of: a volume of the shielding material after completion of drying operation; a volume of the organic polymer material; and a volume of void space formed in the shielding sheet. When the filling ratio of the shielding material is less than 40 vol. %, the radiation shielding capacity of the shielding sheet becomes insufficient. On the other hand, when the filling ratio becomes excessively large so as to exceed 80 vol. %, a strength for retaining the shielding material of the shielding sheet becomes insufficient, thereby to lower a structural strength of the radiation shielding sheet. Aforementioned radiation shielding materials are used in a form of powder or pellet. In this regard, it is preferable that a content ratio (powder content ratio) of an entire shielding material in a form of powder with respect to an entire weight of the shielding sheet is set to within a range of 70 mass % or more and 97 mass % or less. When the powder content ratio is less than 70 mass %, the radiation shielding capacity is lowered, thus being unsuitable for a practical use. In contrast, when the powder content ratio exceeds 97 mass %, powder grains are not completely incorporated into the shielding sheet, so that the structural strength of the entire radiation shielding sheet cannot be retained. An average grain size of the oxide powder constituting the radiation shielding material is set to a range of 1 to 20 ΞΌm from various viewpoints of: dispersibility of the oxide powder for the shielding sheet into the resin; retention of flexibility of the shielding sheet; and a reliability against bending operation, or the like. The above average grain size of the oxide powder is measured by means of a powder grain size measuring apparatus (F.s.s.s.: Fisher Sub Sieve Sizer) which is prescribed in Japanese Industrial Standard (JIS H 2116). When the average grain size of the oxide powder constituting the radiation shielding material is set to within the aforementioned range, the powder grains become easily incorporated into the resin, so that it becomes easy to retain the flexibility of the entire material. While the problem of crack-formation during the operation of the shielding material can be eliminated, so that durability and reliability of the radiation shielding sheet can be further improved. In addition, the filling ratio of the shielding material is increased, so that the capacity of shielding the radiation using the radiation shielding sheet can be improved. According to the radiation shielding material having the structure as described above, the oxide powder of rare earth element having a safety, a low cost and a high radiation shielding capacity is filled into the organic polymer material, the average grain size of the oxide powder is controlled to be within a predetermined range, and the filling ratio of the shielding material is adjusted to fall within a predetermined range, so that there can be obtained the radiation shielding sheet which is free from any environmental problems and safety problems for a human body, and having a highly radiation shielding performance and excellent economical efficiency. In order to achieve the aforementioned object, the present invention provides a radiation shielding sheet comprising: an organic polymer material; and a shielding material contained in the organic polymer material, wherein the shielding material is an oxide powder of a single substance of metal element or metal compound, and having a composition containing lanthanum and cerium. Among the above rare earth elements, when there is used a shielding material comprising an oxide powder of a single substance of metal element or metal compound, and having a composition containing lanthanum (La) and cerium (Ce), the radiation shielding effect can be exhibited more remarkably, and the material cost is low, thus being excellent in economical efficiency. As the above compound powder, oxide, composite oxide, nitride, boride, or the like of lanthanum and cerium can be suitably used. The metal composition containing lanthanum (La) and cerium (Ce) may further contain neodymium (Nd). Furthermore, the metal composition may further contain other rare earth metals. Even if the material compositions are changed to a different composition as described above, it has been confirmed that the radiation can be also effectively shielded. Further, in the above radiation shielding sheet, it is preferable that the metal compound powder has a composition containing 10 to 40 mass % of lanthanum (La) oxide and 30 to 60 mass % of cerium (Ce) oxide. Among the oxides of the above rare earth elements, when there is particularly used the metal compound having a composition containing lanthanum (La) oxide and cerium (Ce) oxide, the radiation shielding effect can be exhibited further more remarkably, and the material cost is low, thus being excellent in economical efficiency. Furthermore, in also the radiation shielding sheet using the shielding material containing the lanthanum component and the cerium component, it is preferable that the volumetric ratio (filling ratio) of the shielding material to be filled in the radiation shielding sheet is 40 to 80 vol. %. That is, in order to maintain both the radiation-shielding capacity and the structural strength of the shielding sheet to be high, the volumetric ratio (filling ratio) of the shielding material should be set to within a range of 40 to 80 vol. %. Furthermore, in the above radiation shielding sheet, it is also preferable to adopt the following feature. That is, when assuming that an average grain size of the shielding material existing in a structure of the radiation shielding sheet is A ΞΌm, a number of the shielding material grains existing within a straight line segment range having a length of 50 ΞΌm is 30/A or more when the straight line segment range is arbitrarily drawn on a surface of the structure of the radiation shielding sheet. The number of the shielding material grains existing within a straight line segment range having a length of 50 ΞΌm, which is arbitrarily drawn on a surface of the structure of the radiation shielding sheet, is suitable for evaluating a dispersion state of the shielding material grains. Namely, the number of the shielding material grains becomes an index for determining a degree of the shielding effect against the irradiated radiation. When the number of the shielding material grains existing within the straight line segment range having the length of 50 ΞΌm arbitrarily drawn on the radiation shielding sheet is less than 30/A, an amount of radiation leaking through void portions formed between the grains is disadvantageously increased, thus resulting in that a shielding capacity cannot be obtained at some portions of the radiation shielding sheet. A method of counting the number of the shielding material grains existing within the straight line segment range having a predetermined length drawn on the radiation shielding sheet is performed as shown, for example, in FIGS. 2 and 3. That is, a macro (enlarged) photograph of a surface structure or sectional structure of the shielding sheet is taken at an arbitral portion. Then, a straight line having a length of 50 ΞΌm is arbitrarily drawn on the radiation shielding sheet. In this state, the number of the shielding material grains existing on the straight line is counted. As to the above macro (enlarged) photograph, a magnification of 2000 or higher is preferable. According to this macro photograph with the high magnification, when the surface structure or the sectional structure of the shielding sheet is observed, a dispersion of accuracy in determining whether a grain contacts on the straight line or not can be minimized, so that it becomes possible to count the number of the grains with a high accuracy. Further, when the length of the straight line segment is set to about 50 ΞΌm at a time of counting the number of the shielding material grains, a dispersion in the counted numbers of the shielding material grains for each measured portions is small. Therefore, the length of the straight line is set to 50 ΞΌm in the present invention. As to portions at which the number of grains is measured, the measuring operation is performed at totally four portions including two portions selected from the surface structure and two portions selected from the sectional structure of the radiation shielding sheet, and the number is expressed as an average value obtained by averaging the respective measured values for the four portions. In this regard, at the time of counting the number of the shielding material grains, the shielding material grain existing on the straight line so that a part of the grain is included on the line shall be counted. A center portion of the shielding material grain is not always necessary to be disposed on the straight line. That is, if an edge portion of the shielding material grain touches onto the straight line, such shielding material grain shall be included in the number of the grains as specified above. As shown in FIG. 2, when the number of the shielding material grains existing within the straight line segment range having a length of 50 ΞΌm drawn on the radiation shielding sheet is large and the shielding material grains are densely dispersed in an entire straight line segment range L having the length of 50 ΞΌm, the irradiated radiation is effectively shielded by the shielding material, so that a high radiation shielding effect can be obtained. On the other hand, as shown in FIG. 3, when the number of the shielding material grains existing within the predetermined straight line segment L is small and the shielding material grains are non-densely dispersed even in a part of the straight line segment range L, the amount of radiation leaking through void portions formed between the grains is disadvantageously increased, thus resulting in that a shielding capacity cannot be obtained at some portions of the radiation shielding sheet. Further, in the above radiation shielding sheet, it is preferable that the organic polymer material is further mixed with at least one powder selected from the group consisting of tungsten, bithmus, tin and compounds thereof. All of the above tungsten, bithmus, tin and compounds thereof is a material having a high shielding performance for shielding the radiation. Therefore, when the above materials are appropriately mixed to the shielding material, the radiation shielding capacity of the shielding sheet can be further enhanced. However, each of the above materials is expensive in material cost. Therefore, if the above material is used to be mixed, a mixing ratio of the material should be set to within a range without impairing the economical efficiency. Concretely, it is preferable that the mixing ratio of the above material should be set to within a range of 30 weight parts or less. Further, tin (Sn) may be also mixed to the shielding material at an amount without impairing the shielding capacity. Concretely, tin may be added at an amount within a range of 40 weight parts or less. In this regard, the term β€œweight part” indicating a mixing ratio of the above shielding material means a weight ratio of the above shielding material with respect to a total amount (100 weight parts) of a weight of the shielding material prior to a drying operation and a weight of the organic polymer material. When using the above shielding materials, there can be provided a radiation shielding sheet which is excellent in economical efficiency and hygienic safety, and capable of obtaining a high radiation shielding capacity, and is almost free from adverse effects on environment and human body in comparison with the conventional radiation shielding sheets using lead or lead alloy. The radiation shielding sheet of the present invention is formed in such a manner that the shielding material powder having a sufficiently high radiation absorbing factor is uniformly dispersed into in the organic polymer material, so that the resultant radiation shielding sheet has not only a sufficient radiation shielding capacity but also has a flexibility. Further, in order to protect one side surface or both front side and rear side surfaces of the radiation shielding sheet, or in order to improve a structural strength including a tensile strength of the radiation shielding sheet, it is also possible to configure the radiation shielding sheet by integrally providing an organic polymer film layer onto the surface of the shielding sheet. Furthermore, in order to increase the shielding capacity, it is also possible to configure a radiation shielding sheet so as to have a laminar structure in which a plurality of thin shielding sheets are piled up and integrally formed into a laminated sheet. Thus prepared radiation shielding sheet can exhibit an excellent radiation shielding effect when the radiation shielding sheet is used as a material for constituting a wall of a X-ray room. According to the radiation shielding sheet of the present invention, the oxide powder of rare earth element having a safety, a low cost and a high radiation shielding capacity is filled into the organic polymer material, the average grain size of the oxide powder is controlled to be within a predetermined range, and the filling ratio of the shielding material is adjusted to fall within a predetermined range, so that there can be obtained the radiation shielding sheet which is free from any environmental problems and safety problems for a human body, and having a highly radiation shielding performance and excellent economical efficiency. An embodiment of a radiation shielding sheet according to the present invention will be described hereunder with reference to the accompanying drawings together with the following Examples and Comparative Examples. 90 weight parts of cerium oxide (CeO2) powder having an average grain size of 5 ΞΌm as a shielding material, 9 weight parts of polyurethane resin as an organic polymer resin, and 1 weight part of plasticizer were weighted to prepare a mixed material. Then, the mixed material was mixed and diluted with methyl ethyl ketone/toluene mixed solution (volumetric mixing ratio: 50/50) as a solvent, thereby to prepare a mixed solution. With respect to this mixed solution, a milling treatment using a magnetic pot was performed for two hours thereby to prepare a uniform coating liquid containing refined components. This coating liquid was uniformly coated onto a substrate by means of a knife coater, followed by drying the coated layer, thereby to manufacture a radiation shielding sheet having a thickness of 1 mm according to Example 1. The same procedure for obtaining a radiation shielding sheet as in Example 1 was repeated except that cerium oxide (CeO2) powder having an average grain size of 1 ΞΌm was used as the radiation shielding material, thereby to manufacture a radiation shielding sheet according to Example 2. The same procedure for obtaining a radiation shielding sheet as in Example 1 was repeated except that cerium oxide (CeO2) powder having an average grain size of 5 ΞΌm was used as the radiation shielding material and the milling treatment was performed for a short time of 0.5 hour, thereby to manufacture a radiation shielding sheet according to Example 3. The same procedure for obtaining a radiation shielding sheet as in Example 1 was repeated except that lanthanum oxide (La2O3) powder having an average grain size of 5 ΞΌm was used as the radiation shielding material, thereby to manufacture a radiation shielding sheet according to Example 4. The same procedure for obtaining a radiation shielding sheet as in Example 1 was repeated except that praseodymium oxide (Pr2O3) powder having an average grain size of 10 ΞΌm was used as the radiation shielding material, thereby to manufacture a radiation shielding sheet according to Example 5. The same procedure for obtaining a radiation shielding sheet as in Example 1 was repeated except that neodymium oxide (Nd2O3) powder having an average grain size of 10 ΞΌm was used as the radiation shielding material, thereby to manufacture a radiation shielding sheet according to Example 6. The same procedure for obtaining a radiation shielding sheet as in Example 1 was repeated except that samarium oxide (Sm2O3) powder having an average grain size of 5 ΞΌm was used as the radiation shielding material, thereby to manufacture a radiation shielding sheet according to Example 7. The same procedure for obtaining a radiation shielding sheet as in Example 1 was repeated except that europium oxide (Eu2O3) powder having an average grain size of 5 ΞΌm was used as the radiation shielding material, thereby to manufacture a radiation shielding sheet according to Example 8. The same procedure for obtaining a radiation shielding sheet as in Example 1 was repeated except that gadolinium oxide (Gd2O3) powder having an average grain size of 20 ΞΌl was used as the radiation shielding material, thereby to manufacture a radiation shielding sheet according to Example 9. The same procedure for obtaining a radiation shielding sheet as in Example 1 was repeated except that an oxide powder mixture comprising: 45 weight parts of cerium oxide powder having an average grain size of 5 ΞΌm; 30 weight parts of lanthanum oxide powder, and 15 weight parts of other rare earth oxide powder; was used as the radiation shielding material, thereby to manufacture a radiation shielding sheet according to Example 10. The same procedure for obtaining a radiation shielding sheet as in Example 1 was repeated except that an oxide powder mixture comprising: 60 weight parts of cerium oxide powder having an average grain size of 5 ΞΌl; 10 weight parts of lanthanum oxide powder, and 20 weight parts of other rare earth oxide powder; was used as the radiation shielding material, thereby to manufacture a radiation shielding sheet according to Example 11. The same procedure for obtaining a radiation shielding sheet as in Example 1 was repeated except that a powder mixture comprising: 80 weight parts of cerium oxide powder having an average grain size of 5 ΞΌm; and 10 weight parts of tungsten (W) powder; was used as the radiation shielding material, thereby to manufacture a radiation shielding sheet according to Example 12. The same procedure for obtaining a radiation shielding sheet as in Example 1 was repeated except that a powder mixture comprising: 70 weight parts of cerium oxide powder having an average grain size of 5 ΞΌm; and 20 weight parts of bithmus (Bi) powder having an average grain size of 6 ΞΌm; was used as the radiation shielding material, thereby to manufacture a radiation shielding sheet according to Example 13. The same procedure for obtaining a radiation shielding sheet as in Example 1 was repeated except that a powder mixture comprising: 50 weight parts of cerium oxide powder having an average grain size of 5 ΞΌm; and 40 weight parts of tin (Sn) powder having an average grain size of 25 ΞΌm; was used as the radiation shielding material, thereby to manufacture a radiation shielding sheet according to Example 14. The same procedure for obtaining a radiation shielding sheet as in Example 1 was repeated except that cerium oxide powder having an average grain size of 5 ΞΌm was used as the radiation shielding material, thereby to manufacture a radiation shielding sheet according to Example 15. The same procedure for obtaining a radiation shielding sheet as in Example 1 was repeated except that cerium metal powder having an average grain size of 5 ΞΌm was used as the radiation shielding material, thereby to manufacture a radiation shielding sheet according to Example 16. On the other hand, there was prepared a radiation shielding sheet according to Comparative Example 1 that was composed of a lead plate having a thickness of 1 mm. The same procedure for obtaining a radiation shielding sheet as in Example 1 was repeated except that 90 weight parts of tungsten (W) metal powder having an average grain size of 6 ΞΌm was used as the radiation shielding material, thereby to manufacture a radiation shielding sheet according to Comparative Example 2. The same procedure for obtaining a radiation shielding sheet as in Example 1 was repeated except that 90 weight parts of tin (Sn) metal powder having an average grain size of 25 ΞΌm was used as the radiation shielding material, thereby to manufacture a radiation shielding sheet according to Comparative Example 3. The same procedure for obtaining a radiation shielding sheet as in Example 1 was repeated except that 90 weight parts of cerium (Ce) oxide powder having an average grain size of 5 ΞΌm was used as the radiation shielding material and the milling treatment was not performed, thereby to manufacture a radiation shielding sheet according to Comparative Example 4. The same procedure for obtaining a radiation shielding sheet as in Example 1 was repeated except that the cerium (Ce) oxide powder having an average grain size of 5 ΞΌm was used as the radiation shielding material and the coated layer was quickly dried under a condition where a drying temperature was arisen, thereby to manufacture a radiation shielding sheet according to Comparative Example 5 in which the number of the shielding material grains existing on the straight line segment having a unit length drawn on the structure of the sheet was less than a preferable range. In this connection, the above drying conditions ware set to as follows. Namely, the drying temperature was set to a high temperature so that the resin particles were not easily combined to each other after the solvent in a state of being mixed with resin was vaporized and the filling ratio of the shielding material was lowered. As a result, the number of the shielding material grains having an average grain size of A ΞΌm and existing within a straight line segment having a length of 50 ΞΌm drawn on the sheet structure was three which is less than 30/A. Each of thus prepared radiation shielding sheets 1 according to the respective Examples has a structure shown in FIG. 1 in which the shielding material powder 3 is uniformly dispersed in the polyurethane resin as an organic polymer material. In order to protect one side surface of the radiation shielding sheet 1 or in order to improve the structural strength including a tensile strength of the radiation shielding sheet 1, it is also possible to configure the shielding sheet by integrally providing with an organic polymer film layer 4 as a protective/reinforcing layer. In order to evaluate the radiation shielding capacity of thus prepared radiation shielding sheets according to Examples and Comparative Examples, the following X-ray transmission test was performed. That is, the evaluation for measuring the radiation shielding capacity was performed in accordance with a method prescribed in Japanese Industrial Standard (JIS Z4501) in which an X-ray generator (X-ray tube voltage: 100 kV) was used, and an amount of X-ray transmitted through the respective radiation shielding sheets of Examples or the like was measured. Then, the amount of the transmitted X-ray was compared with the amount of X-ray transmitted through the radiation shielding sheet composed of lead (Pb) according to Comparative Example 1, thereby to measure a lead equivalent of the respective shielding sheets. In this regard, a measuring area for determining the lead equivalent was set to within a circle having a diameter of 20 mm. With respect to the respective radiation shielding sheets, total four portions including two portions selected from the surface structure and two portions selected from the sectional structure of the radiation shielding sheet were arbitrarily selected as measuring portions. An enlarged photograph of the respective measuring portions was taken at a magnification of 2000. Onto the photographic image, a straight line segment range having a length of 50 ΞΌm was set. The number of the shielding material grains included by the straight line segment range was counted with respect to each of the measuring portions. The numbers counted at each measuring portions were averaged. Further, a volumetric proportion of the shielding material with respect to an entire volume of the respective radiation shielding sheets was measured as a filling ratio. Furthermore, an environmental evaluation was performed with respect to each radiation shielding sheet in the following manner. Namely, a reference symbol (X) was marked in a case where the constitutional material of the radiation shielding sheet was designated as substance to pollute environment as prescribed in law and regulation (European Command of RoHS: Restricting the use of Hazardous Substances). On the other hand, a reference symbol (β—―) was marked in a case where the constitutional material sheet was not designated as substance to pollute environment by the laws and regulations. The above results of measuring and evaluation are shown in Table 1 hereunder. TABLE 1Shielding MaterialRadiation Shielding SheetAverageOrganic PolymerNumber of ShieldingGrainMaterial etc.Material GrainsFillingLeadSampleWeightSizeWeightExisting on StraightRatioEquivalentEnvironmentalNo.KindParts[ΞΌm]KindPartsLine Segment of 50 ΞΌm(vol. %)(mmPb)EvaluationExample 1CeO2 Powder905Polyurethane109720.45∘ResinExample 2CeO2 Powder901Polyurethane1046620.43∘ResinExample 3CeO2 Powder905Polyurethane108400.35∘ResinExample 4La2O3 Powder905Polyurethane108460.35∘ResinExample 5Pr2O3 Powder9010 Polyurethane1010510.45∘ResinExample 6Nd2O3 Powder9010 Polyurethane107550.45∘ResinExample 7Sm2O3 Powder905Polyurethane1012590.46∘ResinExample 8Eu2O3 Powder905Polyurethane1010640.48∘ResinExample 9Gd2O3 Powder9020 Polyurethane105600.50∘ResinExample 10CeO2 Powder/La2O345/30/155Polyurethane107500.40∘Powder/Nd2O3 PowderResinExample 11CeO2 Powder/La2O360/10/205Polyurethane107500.43∘Powder/Nd2O3 PowderResinExample 12CeO2 Powder/W Powder80/105/6Polyurethane109650.55∘ResinExample 13CeO2 Powder/Bi Powder60/305/6Polyurethane109620.50∘ResinExample 14CeO2 Powder/Sn Powder50/40 5/25Polyurethane107550.40∘ResinExample 15Ce Powder905Polyurethane1010690.47∘ResinExample 16La Powder905Polyurethane109520.38∘ResinComparativePb Plate100β€”β€”β€”β€”1001.00xExample 1ComparativeW Powder906Polyurethane1010700.85∘Example 2ResinComparativeSn Powder9025 Polyurethane103670.30∘Example 3ResinComparativeCeO2 Powder905Polyurethane109360.25∘Example 4ResinComparativeCeO2 Powder905Polyurethane103410.30∘Example 5Resin As is clear from the results shown in above Table 1, according to the radiation shielding sheets of the respective Examples in which the oxide powder of rare earth element having a safety, a low cost and a high radiation shielding capacity is filled into the organic polymer material, the average grain size of the oxide powder is controlled to be within a predetermined range, and the filling ratio of the shielding material is adjusted to fall within a predetermined range. Therefore, it was confirmed that there can be obtained the radiation shielding sheet which is free from any environmental problems and safety problems for a human body, and having a highly radiation shielding performance and excellent economical efficiency. In particular, when assuming that an average grain size of the shielding material grains existing in the structure of the radiation shielding sheet was A ΞΌm, according to the radiation shielding sheets of the respective Examples in which the grain size and the filling ratio of the shielding material were controlled so that the number of the shielding material grains existing within the straight line segment range having a length of 50 ΞΌm was 30/A or more when the straight line segment range was arbitrarily drawn on the above sheet structure, as shown in FIG. 2, the number of the shielding material grains 3 existing within the straight line segment range having a length L (50 ΞΌm) which was arbitrarily drawn on the radiation shielding sheet 1 was large, and the shielding material grains 3 were densely dispersed in entire straight line segment range. Therefore, the irradiated radiation was effectively shielded by the shielding material grains 3, so that a high radiation-shielding effect could be obtained. On the other hand, according to the radiation shielding sheet of Comparative Example 1 which is composed of Pb plate, although the radiation shielding effect is sufficient, the sheet exerts adverse effects on human body and environment. Further, according to the radiation shielding sheet of Comparative Example 2 which contains W powder, a raw material cost is expensive, thus being not economically efficient. Further, according to the radiation shielding sheet of Comparative Example 3 containing Sn powder, the shielding effect is not sufficient. Furthermore, as in the radiation shielding sheet of Comparative Example 4, even if cerium oxide (Ce2O3) powder was contained as the shielding material, but the filling ratio of the shielding material was low, it was confirmed that the shielding effect was decreased. Further, as in the radiation shielding sheet of Comparative Example 5, when the number of the shielding material grains existing within the straight line segment range L (50 ΞΌm) arbitrarily drawn on the radiation shielding sheet was less than 30/A, as shown in FIG. 3, it was confirmed that an amount of radiation 5 leaking through void portions formed between the grains 3, 3 was disadvantageously increased, thus resulting in that a shielding capacity could not be obtained at some portions of the radiation shielding sheet. As described above, according to the radiation shielding sheet of the present invention, the oxide powder of rare earth element having a safety, a low cost and a high radiation shielding capacity is filled into the organic polymer material, the average grain size of the oxide powder is controlled to be within a predetermined range, and the filling ratio of the shielding material is adjusted to fall within a predetermined range, so that there can be obtained the radiation shielding sheet which is free from any environmental problems and safety problems for a human body, and having a highly radiation shielding performance and excellent economical efficiency. FIG. 1 is a cross sectional view schematically showing a structure of an embodiment of a radiation shielding sheet according to the present invention. FIG. 2 is a plan view schematically showing a method of counting a number of the shielding material grains existing within a straight line segment range having a predetermined length when the straight line segment range is arbitrarily drawn on a surface of the structure of the radiation shielding sheet. FIG. 3 is another plan view schematically showing a method of counting a number of the shielding material grains existing within a straight line segment range having a predetermined length when the straight line segment range is arbitrarily drawn on a surface of the structure of the radiation shielding sheet.
claims
1. A fluorescent X-ray spectroscope comprising: a source of X-rays having an X-ray generating point; an X-ray shielding plate having an entrance window to emit X-rays from the X-ray generating point; a first elongated glass tube having a first aperture extending the length of the first glass tube for guiding the X-rays; a sample stage aligned with one end of the first glass tube to receive the X-rays; and a first protective X-ray tube positioned about the first glass tube and extending substantially along an intermediate length of the first glass tube to block off-axis X-rays that are not aligned with the first aperture of the first glass tube. 2. The invention of claim 1 further including a mount base for holding the first protective X-ray tube. claim 1 3. The invention of claim 2 further including a second elongated glass tube with a second aperture of a size different than the first aperture and a second protective X-ray tube positioned about the second glass tube and held in the mount base. claim 2 4. The invention of claim 3 wherein the mount base includes an X-ray shielding tube extending upward from the mount base to the X-ray shielding base. claim 3 5. The invention of claim 4 wherein the respective first and second glass tubes extend within the X-ray shielding tube and the first and second protective X-ray tubes extend below the X-ray shielding tube. claim 4 6. The invention of claim 5 wherein the entrance window has a diameter of r 1 , the first protective X-ray tube has an inside diameter of r 2 , x 1 is a distance from an X-ray generating point of the source of X-rays to the X-ray shielding plate and x 2 is a distance from the X-ray generating point to an input end of the first protective X-ray tube wherein the following condition applies: claim 5 r 1 /x 1 less than r 2 /x 2 7. An X-ray shielding mechanism for off-axis X-rays provided with an X-ray cartridge comprising: r 1 /x 1 less than r 2 /x 2 an X-ray source which radiates X-rays; a glass X-ray guide tube with an inner peripheral surface into which the X-rays are introduced; an X-ray shielding plate made of metal and having a window for introducing X-rays into the X-ray guide tube, the shielding plate is disposed on an input end of the X-ray guide tube, and a metallic protective pipe which has a predetermined length extending from the side of the input end at a predetermined distance from the X-ray source to the side of the end, from which the X-rays are emitted, and which is disposed outside of the X-ray guide tube whereby the X-rays which pass through the entrance window and are transmitted from a side surface of the X-ray guide tube can be blocked and satisfying the following condition: wherein r 1 is a diameter of the entrance window of the X-ray shielding plate, x 1 is a distance from an X-ray generation point of the X-ray source to the X-ray shielding plate, x 2 is a distance from the X-ray generation point to an input end of the protective pipe and r 2 is the inside diameter of the protective pipe. 8. An X-ray shielding mechanism of claim 7 wherein the X-ray cartridge has a plurality of X-ray guide tubes and a mount base which supports these X-ray guide tubes and switches the X-ray guide tubes relative to the X-ray source. claim 7 9. An X-ray shielding mechanism of claim 8 wherein the mount base supports the metallic X-ray shielding pipe positioned on the outside of the X-ray guide tube and the X-ray shielding plate positioned on the side of the input end of the X-ray guide tube is supported by an X-ray shielding pipe. claim 8 10. In an X-ray analytical instrument for applying and analyzing the consequence of X-rays applied to a sample, the improvement of: r 1 /x 1 less than r 2 /x 2 an elongated glass guide tube for guiding X-rays to the sample from a source of X-rays; and an outer metallic tube of sufficient density and length to block X-rays extending about the elongated glass guide tube whereby off-axis X-rays transmitted through an outer surface of the guide tube are blocked, wherein the X-ray analytical instrument includes an entrance window in an X-ray shielding plate having a diameter r 1 , the metallic tube has an inside diameter of r 2 , x 1 is a distance from an X-ray generating point of an X-ray source to the X-ray shielding plate and x 2 is a distance from the X-ray generating point to an input end of the metallic tube wherein the following condition applies: 11. In an X-ray analytical instrument for applying and analyzing the consequence of X-rays applied to a sample, the improvement of an elongated glass guide tube for guiding X-rays to the sample from a source of X-rays; and r 1 /x 1 less than r 2 /x 2 . an outer stainless steel tube of sufficient density and length to block X-rays extending about the elongated glass guide tube whereby off-axis X-rays transmitted through an outer surface of the guide tube are blocked, wherein the X-ray analytical instrument includes an entrance window in an X-ray shielding plate having a diameter r 1 , the stainless steel tube has an inside diameter of r 2 , x 1 is a distance from an X-ray generating point of an X-ray source to the X-ray shielding plate and x 2 is a distance from the X-ray generating point to an input end of the stainless steel tube wherein the following condition applies:
description
This application claims priority from U.S. Prov. Pat. App. No. 61/232,779, filed Aug. 10, 2009, which is hereby incorporated by reference. The present invention relates to a system for determining the composition of a sample. Electron probe microanalyzers and electron microscopes having an attached x-ray spectrometer are used to determine the composition of microscopic or nanoscopic regions of a surface. The detectors determine the energy or wavelengths of x-rays emitted from the sample and infer the composition of material under the electron beam from the energy or wavelength of the x-rays. Because the x-rays characteristic of different materials may have energies that are only slightly different, a detector needs sufficient resolution to differentiate between closely spaced x-ray energies. To process a sample in reasonable amount of time, x-ray detectors need to be able to process a large number of x-rays each second. The number of x-rays that a detector can process each second is referred to as its β€œmaximum count rate”. The rate at which the received x-rays are processed is referred to as the β€œcount rate” and is typically expressed in units of counts per second (cps). Detectors that use a crystal to disperse and analyze x-rays of different wavelengths are referred to as wavelength dispersive spectrometers (WDS) and detectors that measure the energy of incoming x-rays are referred to as energy dispersive spectrometers (EDS). While a WDS can provide better spectral resolution and greater maximum count rate for a particular wavelength band of x-rays, an EDS is better adapted to measuring x-rays of different energies from multiple elements. Specifically, an EDS can acquire an entire spectrum in parallel, while a WDS is limited to serial acquisition. If two x-rays are received at nearly the same time in an EDS system, the energy measured by the detector will be the result of the both x-rays, and not accurately represent the sample material. Such an event is referred to as β€œpulse pileup.” EDS detectors preferably process each x-ray quickly, so that each energy measurement is complete before the next x-ray is received. The most common type of energy dispersive x-ray spectrometer uses a semiconductor x-ray detector in which the x-rays form electron-hole pairs. The electron-hole pairs are detected as an electric current and the number of pairs created by each x-ray depends on the energy of the x-ray. Although EDS systems with solid state detectors typically have a high count rate, up to hundreds of thousands of counts per second, their energy resolution at approximately 6 keV is worse than about 100 eV, which makes it impossible to differentiate closely spaced x-ray peaks. Another type of energy dispersive x-ray spectrometer is the microcalorimeter-type EDS system, in which an x-ray is absorbed by a detector and the x-ray energy is determined by measuring an increase in temperature of the detector, the increase being proportional to the energy of the absorbed x-ray. The energy resolution of the microcalorimeter detector is superior to that of the semiconductor detector, less than 5 eV at an x-ray energy of approximately 6 keV in some systems, but microcalorimeter detectors typically are only capable of processing less than 500 x-rays per second. Microcalorimeter-type EDS systems are described, for example, in U.S. Pat. No. 5,880,467 to Martinis, et al. for β€œMicrocalorimeter x-ray detectors with x-ray lens” and in Norrell and Anderson, β€œHigh Resolution X-Ray Spectroscopy with a Microcalorimeter,” U.S. Department of Energy Journal of Undergraduate Research, Vol. 5, http://www.scied.science.doe.gov/scied/JUR_v5/default.htm (2005). FIG. 1A shows at typical microcalorimeter-type EDS system 100, which includes a scanning electron microscope 102 and an x-ray optic 104 that transmit x-rays emitted from a sample 106 to a detector 108 cooled by a cryostat 110. X-ray optics are typically either made from glass capillaries or from a thin metallic film, and are described, for example, in U.S. Pat. No. 6,094,471 to Silver et al. for β€œX-ray Diagnostic System,” and U.S. Pat. No. 6,479,818 to McCarthy et al. for β€œApplication of x-ray optics to energy dispersive spectroscopy.” FIG. 1B shows an enlarged cross section of X-ray optic 104 of FIG. 1. X-ray optics used with a typical prior art microcalorimeter-type EDS has an acceptance angle of two to three degrees. FIG. 2 shows that detector 108 typically comprises an x-ray absorber 202 and a temperature measuring device 204 in contact with the absorber. The x-ray absorber 202 and temperature measuring device 204 are maintained at a very low temperature, typically below 100 mK, have a very low combined heat capacity and a weak thermal link to a low temperature heat sink 206. The weak thermal link enables the thermal isolation needed for a temperature rise to occur. The output peak height (measured by the temperature measuring device) is related to the x-ray photon energy (E) & the combined heat capacity (C) of the absorber and the temperature measuring device. The energy resolution of the detector is approximately proportional to (kT2C)0.5 (where k is the Boltzmann constant and T is temperature). If the thermal link between the absorber and the low temperature heat sink is made weaker, the temperature of the absorber will rise further, increasing resolution. The weaker thermal link, however, increases the time required to cool the absorber after the x-ray is processed, thereby reducing the maximum count rate that can be processed by the detector. The x-ray absorbing material is typically gold, and the temperature measuring device employed by most commercial systems includes a transition edge sensor, which includes a layer of non-superconducting material and a layer of superconducting material maintained near its transition temperature, that is, the temperature at which it stops superconducting. An electrical current through the transition edge sensor changes as the temperature of the sensor changes. The change in electrical current is typically amplified using a superconducting quantum interference device (SQUID). The main technical advantage of microcalorimetry over solid state detectors is superior energy resolution. In the energy range of interest in typical microanalysis, prior art microcalorimeters have a resolution better than 15 eV, and in some cases better than 3 eV, whereas conventional EDS detectors are limited to a resolution of about 120 eV. Hence, microcalorimeters can resolve closely spaced characteristic x-ray lines. This is highly desirable for low voltage microanalysis, that is, microanalysis performed using an electron beam energy in the range of 1-5 keV, because: The low energy end of the x-ray spectrum contains a large number of closely spaced characteristic x-ray peaks; specifically, the K, L and M lines of low, medium and high atomic number elements, respectively. For many materials, the low energy x-ray peaks overlap in conventional EDS spectra, necessitating the use of higher energy x-ray peaks which can only be excited by high energy (10-30 keV) electron beams. In scanning electron microscopy, the electron penetration range and the electron-solid interaction volume are approximately proportional to Eb1.67 and (Eb1.67)3, respectively, where Eb is the electron beam energy. X-rays are emitted from some fraction, typically the top one to two thirds of the interaction volume, the exact fraction being a function of the material type and the energy of the x-ray photons and the electron beam. Hence, the surface sensitivity and spatial resolution of microanalysis are strong functions of electron beam energy. Low beam energies are needed for maximum spatial resolution and surface sensitivity. The main technical disadvantage of microcalorimetry over conventional EDS is low throughput, caused by two distinct phenomena. First, the solid angle over which x-rays are collected is severely limited by detector design requirements, causing the fraction of emitted x-rays collected by the detector to be very small. Specifically, the surface area of a typical x-ray absorber is on the order of 0.1 mm2 and detector placement close to the sample is inhibited by the bulky nature of the hardware needed to cool the detector to below 100 mK. In contrast, solid state EDS detectors have surface areas in the range of 10 to 80 mm2, and the detectors can be placed within a few centimeters of the sample. The second phenomenon that limits the throughput of microcalorimeter x-ray detectors is that the maximum count rate of a single detector is thermodynamically limited to less than approximately 500 cps. In contrast, the maximum count rates of conventional, solid state EDS (Si(Li) and silicon drift) detectors are on the order of 104 to 105 cps. The low throughput of microcalorimeter-type EDS systems would require the use of a very high electron beam current to collect sufficient x-rays to form a useful x-ray map in a reasonable time period. A high electron beam current, however impedes electron beam focusing (due to the dependence of electron optical aberrations on beam current), causes rapid damage of electron sensitive samples, gives rise to rapid contamination buildup rates, and gives rise to severe charging of electrical insulators. FIG. 3 shows portions of an x-ray spectrum of the mineral monazite drawn at three different scales. Enlarged graph 303 shows the large number of peaks available to characterize the sample in the low energy range. While the resolution is sufficiently high to differentiate a large number of closely spaced peaks, it took more than 11 hours to collect enough x-rays to analyze a single point on the sample. For many applications, it is desired to create high resolution, two-dimensional or three-dimensional x-ray maps of the materials comprising a sample. That is, a region of a sample surface is divided into closely spaced points, and the material present in each point is determined by x-ray analysis, with points mapping to pixels on a display. This has not been possible using prior art microcalorimeter-type EDS systems; the design tradeoffs between high spatial resolution, high energy resolution, and high throughput, have prevented current microcalorimeter-type EDS systems from producing high resolution two or three-dimensional maps in a reasonable amount of time. For example, because the fraction of emitted x-rays that reach the detector is low, a high current electron beam is required to produce more x-rays. Increased beam current increases the size of the electron beam, reducing the spatial resolution of the material analysis at low electron beam energies. Moreover, the count rate of the microcalorimeter detector is limited. When the thermal path between the absorber and the cold substrate is sufficiently weak to provide a high amplitude temperature pulse upon absorption of an x-ray, the absorber takes longer to cool back down after a pulse is detected, reducing the count rate. A more thermally conductive path between the x-ray absorber and the cold sink substrate would allow more pulses per second to be counted, but would reduce the temperature change, and therefore the measurement accuracy of the x-ray energy. The industry needs an EDS system capable x-ray mapping at high spatial resolution and high energy resolution. An object of the invention, therefore, is to provide an EDS microcalorimeter system with the capability of performing high resolution mapping. The present invention includes a microcalorimeter-type EDS system that provides sufficient resolution and throughput for practical high spatial resolution x-ray mapping of a sample. Some embodiments of the invention use a wide-angle opening for x-ray optics to increase the fraction of x-rays leaving the sample that impinge on the detector. Some embodiments of the invention use multiple detectors to improve the maximum count rate that can be processed by the EDS system. Some embodiments of the invention use a neutron transmutation doped temperature measurement element to improve resolution. Some embodiments use a tin x-ray absorber. Some embodiments of the invention use a JFET amplifier to measure the change in current of the temperature-sensing element. Some embodiments of the invention include a dual beam system that provides an electron beam and an ion beam. The electron beam can be used to generate x-rays for analysis and the ion beam can be used to expose underlying material to analyze. By repeatedly analyzing a layer of material, removing the analyzed layer, and analyzing the newly uncovered layer, a three-dimensional map of material present on the sample can be created. Some embodiments use rapid electron beam scanning and periodic plasma cleaning to enable drift correction, and minimization of sample damage, contamination buildup and charging; that is problems the severity of which increases with improved spatial resolution. The foregoing has outlined rather broadly the features and technical advantages of the present invention in order that the detailed description of the invention that follows may be better understood. Additional features and advantages of the invention will be described hereinafter. It should be appreciated by those skilled in the art that the conception and specific embodiments disclosed may be readily utilized as a basis for modifying or designing other structures for carrying out the same purposes of the present invention. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the invention as set forth in the appended claims. The accompanying drawings are not intended to be drawn to scale. In the drawings, each identical or nearly identical component that is illustrated in various figures is represented by a like numeral. For purposes of clarity, not every component may be labeled in every drawing. Preferred embodiments of the present invention provide an improved microcalorimeter-type EDS system. Various embodiments of the system provide improved throughput, reliability, stability, and energy resolution. A preferred method or apparatus of the present invention has many novel aspects, and because the invention can be embodied in different methods or apparatuses for different purposes, not every aspect need be present in every embodiment. Many the aspects of the described embodiments may be separately patentable. A preferred embodiment of the invention comprises an x-ray microcalorimeter together with a dual beam system configured so as to enable high-resolution two-dimensional or three-dimensional x-ray mapping. FIG. 4 shows a preferred embodiment of the present invention. A microcalorimeter-type EDS system 400 includes a vacuum chamber 401 for maintaining a sample 402 on a sample XYZ stage 403, electron beam column 404 and an ion beam column 405. Electron beam column 404 includes a high brightness electron source, such as a Schottky emitter or cold field emitter, and ion column 405 is preferably includes a liquid metal ion source or a high brightness plasma source. A laser beam could be provided in place of or in addition to the focused ion beam system for removing material from the surface. The vacuum chamber could be a high vacuum chamber or the higher pressure vacuum chamber of an environmental scanning electron microscope. The system also preferably includes a plasma generator 406 for generating a plasma to remove contamination, such as carbon deposition, from the sample 402 before and during processing. A computer 408 controls the operation of the parts of system 400. A computer memory 409 stores a program for operating system 400 in accordance with the methods of the present invention. An x-ray optic 410 has a large acceptance angle, preferably greater than 10 degrees, more preferably greater than 15 degrees, and most preferably about 20 degrees or greater. The acceptance angle is the greatest angle at which a ray entering the opening will be transmitted through the optic. That is, a ray entering the optic parallel to an axis of the optic will be transmitted, but a ray entering the optic at a sharp angle to the axis will not be transmitted. X-ray optic 410 is preferably of the glass capillary bundle type. X-ray optic 410 preferably includes a point-to-parallel collimating lens 412 and then a parallel-to-point focusing lens 414 to transfers the x-rays from the vacuum chamber to detector assembly 420, which may include multiple individual detectors. The x-ray focusing optic can alternatively be comprised of a single point-to-point glass capillary focusing lens. A point-to-point focusing optic receives x-rays emanating from a point on the surface and focuses those x-rays to a point on the detector. Point-to-parallel-parallel-to-point optics receive x-rays from a point on the sample, collimate the x-rays so that they are moving parallel to each other for a distance, and then the parallel rays are converged back to a point. Point-to-parallel and parallel-to-point optical elements allow the x-rays to be routed over a range of distances between the sample to the detector simply by changing the distance between the elements 412 and 414, but the additional optical element also reduces the efficiency of the transfer. The glass capillary bundle is similar to the one specified in U.S. Pat. No. 6,094,471 to Silver et al., but with an increased acceptance angle. Such x-ray optics are available commercially, for example, from X-Ray Optical Systems, Inc., East Greenbush, N.Y. The increased entrance angle allows the optic to receive x-rays from a larger solid angle from the sample. A gold foil-type optic can also be used, to reduce cost. The x-ray optic typically functions as a low pass filter and can be tuned to maximize the transmission of low energy x-rays, and to reject undesired higher energy x-rays. The x-ray optic preferably has a focal length of between 10 mm and 1000 mm, for example, 470 mm, and rejects x-rays above an energy of approximately 2.3 keV. The focal length is the distance from the sample to the x-ray optic. The rear focal length is the focal length from the optic to the focal plane that typically contains the detector that receives the x-rays. The front and rear focal lengths may be equal or different. The microcalorimeter preferably provides a large solid angle of collection, that is, a solid collection angle greater than 10βˆ’3 sr, more preferably greater than 10βˆ’2 sr, and most preferably greater than 10βˆ’1 sr. The large solid angle can be achieved, for example, through the use of a high efficiency x-ray focusing optic or by detector placement in close proximity to the sample. The large solid angle allows more of the x-rays generated at the sample to be routed to the detector. Detector assembly 420 preferably includes multiple individual detectors cooled by a single cryostat. FIG. 5 shows a preferred detector assembly 420 comprises an array 502 that preferably includes at least nine individual detectors 504, all cooled by a single cryostat 506. Each includes an x-ray absorber 507 and a temperature sensor 508 between the absorber 507 and the cryostat 506, with the temperature sensor connected to the cold sink by the leads as in FIG. 6 below. Multiple individual detectors increase the count rate of the detector assembly by preventing pulse pileup artifacts. The x-rays from the sample are spread over multiple detectors, so that while one detector is temporarily unavailable due to its detecting a first pulse, other detectors can be detecting other incoming x-rays. The use of multiple detectors allows each individual detector to be designed for higher resolution without reducing the overall ability of the system to process incoming x-rays. That is, the thermal connection between the absorber and the cold sink can be weaker so that the signal from each pulse is greater, thereby providing higher energy resolution while reducing the maximum count rate requirement of the individual detector. The x-ray flux is preferably the same at each of the multiple detectors comprising the detector array 502. Depending on the x-ray optics and the area of the array 502, the constant flux profile may be achieved by positioning the x-ray detector array 502 in the focal plane of x-ray optic 410, or x-ray detector array 502 may be positioned away from the focal plane so that the x-ray flux is decreased and the x-ray image expanded over the array. FIG. 6 shows a preferred individual x-ray detector 504 that comprises a tin absorber 604 maintained at about 60 mK and a temperature measuring device 608, which is in thermal contact but electrically isolated from absorber 604. The absorber 604 is preferably about 200Γ—200 microns in area and about 10 microns thick. Temperature measuring device 608 preferably is preferably a germanium semiconductor, doped with Se, Ga and As by neutron transmutation doping. Neutron transmutation doping provides a very uniform doping profile with a dopant concentration greater than 1016 cmβˆ’2. Leads 610 provide electrical and thermal links from the temperature sensor 606 to a pre-amplifier and a cold bath. The leads 610 from the multiple x-ray detectors are in contact with cryostat 506 to cool the absorbers back to operating temperature after detecting a pulse and warming. The thermal conductance between the tin absorber and the cold sink is preferably sufficiently high for the absorber to cool back to operating temperature in less than 300 ΞΌs. The detectors of FIG. 5 can provide an energy resolution of better than 3 eV at approximately 2 keV. FIG. 7 shows a typical output pulse from a detector. The signal height is related to the ratio of the energy of the detected x-ray and the heat capacity of the detector. The pulse height can be increased by reducing the thermal conductivity between the absorber and the cold sink, but then the tail will extend further, reducing the number of counts possible per second. Reducing the size of the detector reduces its heat capacity, thereby increasing the signal height. Using multiple detectors allows each detector to be smaller, thereby increasing the signal height and improving resolution. The size of the x-ray image in the focal plane of the x-ray optic will depend on the design and focal length of the optic. If the image is significantly smaller than the area occupied by the multiple sensors, then the sensors can be moved away from the focal plane until the x-ray image occupies most of the sensor array area. Because the x-ray image is typically circular and the detector array is not, as the image is further defocused and becomes larger, some of the x-rays will impact outside of the detector array. Skilled persons will be able to determine an optimum position for the detector array so that the x-rays are sufficiently spread among the detectors to provide a high pulse count rate, while minimizing x-rays that fall outside the detector area. The output of the multiple detectors are preferably multiplexed into a single JFET pre-amplifier, as described in U.S. Pat. No. 6,310,350. A preferred dual beam can optionally employ: A cryogenic specimen stage to enable the analysis of vitrified biological samples, and other vacuum-incompatible materials. High speed electron beam scanning and electron imaging to enable real time drift compensation during high resolution x-ray mapping. The electron beam scan rate is defined relative to the inverse of the x-ray map acquisition time. A fast scan rate is preferably 10 times greater than the inverse of the map acquisition time, more preferably 100 times greater, and more preferably still 1000 times greater. For example it the x-ray map acquisition time is 10 minutes, then the frame time is preferably 60 s, more preferably, 6 sec, and most preferably shorter than 0.6 sec. Various embodiments may include: High speed electron beam scanning and periodic RF plasma cleaning of the sample (e.g., a 20 sec plasma clean once every 5 min) to minimize contamination buildup during low energy, high resolution mapping. Gases that can be used for plasma cleaning include air, H2O, O2 and H2. An electron flood gun to minimize charging during low energy x-ray analysis and during sample milling by positive ions. The injection of gases such as H2O and O2 into the specimen chamber during x-ray mapping in order to minimize contamination buildup and charging. The gases are preferably injected in small quantities using a capillary-style gas injection system. A heating stage to gently heat the sample (e.g., up to 50, 100 or 150Β° C.) in order to minimize contamination buildup during x-ray mapping. Gas-assisted etching to maximize the sample surface quality during 3 dimensional analysis. Besides using the ion beam to successively expose layers for three-dimensional X-ray mapping, the ion beam can also be used to expose buried sample features for X-ray analysis. Embodiments of the invention can also be used for high resolution imaging of stained biological tissue, and tissue labeled with functionalized x-ray tags. Such imaging modes can optionally be applied to thin bio samples, and combined with in-situ scanning transmission electron microscopy (STEM) imaging in order to achieve correlative microscopy. Optimal correlative microscopy will likely be achieved by performing x-ray mapping using a low beam energy (e.g., 1.5 to 5 keV, in order to maximize the x-ray fluorescence efficiency of nano-scale tags and thin bio tissue) and STEM using an elevated beam energy (e.g., 10 to 30 keV). Embodiments of the invention include the use materials that are optimal for x-ray tagsβ€”i.e., materials, such as Mg, Al and Si that exhibit a good compromise between stopping power and efficient, characteristic, low energy x-ray emission lines. Specifically, analyses using low energy K lines are preferred over L lines and L lines are preferred over M lines; however, the stopping power of materials with low energy K lines is typically lower than that of materials with low energy L & M lines, so a skilled person can determine single and multi-element materials that are expected to be optimal as a function of beam energy and tag diameter, for example, by using Monte Carlo simulations. Embodiments of the invention enable three-dimensional, high resolution x-ray mapping. Three-dimensional, high resolution x-ray mapping has different system requirements compared to conventional EDS mapping because conventional EDS mapping is typically performed at low magnifications, using high electron beam energies. Prior art microcalorimetry-based x-ray mapping is limited by shot noise due to very low count rates. Applicants have developed a system to characterize a microcalorimeter-type EDS system using a β€œfigure of merit” (FOM) that can be determined for various systems. The figure of merit represents the x-ray count in each peak per unit charge injected into the sample by the electron beam. The figure of merit therefore has units of x-ray counts per nano Coulomb (nCβˆ’1). The figure of merit of a particular system will depend on the x-ray fluorescence efficiency, x-ray absorption rate inside the sample, and on the efficiency of the collection and detection system. That is, a detector that detects x-rays over a larger solid angle will have a higher figure of merit. Applicants have determined that a figure of merit of at least 100 nCβˆ’1 is preferred for characteristic x-rays emitted from a major constituent of the sample volume interrogated by the electron beam, in order for the EDS system to provide a sufficient signal to form an x-ray map with an acceptable signal-to-noise ratio in a reasonable period of time, for example, less than about 20 minutes. In many cases, a system having a figure of merit of less than 100 nCβˆ’1 would require too large of a beam current to yield a sufficient x-ray signal-to-noise ratio in a reasonable time. After defining the acceptable limits on some parameters such as the minimum useful map size, maximum acceptable beam current and the maximum acceptable acquisition time, one can determined the count rate corresponding to a particular FOM (i.e., a particular signal-to-noise ratio) and therefore determine the number of detectors required to process the detected x-rays. In a particular system, the collection efficiency is fixed and the count rate can be increased by increasing the beam current. Alternatively, one can fix the beam current and increase the acquisition time to increase the total number of counts without increasing the count rate. The figure of merit is a single quantity that can be used to quantify the effectiveness of a microcalorimeter x-ray detector at x-ray mapping, and to quantify the corresponding x-ray count rate at a given beam current. The minimum preferred figure of merit of 100 nCβˆ’1 derives from the assumption that an x-ray map should include at least 100 pixels by 100 pixels, the beam current should be smaller than or equal to 1 nA and map acquisition should not take longer than 16.7 minutes to perform. Using the figure of merit approach, applicants have been able to create embodiments of the invention that provide unexpected improvements over the prior art and are practical for two-dimensional or three-dimensional x-ray mapping with high spatial resolution, and with maps having 100 pixels by 100 pixels, or more. The figure of merit is defined as (N*X)/(I*T), in which: β€œN” is the minimum number of pixels needed to form an x-ray map (e.g., 100Γ—100) β€œX” is the minimum number of x-ray counts per pixel in a single x-ray peak (e.g., 10, corresponding to a shot noise-limited signal-to-noise ratio of 100.5˜3.2) β€œI” is the maximum acceptable beam current (e.g., 1 nA) β€œT” is the maximum acceptable map acquisition time (e.g., 10 to 20 minutes) For example, an x-ray map of 100 pixels by 100 pixels providing 10 counts per pixel in an x-ray peak that is obtained using a beam current of 1 nA over a time period of 16.7 minutes provides a figure of merit of 100 nCβˆ’1 and a shot noise-limited signal-to-noise ratio of approximately 3.2. The FOM can be scaled arbitrarily by relaxing or tightening the above data collection parameters. However, the above values are defined to represent the limits that are preferably met or exceeded to enable practical high spatial resolution x-ray mapping. The FOM is a function of the maximum acceptable map acquisition time (T) and, at a given electron beam current, the FOM translates to a specific x-ray count rate, and hence defines the number of detectors needed to avoid pulse pileup artifacts. For example, the above values of N, X, I and T yield a count rate of 100 cps per x-ray peak. In a real-world example, an x-ray spectrum may contain, for example, 5 peaks, and a high quality microcalorimeter may have an FOM of 1000 nCβˆ’1 at each peak, translating to an x-ray arrival rate of 5000 cps at the detector array when using an electron beam current of 1 nA. Hence, if the maximum count rate of a single detector is, for example, 500 cps, then an array of more than 10 detectors is needed to avoid pulse pileup artifacts (i.e., 500 cps per detector plus additional detectors needed to process x-rays comprising the Bremsstrahlung background radiation). Table I shows the effect of electron beam current and count rate on map acquisition times corresponding to a figure of merit of 100 nCβˆ’1. TABLE 1CurrentCounts/secTime perTime perTime per(nA)(CPS)Pixel (sec)line (min)map (min)10.0111016.671666.6720.11011.67166.67311000.1.1716.6741010000.01.021.375100100000.0010.00.017 The mapping times for some configurations in Table 1 are impractically long, and the count rates for others would require a large number of detectors to avoid pulse pileup artifacts. As the electron beam current increases from 0.01 nA to 100 nA, more x-ray photons are generated per unit time by the electron beam and delivered to the sample. If the detector were capable of the count rates in the second column, the third through fifth column describes the times required per pixel, per 100 pixel line, and per 100Γ—100 pixel map. Applicants have determined that less than 20 minutes is an acceptable time in many cases to determine a 100Γ—100 pixel map, and a count rate of 100 counts per second is achievable at 1 nA, as described in the third row of the Table 1. Because the figure of merit depends on the collection efficiency, it will vary with the efficiency of the x-ray optic that collects x-rays from the sample and transmits them to the detector. FIG. 8 illustrates the figure of merit for a number of characteristic x-ray peaks excited in single element materials by a 5 keV electron beam, corresponding to: β€œAu optic”: This curve is representative of prior art microcalorimeters that utilize Au spiral x-ray focusing optics. Such systems are appropriate for spectroscopy, but the throughput is inadequate for x-ray mapping (since the figure of merit is smaller than 100 nCβˆ’1 for each x-ray line shown in the plot). β€œ2.5Β° glass optic”: This curve is representative of typical prior art microcalorimeters that utilize glass capillary x-ray focusing optics with small opening angles. Such systems are appropriate for spectroscopy, and the throughput is only just adequate for x-ray mapping using some of the x-ray lines (since the figure of merit is greater than 100 nCβˆ’1 for some of the x-ray lines shown in the plot, e.g., the Zn L line). However, the throughput is adequate only for mapping the distributions of major constituents, and completely inadequate for some emissions (e.g., the Au M emission). More importantly, the throughput is inadequate for x-ray mapping using electron beam energies smaller than 5 keV because the FOM decreases as the electron beam energy is decreased below 5 keV. β€œ20Β° glass optic”: This curve is representative of an embodiment in the invention that utilizes a glass capillary x-ray focusing optic with a large opening angle of 20Β°. Such a system is appropriate for high resolution x-ray mapping since the figure of merit is much greater than 100 nCβˆ’1 for all x-ray lines shown in the plot. In addition, the throughput is adequate for x-ray mapping using electron beam energies smaller than 5 keV (e.g., if the beam energy is reduced from 5 to 2.5 keV, the FOM corresponding to the Cu L emission decreases by approximately a factor of 4.5, yield an FOM that's still much greater than 100 nCβˆ’1). FIG. 9 shows an overall graph 902 of an x-ray spectrum, and smaller graphs 904, 906, and 908 showing enlarged portions of graph 902. When a typical prior art system is used, the Mg KΞ± peak, designated by reference number 910 has a figure of merit of 12.9 nCβˆ’1. When an improved glass capillary with a wider acceptance angle, the figure of merit improves to 387 nCβˆ’1 and when the glass capillary with a 20Β° opening angle is used, the figure of merit increases to 7367 nCβˆ’1, which corresponds to a count rate of 764 cps when using an electron beam current of 100 pA. Such a count rate can be processed by two or three detectors. If the current were to be increased to 1 nA, the count rate would increase to 7640 cps, and additional detectors would be required to avoid pulse pileup. Similarly, if there are 10 x-ray lines of equal intensity, then a count rate of 7640 cps would need to be accommodated at a beam current of 100 pA. Similarly, the Oxygen KΞ± peak from the native oxide overlayer has a figure of merit of 3.7 nCβˆ’1 for a typical prior art system, 111 nCβˆ’1 for a system using an improved glass capillary with a wider acceptance angle, and 2190 nCβˆ’1 for a system using an improved glass capillary with a 20Β° acceptance angle. Preferred embodiments of the invention provide a figure of merit for the Au M line emitted from bulk Au irradiated by 5 keV electrons of greater than 100 nCβˆ’1, and more preferably provide a figure of merit greater than 1000 nCβˆ’1. Some embodiments provide a figure of merit for the Mg K line of bulk Mg (containing a native oxide) irradiated by 5 keV electrons greater than 500 nCβˆ’1, and more preferably provide a figure of merit greater than 1000 nCβˆ’1. FIG. 10 shows a preferred method in accordance with the present invention. In step 1002 a sample is positioned in the vacuum chamber of a dual beam system with a microcalorimeter-type EDS of the present invention. The sample may be, for example, a semiconductor circuit or a biological sample. In step 1004, the sample chamber is evacuated to a suitable pressure, depending on the sample. In optional step 1006, an ion beam or a plasma is directed toward the surface of the sample to clean the surface. In step 1008, an electron beam is directed toward a point on the substrate surface. For x-ray mapping, the beam preferably has an energy of between 2000 eV and 7000 eV, typically about 4,000 eV or less and a beam current of between 0.01 nA and 2 nA, typically 0.5 nA. The beam preferably has a spot size of less than 30 nm and more preferably less than 15 nm, and even more preferably 10 nm or less. As the electrons impact the surface, x-rays are emitted from the point in step 1010. In step 1012, the x-rays are conducted by an x-ray optic from the sample surface and from the optic to x-ray detectors. In step 1014, the detectors determine the energies of the x-rays received while the electron beam is at the first point. Decision block 1016 determines when a predetermined dwell period has passed or when a sufficient number of x-rays have been detected to determine the material present at the first point at a sufficient signal-to-noise ratio. The electron beam remains directed to the same point for a predetermined dwell time, which is sufficient to determine the composition of the point to a desired accuracy. The dwell time required at each point will depend on the electron beam current and the type of material. Preferably, the electron beam is positioned at each point for a sufficient time so that at least ten counts are registered for each x-ray peak present. In some embodiments, the electron beam remains directed to each point for about 0.1 seconds. In some embodiments, dwell time varies at different points on the sample surface until a pre-determined number of x-rays is detected, and the dwell time at each point is recorded and used to normalize the number of x-rays detected from each point. When sufficient data is received to map the current point, if the map is not complete and additional points are to be mapped (decision block 1020), the electron beam moves to the next point in step 1022 and the detection process is repeated from step 1008. In one embodiment, the electron beam scans an area of at least 100 dwell points by 100 dwell points to form a map with 10,000 pixels. During mapping the position of the electron beam is optionally recalibrated periodically, for example, by viewing a fiducial, to compensate for drift in the electron beam position. When an entire array of pixels is completed, it is determined in decision block 1030 whether there is an additional layer to be mapped. If so, the ion beam removes a layer of material in step 1032. For example, the ion beam may remove about 20 nm The ion beam may be used with a precursor gas to assist etching or may simply sputter material. Skilled persons can readily determine the required ion beam parameters for removing a layer. In step 1022, the process of mapping the new layer begins again with step 1008. In another embodiment of the invention, the electron beam is scanned rapidly and repeatedly over the sample region of interest. The data collection system records the energy of each x-ray detected by the microcalorimeter, as well as the electron beam position at the sample surface. Alternatively, the data collection system records the energy of each x-ray and the time at which each x-ray is detected. The time information is then used to calculate the electron beam position corresponding to each x-ray detection event and to construct an x-ray map. The x-ray map can either be constructed in real time, during analysis, or after data collection is complete. The fast scanning method has the advantage that a large number of electron images can be collected during x-ray detection. This is possible because the emission rate of electrons is typically much greater than the emission rate of x-rays from the sample. Common secondary and backscattered electron detectors such as the Everhart-Thornley scintillator-photomultiplier detector and the Si solid state detector can be used for electron imaging. If the sample is sufficiently thin, transmitted electrons can also be used to form electron images. The electron images can be used to recalibrate the electron beam position periodically to correct for sample or beam drift occurring during x-ray mapping. The multi-detector array can be placed into or outside the focal plane of the optic so as to maximize the x-ray collection efficiency and minimize the maximum x-ray flux incident onto any single detector. The dual beam system provides an electron beam (for low energy, high resolution x-ray mapping) and a means to remove surface material from the sample (to enable depth-resolved x-ray analysis). Material removal is preferably performed by a focused ion beam, but can also be achieved using a broad ion source, a plasma source, or a laser. The invention has broad applicability and can provide many benefits as described and shown in the examples above. The embodiments will vary greatly depending upon the specific application, and not every embodiment will provide all of the benefits and meet all of the objectives that are achievable by the invention. Although much of the previous description is directed at semiconductor wafers, the invention could be applied to any suitable sample. Further, whenever the terms β€œautomatic” β€œautomated” or similar terms are used herein, those terms will be understood to include manual initiation of the automatic or automated process or step. In the following discussion and in the claims, the terms β€œincluding” and β€œcomprising” are used in an open-ended fashion, and thus should be interpreted to mean β€œincluding, but not limited to . . . ” The accompanying drawings are intended to aid in understanding the present invention and, unless otherwise indicated, are not drawn to scale. Although the present invention and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made to the embodiments described herein without departing from the spirit and scope of the invention as defined by the appended claims. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.
description
This application claims priority from U.S. Provisional Application 61/472,976, filed Apr. 7, 2011, which is hereby incorporated by reference. The invention relates to a method of protecting a radiation detector in a charged particle beam apparatus, the apparatus comprising a source for producing a beam of charged particles, a condenser system comprising lenses for illuminating a sample, a projection system comprising lenses for forming a magnified image of the sample on a detector system, the detector system comprising a radiation detector, the method comprising: A step of exposing the detector to radiation using a first set of parameters, the set of parameters including condenser lens settings, projection lens settings, charged particle beam energy and beam current, A step of requiring a change in parameters, Such a method is known from Transmission Electron Microscopy (TEM). In a TEM an electron gun produces an energetic beam of electrons, with an adjustable energy that is typically between 50 keV and 400 keV. The electron beam is manipulated by condenser lenses and deflection coils to irradiate (illuminate) a sample, said sample held at a sample position. The sample can be positioned by a sample holder, so that an area of interest can be brought into view. A projection system forms an enlarged image of a part of the sample on a detector system. Typical magnification ranges from 103 times to more than 106 times, and typical resolution being as small as 100 pm or less. The image is typically formed on a detector with a fluorescent screen, in which the fluorescent screen is imaged on a CCD or CMOS chip. However, more and more direct electron detectors (DEDs) are used. Advantages of a DED are, for a given exposure, a better signal-to-noise ratio (SNR). This is advantageous as samples are damaged by the irradiating beam of electrons, and it is thus essential to get an image with as little electrons hitting the sample as possible. A drawback of DEDs is that they can easily be over-illuminated. Experiments by the inventors showed that in a hardened CMOS chip an exposure to a total dose of 5Β·106 electrons in a 14Β·14 ΞΌm2 pixel results in permanent damage, more specifically to an increase in so-named dark current resulting in a decrease of SNR and a decrease of dynamic range that leaves the detector inoperable. This mentioned dose relates to an accumulated dose over the life time of the detector, and therefore even a short exposure to a high current density should be avoided. For a discussion of a CMOS direct electron detector, its advantages and its failing mechanism see e.g. β€œCharacterisation of a CMOS Active Pixel Sensor for use in the TEAM Microscope”, M. Battaglia et al., Nuclear Instruments and Methods in Physics Research Section A, Volume 622, Issue 3, p. 669-677. As known to the person skilled in the art TEM settings like magnification, condenser settings, etc., are often changed during an observation session of a sample. The prior art method for avoiding damage to the DED when changing microscope settings involves: beam blanking during while changing lens excitations, and retraction of the detector and measuring the current/current density falling on e.g. a fluorescent screen using the new settings (this is known as the screen current). Based on this measurement it is decided the insert the DED again, or to change the microscope settings. This is a quite time consuming process. Therefore often another method is used, based on user expertise, but this may well result in permanent damage to the DED. There is a need for a fail-safe and quick method for protecting a radiation detector in a charged particle beam apparatus. The invention intends to provide such a method. To that end the method of the invention is characterized in that the method comprises A step of predicting the flux density to which the detector will be exposed at the changed parameters before realizing said change in parameters, the prediction based on an optical model and/or a look-up table with one or more input variables from the group of condenser lens settings, projection lens settings, charged particle beam energy, beam current as input, and A step of comparing the predicted flux density with a predetermined value, and, depending on the comparison, either Implement said change of parameters when the predicted flux density is below the predetermined value, or Avoid exposure of the detector to the flux density associated with the requested change in parameters when the predicted flux density is above the predetermined value. The invention is based on the insight that, when a behavior model of the optics of the charged particle beam apparatus is available [in the form of an optical model or in the form of a Look-Up-Table (LUT)], it can be predicted to what exposure the radiation detector will be exposed. If the predicted exposure is above a predetermined level, the changes are not implemented and/or the beam is kept blanked, so that the detector is not exposed to said high level of radiation. If, however, the predicted exposure is below the predetermined level, it is safe to expose the detector to said radiation, and the changes can be implemented. Preferably the instrument generates an error message or a warning when the predicted flux density is above the predetermined value, and the requested change in parameters is not effectuated. In a preferred embodiment the radiation detector is a Direct Electron Detector, the radiation comprises electrons and the detected flux density is a current density. When detecting electrons, the flux density can be expressed as a current density. Also other, non-SI units, such as electrons per ΞΌm2 per second are used. The charged particle beam apparatus can be a Transmission Electron Microscope. As mentioned earlier DEDs are used in TEMs. An example is the Falconβ„’ detector for use in a Titanβ„’, both manufactured by FEI Company, Hillsboro, USA, applicant of this invention. Preferably, when a warning or an error message is generated the excitation of the lenses is not changed and//or the beam is kept blanked, as a result of which the detector is not exposed to excessive exposure, resulting in permanent damage to the detector. Changing the excitation of lenses and or beam energy may result in high exposure of the detector. It is therefore preferred that, during changes, the beam is blanked with a beam blanker (either electrostatic or magnetic, or a combination thereof) The method proved especially effective for protecting CMOS and CCD chips against over-exposure, said over-exposure resulting in permanent damage to the detector. To improve the accuracy of the Look-Up Table (LUT) or the optical model, a calibration can be performed. As will be clear to the person skilled in the art, the better the optical model is, the less calibration is needed. Also, when the observation session is limited to one beam energy, the calibration can be limited to that energy. The invention is now elucidated using figures, in which corresponding numerals refer to corresponding features. FIG. 1 schematically shows a Transmission Electron Microscope with a Direct Electron Detector. FIG. 1 shows an electron source 101 that emits a beam of electrons round optical axis 100. Alignment coils 102 center the beam round the optical axis, and beam limiting aperture 103 limits the beam and the beam current. Condenser lenses 104 manipulate the beam so that a sample 111 at a sample position is illuminated. The position of the sample can be changed by sample manipulator 112, so that only the area of interest is illuminated. The sample is placed in the field of magnetic objective lens 105 as a result of which the objective lens forms an intermediate image of the sample. Said intermediate image, that is already an enlarged image, is further magnified by projection lenses 106 until a magnified image is formed on fluorescent screen 107. The fluorescent screen can be viewed via viewing port 108. The screen is mounted on a hinge 109, enabling the screen to be removed from the path of the beam, so that the magnified image is formed on direct electron detector 151. Electrically screen 107 is via lead 152 connected to a current measuring unit 153, so that the current impinging on the screen can be measured. The TEM further comprises a housing 120, pumping ducts 121 and one or more vacuum pumps 122. The microscope further comprises a controller (not shown) for controlling all signals, and also for acquiring the detector signal and representing this on a monitor (not shown). It is noted that the acquisition and processing can be done by the microscope controller, but may also be done by a separate computer. Said computer may be in communication with the controller, and it is then a matter of semantics whether to define the computer as part of the controller or not.The microscope further typically comprises a beam blanker (not shown), typically a magnetic deflector positioned between electron source and sample position, to blank the beam. The one or more vacuum pumps typically evacuate the TEM to a vacuum of between 10βˆ’4 mbar (at the detector area) to 10βˆ’10 mbar (at the electron source area. As known to the skilled person the type of vacuum pump can be found in the group of ion getter pumps, turbo-molecular pumps, oil diffusion pumps, etc, if necessary extended with pre-vacuum pumps. The sample in a TEM is an extremely thin sample, typically between 25 nm and 1 ΞΌm. Such a thin sample is at least partly transparent to the electrons impinging on it, the electrons having an adjustable energy of typically between 50 keV and 400 keV. As a result of that a part of the electrons are transmitted through the sample, albeit that they may interact with the sample and are, for example, scattered or lose energy. An image can be made using the transmitted electrons, the image showing absorption contrast (parts of the sample intercepts more electrons than other parts), or phase contrast (by the interference of non-scattered electrons and scattered electrons) or show energy loss. It is noted that also other types of information can be derived, such as crystallographic information by imaging the diffraction pattern. As the sample is easily damaged by the irradiation with energetic electrons, the exposure to said electrons should be kept to a minimum. This demands detectors with a high SNR, also expressed as a high DQE (Detected Quantum Efficiency). DEDs are introduced to answer that demand, but as mentioned earlier, a problem is that DEDs are damaged by the impinging electrons and the detector reaches end-of-life after detecting a certain amount of electrons per ΞΌm2 (the exact amount depending on detector design and electron energy). In the prior art the current in a spot (representing an area on the screen) is measured with amp-meter 153 and using that measurement the user must make a decision whether to expose the detector to the electrons. The invention proposes to proactively estimate the current on the detector 151 by predicting this using a LUT or an optical model of the microscope, more specifically an optical model comprising the aperture 103, condenser lenses 104, and projection lenses 106, as well as the voltage of electron source 101. It is noted that this figure only shows a schematic drawing, and that a TEM comprises many more alignment coils, apertures, etc., and that also more detectors may be used, such as X-ray detectors. Also the DED may take the form of, for example, a silicon die that is inserted to the beam path. All these variants are obvious to the person skilled in the art. FIG. 2 schematically shows a flow-diagram for the method according to the invention. Step 200 shows that a TEM using a first set of parameters is provided. Step 202 shows that the beam is blanked, to avoid unnecessary illumination of the detector. Step 204 shows that a request or instruction is given to the controller of the microscope to set the parameters to another set of values. Step 206 shows that the dose resulting from the requested parameter set is predicted. Step 208 shows that this predicted value is compared to a predetermined value. Step 210 shows that, when the predicted value is less than the predetermined value, the parameters are set to the requested values. Step 212 shows that the beam is unblanked, so that the detector is exposed to a value that should be in close approximation to the predicted value determined in step 206. Step 214 shows that, when the predicted value exceeds the predetermined value, a warning is generate preferably on a User Interface (UI) Steps 200, 202, 204, 210 and 212 are part of the prior art: the user of the TEM operates the microscope at a first set of parameters (sample current and sample current density, magnification, beam energy) and wants to change the parameter set, for example because during the observation session of the sample an image at a different magnification needs to be made. The beam is blanked, the microscope controller is instructed to change the relevant parameters, and the beam is unblanked again. At the moment that the beam is unblanked, the detector can be exposed to a high dose, thus using up its life time dose limit. To avoid this undesired exposure the invention proposes to predict the dose that will be falling on the detector before changing the parameters. Such a prediction is preferably made using a LUT (Look-Up-Table) or an optical model where the effect of the set of parameters can be estimated. The LUT can require interpolation, and can have as many dimensions as needed. Also an optical model, in which the behavior of the parameters is expressed in formulae, can be used. Even a mixture of a LUT and an optical model can be used. It is mentioned that the accuracy of a LUT and an optical model is improved by calibration, that is: by determining what dose and/or dose dependency occurs at a given set of settings. It is noted that blanking the beam in step 202 is here depicted prior to formulating the desired parameter set in step 204, but that it is not necessary to do it in this order. It is even possible to perform the method with blanking/unblanking the beam, but a problem may occur in that during the changing of parameters intermediate situations occur in which the illumination of sample and/or detector exceeds maximum levels. After generating the warning and/or error message in step 214, changes to the parameter set are preferably postponed and the (controller of the) microscope waits for instructions of the user, after which the whole process is typically repeated from step 204 onwards. It is noted that it is envisaged that the user is capable of overriding the warning or error message, thus taking the risk of overexposure and possible damage of the detector. It is noted that step 214 can be implemented as a β€˜no action’ (not generating an actual warning or error message), and for example an explanatory part in the user manual of said instrument. However, a warning or error message is preferred. The warning or error message may take the form of an audible warning, a text message on a control screen, a visible warning in the form of a blinking light or a colored LED, or the like. It is further noted that avoiding exposure of the detector may involve blanking of the beam with e.g. a magnetic blanker, an electrostatic blanker, or a mechanical shutter, but may also involve retraction of the detector to a position where it is not exposed to the damaging radiation, and observe the image with another detector that is less prone to damage stemming from irradiation, such as a fluorescent screen.
summary
abstract
A method of isolating 186Re according to example embodiments may include vaporizing a source compound containing 185Re and 186Re. The vaporized source compound may be ionized to form negatively-charged molecules containing 185Re and 186Re. The negatively-charged molecules may be separated to isolate the negatively-charged molecules containing 186Re. The isolated negatively-charged molecules containing 186Re may be collected with a positively-charged collector. Accordingly, the isolated 186Re may be used to produce therapeutic and/or diagnostic radiopharmaceuticals having higher specific activity.
description
This application is a 371 of PCT/EP2013/053036, filed on Feb. 15, 2013, which claims priority to German Application No. 102012101300.4, filed Feb. 17, 2012. The invention relates to a locking mechanism, in particular for containers for transporting radioactive substances, with a first and a second component, each with a comb-like section, whereby a bolt element passes through the comb-like sections when the locking mechanism is locked. The invention further relates to an arrangement for the transport of in particular Uranium Hexafluoride, comprising an outer container composed of a first and a second shell, whereby the shells can be interlocked by means of at least one locking mechanism, which comprises a first and a second component, each with a comb-like section, whereby a bolt element passes through the comb-like sections when the locking mechanism is locked. The invention particularly relates to a locking mechanism or locking system of containers for transporting radioactive substances, which 1. safeguards a secure closure of the containers in conditions to be expected during normal transport and in case of accidents, 2. facilitates handling in ambient conditions to be expected during worldwide operations, and 3. prevents or substantially impedes opening of the Locking Systems by unauthorized persons. Uranium Hexafluoride is transported in cylindrical steel containers. These containers are specified in ISO 7195 β€œPackaging of Uranium Hexafluoride (UF6) for Transport” or in ANSI N14.1 Uranium Hexafluorideβ€”Packaging for Transport”. During transport, the container must comply with the requirements of TS-R-1 β€œRegulations for the Safe Transport of Radioactive Material” of the IAEA as well as the requirements of all national and international regulations derived therefrom. During transport, steel containers for Uranium Hexafluoride with an enrichment in excess of 1% by weight of Uranium 235 in Uranium are enclosed in a special protective container that is to safeguard compliance with the above-mentioned regulations. The steel container, the special protective container, and the contents of Uranium Hexafluoride are treated by the regulations as one shipping unit. Containers that contain Uranium Hexafluoride with an enrichment in excess of 1% by weight but not exceeding 5% by weight of Uranium 235 in Uranium must meet the following requirementβ€”among other requirements β€”, which arises from standard and accident-related terms of transportation defined in TS-R-1: The thermal test, which is described in the following under subparagraph 5. and is performed with one and the same shipping unit after the tests described by subparagraphs 1. to 4. must not result in any inadmissible pressure-buildup in the shipping unit, which could cause the shipping unit to burst. The following tests are to be performed on one and the same shipping unit: 1. A free-fall drop test from a height that depends on the weight of the shipping unit (1.2 m for a weight of the shipping unit not to exceed 5000 kg, 0.3 m for a weight of the shipping unit in excess of 15,000 kg, with further increments between the two limiting values), onto a rigid and immovable base.2. A penetration test performed by means of a steel rod with a weight of 3.2 kg, which impacts on the shipping unit with its point after a fall from a height of 1 m.3. A fall from a height of 9 m onto a rigid base.4. A fall from a height of 1 m onto a steel cylinder with a diameter of 150 mm and a minimum length of 200 mm.5. A thermal heating test, during which the shipping unit is exposed to fire for a duration of 30 min at a temperature of 800Β° C. On principle, the necessary protective function is provided by a special protective packaging, which entirely surrounds the steel cylinder that is filled with Uranium Hexafluoride. The protective packaging consists of an interior and exterior shell of for example sheet metal, which encloses a shock-absorbing layer and a thermal insulation layer of for example foam. To facilitate loading and unloading, the protective packing is subdivided into a lower and an upper half shell. The two half shells need to be locked together by a fastening mechanism, which ensures the sealing function in the above-mentioned tests according to subparagraphs 1. to 5. The shipping units are usually transported by means of 20β€² ISO flat racks, which on the bottom are equipped with suitable supports. For this purpose, 4 shipping units are screwed to the supports with their longitudinal axes at right angles to the direction of travel. As a rule, the empty special protective packaging are already bolted to flat racks when they are delivered to the suppliers who provide the cylinders filled with Uranium Hexafluoride. Here preferably only the upper half shells are taken off, so that the cylinders can be loaded into the lower half shells, whereupon the upper half shells are reattached. In this, the lower half shells remain firmly mounted to the flat racks. As a result of the dimensioning, the distances separating the individual half-shells are limited to a few cm. The following locking systems or mechanisms are known in the state of technology. A classic locking mechanism consists of a bolt and a nut. This design has been used for decades for the mentioned application purpose. Attached to the outsides of each of the upper and lower shells are several mechanisms, pairs of which are tightened relative to each other by one bolt with nut. Example: Shipping unit MST-30, Certificate of approval Nr. J/159/AF-96. The β€œBolt and nut” design meets the safety-related requirements without restrictions. Handling however is difficult and time-consuming, since high levels of torque have to be applied so ensure proper locking action. In particular in conditions with limited amount of space, application of this torque can not be ensured. These locking systems are usually operated using impact screwdrivers, for example; this leads to high wear and tear and a corresponding high need for repairs. However, the locking mechanism can be opened with simple hand tools so that unauthorized persons also can obtain access to the cargo. Yet another locking mechanism comprises a bolt with cotter pin/ball-lock-pin. This locking system has been employed for decades in packaging-related locking mechanisms. Ball-lock-pins are commonly used in the aviation industry. This mechanism consists of a central section with a bore, over which is upended a forked or tubular component with a matching bore. The bolt/ball-lock-pin is inserted into the aligned bore of the fork/tube-shaped component and the central component and is then secured in place. Example: Shipping unit UX-30, Certificate of approval Nr. USA/9196/B(U)F-96. The design β€œbolt with cotter pin” meets the safety requirements without restrictions. However, handling is quite difficult since to ensure the proper locking function, the cotter pin must be inserted into the bolt and must be locked in a suitable manner. In particular in tight space conditions, this locking cannot be guaranteed. Handling is significantly simpler for the β€œball-lock-pin” design. A disadvantage is the necessary high precision of the locking mechanism components, which can not be guaranteed under the prevailing operating conditions, and the lack of robustness of the precise ball-lock-pins against normal operating conditions. Opening the locking mechanism is possible with simple hand tools (bolt with cotter pin) or even without tools at all (ball-lock pin), so that unauthorized persons could also obtain access to the cargo being transported. A T bolt or eyebolt in combination with a rocker lever is also known in the art as a locking mechanism and for decades has been used for packaging of all types. It consists of several curved arms attached to a half shell, into each of which is inserted a T bolt or onto each of which is hooked an eyebolt, which is connected to the lower half shell via a lever mechanism and is fastened tight by actuating the lever. Example: COG-OP-30B, Certificate of approval Nr. F/358/IF-96. The design β€œBolt with rocker lever” meets the safety-related requirements, but due to the employed jointed mechanism, this locking system is susceptible to damage. This entails high maintenance requirements, since the interaction between arms, bolt, and lever must be checked and adjusted regularly. But the fundamental disadvantage originates from the space requirements for the rotation of the lever. In contrast to the other locking systems mentioned above, it is not possible to load cylinders into half-shells that are positioned close to each other on flat racks, but rather the half-shells must be dismounted from the flat rack, loaded, and re-mounted. However, if the shipping units are mounted on a flat rack in close proximity to each, opening the locking system is not possible without difficulty, which hampers access to the cargo for unauthorized persons. DD-A-150 811 discloses a locking mechanism with bolt and fork arms for securing the cover of a shielding container. Known from U.S. Pat. No. 6,805,253 is a protective container consisting of two shells, whereby the shells can be locked via a cotter pin plus lever mechanism. It is further known in the art to provide special protective containers on both sides with several independent locking closure means that are embodied according to the bolt principle. In this, a bolt passes through interlocking comb-like structures of the locking mechanism (see F. Hilbert et. al, The DN30 Overpackβ€”A New Solution for 30B cylinders, PATRAM 2010, London). It is the objective of the present invention to further develop a locking mechanism as well as an arrangement of the above-mentioned type in a way so that damage from mechanical impact and shear stresses is prevented and that sufficient allowances are present between the elements forming the locking mechanism, in order to allow a low-maintenance operation, without having to accept disadvantages with respect to the locking operation. Furthermore, a single person should be able to operate the locking mechanism in a simple and economical manner. But at the same time it should be ensured that unauthorized persons cannot easily open the locking mechanism. It should also be possibleβ€”if the locking mechanism is employed on a container that consists of two shells, i.e. in particular for the shipping units explained aboveβ€”for the locking mechanism to be operated from the front side of the shipping unit. A locking mechanism of the above-mentioned type in particular is characterized by a bolt element with a head that possesses at least one accommodating recess that extends at right angle to the longitudinal axis of the bolt element, and that at least one of the components possesses a through opening, whichβ€”if the bolt passes through the comb-like sectionsβ€”is aligned with an accommodating recess, and that when the locking mechanism is locked, an element is secured in the opening, portions of which extend into the recess. In particular it is intended that the head of the bolt possesses a planar geometry and possesses head sections with rectangular cross-sections, which extend symmetrically relative to the longitudinal axis of the bolt and project beyond the circumference of the bolt, and that each component possesses a preferably groove-like recess that can accommodate one of the head sections. It is further intended that each head section is provided with one accommodating recess so that one accommodating recess always is aligned with the opening when the stem of the bolt passes through the comb-like structure, i.e. passes through their aligned bores. The element that is secured in the opening preferably is a screw element that can be screwed into the opening. In this, the screw element should possess a head of unconventional shape, in order to at least make it more difficult for unauthorized persons to open it. Each component possesses an in particular cuboid or block-like geometry, whereby the comb-like section extends from a first longitudinal side or is embodied as such. The opening extends from the longitudinal side of the component that is situated opposite to the first longitudinal side. The invention proposes a locking mechanism or locking system that is resistant against mechanical impact and shear stresses, since the block-like or cuboid componentsβ€”also referred to as locking blocksβ€”, may consist of heavy steel components and the bolt and its retaining mechanism are situated within the contour outline of those heavy components. The locking blocks, the interaction between the bores passing through the comb-like structure and the bolt, as well as the groove-like recesses that accommodate the head sections of the bolt may be embodied with large tolerances, without their function being negatively affected. This ensures an operation with little need for maintenance. The fastening element, i.e. the screw element performing the function of a safety bolt, only has to be tightened with low torque values since the fundamental locking function is performed by the interaction between the comb-like structure and the bolt. On account of the invention's design, the locking mechanism can be easily operated by a single person. The safety bolt can be tightened and loosened by means of a simple hand tool. The bolt itself may be pulled out or inserted manually. As mentioned above, a bolt head of unconventional shape of the safety bolt prevents the locking mechanism from being opened by persons that have no access to the special tool. An arrangement for the transport of preferably Uranium Hexafluoride of the above-mentioned type is characterized in that the bolt element possesses a head with at least one accommodating recess extending at right angle to the longitudinal axis of the bolt element, in that at least one of the components possesses an opening, whichβ€”when the bolt passes through the comb-like sectionsβ€”is aligned with at least one accommodating recess, and in that when the locking mechanism is locked, an element is secured in the opening, portions of which also extend into the accommodating recess. In this, it is in particular intended that at least three locking mechanisms are provided along the longitudinal sides of the outer container and along the joining line between the shells. These can be operated problem-free even ifβ€”in accordance with the state of technologyβ€”several containers are mounted on flat racks in a tightly spaced fashion. Further details, advantages, and features of the invention are not only found in the claims, the characteristic features described thereinβ€”individually and/or in combination β€”, but also in the following description of a preferred embodiment example that is illustrated in the figures. FIG. 6 shows a schematic diagram of a container 110 for transporting in particular radioactive substances, such as Uranium Hexafluoride, whereby the structure may be identical to the one that is disclosed in WO-A-2010/043534. The outside container 110 consists of two shells 112, 114, that can be locked during transport via locking mechanisms 116, 118, 120. The graphic representation shows the locking mechanisms being arranged on longitudinal sides of the container 110, without this limiting in any way the scope of the invention's teaching. It is also possible for fewer or more than the three locking mechanisms 116, 118, 120 to be provided on each longitudinal side. FIGS. 1 to 5 purely schematically illustrate the structure of the locking mechanisms 116, 118, 120, which all are of an identical design. The corresponding locking mechanism, which in FIG. 1 carries the reference label 10, consists of two block-like components 12, 14, which along one respective longitudinal side 16, 18 possess a section 20, 22 that is embodied in a comb-like manner, to create a comb-like structure. When the components 12, 14 are joined, the comb-like projections of the comb-like structures 20, 22 exhibit matching and aligned bores 24, through which passes a bolt 26 when the locking mechanism 10 is locked. The bolt 26 possesses a thread-less stem 28 and a head 30 with a rectangular longitudinal cross-section and possesses head sections 32, 34 that project beyond the circumferential surface of the stem 28, each of which possesses an accommodating recess 36, 38 that extends at a right angle to the longitudinal axis of the bolt 26. Further, the head 30 is provided with an opening 40, to allow engagement of the bolt, e.g. by the means of a tool, in particular when it is being pulled from the locking mechanism 10. For the purpose of securing the bolt 26, in this embodiment example a trough opening 42β€”extending at right angles to the longitudinal axis 27 of the bolt 26β€”passes through the upper (in the drawing) block-like component or structural element 14β€”also referred to as locking block, whereby the opening consists of an inner cylindrical section 44 with inside thread 46 and an outer cylindrical section 48 with a greater diameter that is freely accessible. The opening 42 extends in parallel to the front or back side of the block-like component 14. A safety bolt 50 can be screwed into the opening 42. The front end 52 of the safety bolt 50 engages into the groove-like recess 38 of the bolt head 30 when the locking blocks 12, 14 have been joined together, i.e. when the comb-like sections 20, 22 interlock and the stem 28 passes properly through the now freely accessible bore 24. To ensure a unique alignment of the bolt head 30, the locking blocks 12, 14, i.e. the longitudinal sides 16, 18 that possess the comb-like structures, possess groove-like recesses 54, 56, into which the head sections 32, 34 of the bolt head 30 engage in a guided manner. The graphic representation also shows that the bolt 50 possesses a head 58 with an unconventional shape, so that loosening of the bolt is only possible with a special tool. The locking mechanism 10 according to the invention is resistant against mechanical impact and shear stresses, since the bolt 26 extends within the contour outline of the components 12, 14 that in particular consist of heavy steel, i.e. extends within their comb-like structures 20, 22. The locking blocks 12, 14 with their comb-like sections 20, 22 and the bores 24, through which the bolt 26 passes, the bolt 26 itself as well as the groove-like accommodations 54, 56 for the bolt-head sections 32, 34 can be embodied with large tolerances without this affecting the function. This ensures an operation with low maintenance requirements. Furthermore, the safety bolt 50 has to be tightened with low torque only, since the main locking function is accomplished by the joining of the comb-like structure, i.e. the interlocking comb-like sections 20, 22 of the component 12, 14 and the bolt 26. The safety bolt 50 can be tightened and loosened by means of a simple hand tool. The bolt 26 can be manually inserted into or pulled out of the bore 24, whereby the opening 40 serves as a guide. Since the screw head 58 is provided with a unconventional shape it can only be loosened with a special tool.
abstract
A core spray sparger T-box clamp for a sparger T-box in a shroud of a nuclear reactor pressure vessel, the sparger T-box clamp including: an anchor plate substantially aligned with a closed end of the T-box; a carrier plate slidably secured to an upper side the anchor plate and latched to the T-box; a saddle bracket secured to a lower side of the anchor plate and latched to the T-box, and a pair of clamp blocks on opposite sides of the anchor plate fixed to sparger pipes coupled to the T-box.
summary
summary
043483534
description
DETAILED DESCRIPTION OF THE INVENTION Reference will now be made in detail to the present preferred embodiment of the invention, an example of which is illustrated in the accompanying drawings. The inlet nozzle's upper end 11 is shown in FIGS. 1, 2 and 3. It consists of a top section 12 and bottom section 14. The top section 12 has the shape of generally equilateral polygon, and a hexagonal shape is preferred. Each side of the top section 12 has a recess 13. Preferably the recesses 13 taken together have the shape of a single generally transversely orthogonal, annular groove. The bottom section 14 has a generally cylindrical shape and contains an outside threaded portion 15. The top section 12 is coaxially secured to the bottom section 14, and they may be formed from a single piece of material. Coolant flows through the inlet nozzle's upper end 11 through at least one coolant channel 16. The duct tube's lower end 21 is shown in FIGS. 1, 2 and 4. The lower end 21 has the shape of a generally equilateral polygon which is geometrically similar to (with the same number of equilateral sides as) the polygon shape of the inlet nozzle upper end's top section 12. Preferably the duct tube has a hexagonal shape. Each of the sides of the duct tube's lower end 21 terminates in a locking tab 22 which preferably is as wide as its side. Each locking tab 22 is separated from each other, and ends with an inwardly-extending flange 23, which preferably is perpendicular to its locking tab 22. The locking tabs 22 are deflectable and can be slid over the inlet nozzle's top section 12 to the recesses 13. The flanges 23 are made to mate or engage the recesses 13. The duct tube's lower end 21 also contains an outwardly-extending protrusion 24 on each of its sides adjacent the locking tabs 22. Preferably the protrusions 24 together have the shape of a generally orthogonally transverse, annular band (support collar), each flange 23 has a width equal to that of its locking tab 22 and the locking tabs 22 are generally coaxially aligned with the duct tube during attachment. It is also preferred that the locking tabs 22 are outwardly disposed and resiliently deflectable so that they may be slid on and off the inlet nozzle upper end's top section 12 without locking tab deflection. For attachment, the locking tabs 22 would be deflected inward so that the flanges 23 engage the recesses 13. The retaining collar 30 is shown in FIGS. 1, 2 and 5. Its purpose is to restrain the flanges 23 in the recesses 13 to permit a secure attachment of the duct tube and inlet nozzle. The retaining collar 30 has a top segment 31 having the shape of a generally equilateral polygon with the same number of sides as the inlet nozzle upper end top section's 12 polygon. The top segment 31 is coaxially connected to the bottom segment 32, and they may be formed from a single piece of material. The top segment 31 surrounds and restrains the flanges 23 in the recesses 13 during attachment. The bottom segment 32 has a generally cylindrical shape and can slide over the inlet nozzle upper end's bottom section 14 to a point above at least part of the outside threaded portion 15 of the inlet nozzle upper end's bottom section 14. The sliding motion of the retaining collar 30 is stopped by the top segment 31 engaging the duct tube's protrusions 24. The locking nut 40 is shown in FIGS. 1, 2 and 6. The locking nut 40 contains an inside threaded portion 41. The locking nut 40 attaches to the inlet nozzle upper end's bottom section 14 to secure the retaining collar 30 against the duct tube's protrusions 24. The locking nut's inside threaded portion 41 engages the inlet nozzle upper end bottom section's outside threaded portion 15 to accomplish this. Means to prevent back off of the locking nut 40 are shown in FIGS. 7 and 8. In FIGS. 7a and 7b, the locking nut 40 preferably has a thin terminating annular portion 42 which can be shaped into a standard swage lock 43 to engage a locking groove 17 formed in the bottom section 14 of the inlet nozzle's upper end 11. This is the preferred technique. An alternate technique would be to form the thin annular terminating portion 42 into the shape of a standard cantilever finger lock 44 which would engage the same locking groove 19 on the bottom section 14 of the inlet nozzle's upper end 11 to prevent locking nut 40 back off. This is shown in FIGS. 8a and 8b. To attach the nuclear reactor fuel assembly duct tube to the nuclear reactor fuel assembly inlet nozzle, the locking tabs 22 are spread apart, or are made to be naturally so disposed, and the duct tube's lower end 21 is slid over the inlet nozzle upper end's top section 12 to the area of the recesses 13. Then the locking tabs 22 are pushed inward until the flanges 23 engage the recesses 13. The locking tabs 22 would be held down until the retaining collar 30 could be slid over the inlet nozzle upper end's top section 12 to restrain the flanges 23 in the recesses 13. Then the locking nut 40 would be slid over the inlet nozzle upper end's bottom section 14 and screwed on the outside threaded portion 15 until the locking nut 40 secured the retaining collar 30 against the duct tube's protrusion 24. For removal, the process would be reversed, and the locking tabs 22 would be deflected apart, if necessary, so that the flanges 23 could clear the recesses 13 to separate the duct tube from the inlet nozzle. The duct tube and inlet nozzle are designed for repeated use. When the preferred means to prevent locking nut 40 back off is used, the attached locking nut 40 would be formed into one or more swage locks 43 engaging their respective locking grooves 19. Removal would require easy breaking of the swage lock 43. Many locking grooves 19 could be employed so that new swage locks 43 could be formed each time the attachment system is used. In summary, by using locking tabs 22 on the duct tube, and recesses 13 on the inlet nozzle, a duct tube can be removably attached to an inlet nozzle in a reusable system by securing the locking tabs' flanges 23 in the recesses 13 with a retaining collar 30 and locking nut 40. This provides a reusable, economical, and rapid attachment and removal system for nuclear reactor fuel assembly duct tubes and inlet nozzles. The foregoing description of a preferred embodiment of the invention has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit the invention in the precise form disclosed, and obviously many modifications and variations are possible in light of the above teaching. The embodiment was chosen and described in order to best explain the principles of the invention and its practical application to thereby enable others skilled in the art to best utilize the invention in various embodiments and with various modifications as are suited to the particular use contemplated. It is intended that the scope of the invention be defined by the claims appended hereto.
summary
description
This invention was made with United States Government support under Contract No. DE-AC07-99ID13727 awarded by the United States Department of Energy. The United States Government has certain rights in the invention. This invention relates generally to the testing and evaluation of materials and more specifically to methods and apparatus for performing non-destructive testing of materials using position annihilation. Non-destructive material evaluation refers to any of a wide variety of techniques that may be utilized to examine materials for defects and/or evaluate the materials without requiring that the materials first be destroyed. Such non-destructive material evaluation is advantageous in that all materials or products may be tested for defects. After being evaluated, acceptable (e.g., substantially defect-free or with acceptable defect levels) materials may be placed in service, while the defective materials may be re-worked or scrapped, as may be appropriate. Non-destructive evaluation techniques are also advantageous in that materials already in service may be evaluated or examined in-situ, thereby allowing for the early identification of materials or components that may be subject to in-service failure. The ability to evaluate or examine new or in-service materials has made non-destructive material evaluation techniques of great importance in safety- or failure-sensitive technologies, such as, for example, in aviation and space technologies, as well as in nuclear systems and in power generation systems. One type of non-destructive evaluation technique, generally referred to as positron annihilation, is particularly promising in that it is theoretically capable of detecting fatigue and other types of damage in metals, composites, and polymers at its earliest stages. While several different positron annihilation techniques exist, as will be described below, all involve the detection of positron annihilation events in order to ascertain certain information about the material or object being tested. By way of background, complete annihilation of a positron and an electron occurs when both particles collide and their combined mass is converted into energy in the form of two (and occasionally three) photons (e.g., gamma rays). If the positron and the electron are both at rest at the time of annihilation, the two gamma rays are emitted in exactly opposite directions (e.g., 180Β° apart) in order to satisfy the requirement that momentum be conserved. Each annihilation gamma ray has an energy of about 511 keV, the rest energies of an electron and a positron. In positron annihilation analysis, the momentum of the electron is related to the environment in which it resides. For example, electron momentum is relatively low in defects in metals or in microcracks in composite materials and polymers) or in large lattice structures. Electron momentum is higher in defect-free or tight lattice structures. One way to determine the momentum of the electron is to measure the degree of broadening of the gamma-ray energy peak in the spectrum around the 511 keV annihilation energy produced by the annihilation event. Alternatively, the momentum of the electron may be derived from the deviation from 180Β° of the two annihilation gamma rays. Additional information about the electron density of the material at the site of annihilation may be obtained by determining the average lifetime of the positrons following a known initiation event before they are annihilated. Still other information about the annihilation event may be detected and used to derive additional or supplemental information regarding the material being tested, such as the presence of contaminants or pores within the material. Accordingly, the detection of positrons and the products of annihilation events provide much information relating to defects and other microstructural characteristics of the material or object being tested. As mentioned above, several different positron annihilation techniques are known. In one type of positron annihilation technique, positrons from a radioactive source (e.g., 22Na, 68Ge, or 58Co) are directed toward the material to be tested. Upon reaching the material, the positrons are rapidly slowed or β€œthermalized.” That is, the positrons rapidly loose most of their kinetic energy by collisions with ions and free electrons present at or near the surface of the material. After being thermalized, the positrons then annihilate with electrons in the material. During the diffusion process, the positrons are repelled by positively-charged nuclei, thus tend to migrate toward defects such as dislocations in the lattice sites where the distances to positively-charged nuclei are greater. In principle, positrons may be trapped at any type of lattice defect having an attractive electronic potential. Most such lattice defects are so-called β€œopen-volume” defects and include, without limitation, vacancies, vacancy clusters, vacancy-impurity complexes, dislocations, grain boundaries, voids, and interfaces. In composite materials or polymers, such open-volume defects may be pores or microcracks. Positron annihilation techniques utilizing external positron sources suffer from a variety of disadvantages. For example, one type of external positron source is an isotopic source, such as 22Na, which emits positrons having generally low energies of about 0.5 million electron volts (MeV) or so. Such low energy positrons can only penetrate a short distance, e.g., less than about 10 microns or so, into metallic materials. Such positrons also lose energy in the source material itself. While higher energy positrons (e.g., having energies of about 3 MeV) can be obtained via non-isotopic sources, such as the Pelletron at Lawrence-Livermore Laboratories, such devices are also not without their disadvantages. For example, the positron beams produced by such sources are often relative narrow, thus cannot easily be made to cover larger specimens. In addition, such external positron sources tend to be physically large, which can limit the ability to place the positron source at the appropriate location on the specimen to be tested. This is particularly true if the specimen comprises a fabricated structure (e.g., a wing structure) that comprises small areas or regions that are simply not large enough to accommodate the large external positron source. Consequently, it may be difficult, if not impossible, to effectively test such structures. Non-destructive testing apparatus may comprise a photon source and a source material that emits positrons in response to bombardment of the source material with photons. The source material is alternately positionable adjacent the photon source and a specimen. When the source material is positioned adjacent the photon source, the source material is exposed to photons produced by the photon source, which generates positron-producing nuclei within the source material. When the source material is positioned adjacent the specimen, the specimen is exposed to at least some of the positrons being emitted by the source material. A detector system positioned adjacent the specimen detects annihilation gamma rays emitted by the specimen that provide a measure of the microstructure of the specimen. Another embodiment of the non-destructive testing apparatus comprises a neutron source and a source material that emits positrons in response to bombardment of the source material with neutrons. The source material is alternately positionable adjacent the neutron source and a specimen. When the source material is positioned adjacent the neutron source, the source material is exposed to neutrons produced by the neutron source, which generates positron-producing nuclei within the source material. When the source material is positioned adjacent the specimen, the specimen is exposed to at least some of the positrons emitted by the source material. A detector system positioned adjacent the specimen detects annihilation gamma rays emitted by the specimen. A non-destructive testing method according to one embodiment of the invention comprises: providing a source material; bombarding the source material with photons so that the source material emits positrons; placing the source material adjacent a specimen to be tested; and detecting annihilation gamma rays emitted by the specimen. Another embodiment of a non-destructive testing method comprises providing a source material; bombarding the source material with neutrons so that the source material emits positrons; placing the source material adjacent a specimen to be tested; and detecting annihilation gamma rays emitted by the specimen. Non-destructive testing apparatus 10 according to one embodiment of the present invention is illustrated in FIG. 1 and may comprise a photon source 12 for producing photons 14. A source material 16 is positioned adjacent the photon source 12 so that the source material 16 is bombarded by photons 14 from the photon source 12. As will be described in greater detail below, the source material 16 comprises a material that emits positrons e+ in response to bombardment of the source material 16 with photons, such as photons 14 from the photon source 12. After being bombarded with photons 14 from the photon source 12, a process referred to herein in the alternative as β€œphoton activation,” the source material 16 may be removed from a position adjacent the photon source 12 and moved to a position adjacent a specimen 18. As will be described in greater detail below, the positrons emitted by the source material 16 may have relatively high energies, e.g., in the range of about 0.5 MeV to about 5 MeV. The higher energies associated with the source material 16 is due in part to the higher energies of the positrons produced within the source material 16. The higher energies are also due in part to the fact that the source material 16 may be made quite thin in comparison with conventional isotopic sources of external positrons, such as 22Na, thereby resulting in less energy attenuation as the positrons escape the source material 16. In one embodiment, the source material 16 is conformable (i.e., flexible) so that at least a portion of the source material 16 may be conformed to at least a portion of the specimen 18, as best seen in FIG. 1. The specimen 18 is then exposed to positrons e+ emitted by the source material 16. The ability to place the source material 16 in close proximity to the specimen 18 maximizes the ability to expose the specimen 18 to the high-energy positrons produced by the source material 16. Stated another way, the specimen 18 will be exposed to more positrons and to positrons having higher energies than would be the case if the source material 16 could not be placed in such close proximity to the specimen 18. At least some of the positrons e+ from the source material 16 penetrate the specimen 18 and annihilate with electrons eβˆ’ in the specimen 18. The annihilation of positrons e+ and electrons eβˆ’ results in the production or formation of annihilation gamma rays Ξ³a in a process generally known as positron annihilation. The measured annihilation energy plus or minus the momenta of the electrons and positrons provides a measure of the defect density or microcracking that may be present in the specimen 18. A detector 20 positioned adjacent the specimen 18 detects annihilation gamma rays Ξ³a emitted by the specimen 18. A processing system 22 operatively associated with the detector 20 processes output data 24 from the detector and produces output data 26. Thereafter, the output data 26 may be presented on a user interface 28. A non-destructive testing method according to the present invention may comprise providing a source material 16 that will produce positrons e+ in response to photon bombardment. The source material 16 is then bombarded with photons (e.g., photons 14 from the photon source 12) so that the source material 16 emits positrons e+. After being bombarded with photons 14, the source material 16 is then placed adjacent the specimen 18 to be tested. The specimen 18 is exposed at least some of the positrons e+ emitted by the source material 16. Annihilation gamma rays Ξ³a resulting from the annihilation within the specimen 18 of positrons e+ with electrons eβˆ’ are then detected by the detector 20 and processed by the processing system 22. As mentioned above, the measured annihilation energy plus or minus the momenta of the electrons and positrons provides a measure of the defect density or microcracking that may be present in the specimen 18. The output data 26 from the processing system 22 may comprise any of a wide range of data derived from the detection of the annihilation gamma rays Ξ³a. For example, the momentum of the positron e+ is related to the environment in which it resides. However, the positron thermalizes to a very low momentum state and interacts with electrons having momenta determined by the defect level and microstructure of the specimen 18 being tested. Electron momentum is relatively low in defects (e.g., microcracks in composite materials and polymers) or in large lattice structures, whereas electron momentum is higher in defect-free or tight lattice structures. The momentum of the positron/electron (e+/eβˆ’) interaction may be measured from the degree of broadening of the 511 keV gamma-ray energy peak caused by a number annihilation events. Alternatively, the momentum of the positron e+ may be derived from the deviation from 180Β° of the annihilation gamma rays. Additional information about the annihilation event may be detected and used to derive additional or supplemental information regarding the specimen being tested, such as the presence within the specimen of contaminants or pores. Accordingly, the detection of positrons and the products of annihilation events provide much information relating to defects and other characteristics of the specimen being tested. One advantage of the non-destructive testing apparatus according to the present invention is that it provides an external source of comparatively high energy positrons (e.g., positrons having energies in a range of about 0.5 MeV to about 5 MeV). Such high-energy positrons can penetrate more deeply (e.g., up to several centimeters in some materials, such as low-density polymers) into a specimen being tested. Perhaps even more advantageously, the source material 16 may be β€œactivated” to produce positrons over substantially the entirety of the source material 16. In other words, the source material 16 may become a β€œdistributed positron source” or simply, β€œa distributed source” of positrons, thereby allowing a larger area of the specimen 18 to be exposed to positrons than would otherwise be the case with conventional external positron point sources. Still yet other advantages of the present invention may be realized if the source material 16 is β€œconformable,” i.e., may be readily conformed or β€œmolded” to the specimen being tested. So conforming or molding the source material 16 to the specimen 18 improves the ability to inject positrons more deeply into the specimen 18 and allows for a more even distribution of those positrons that do enter the specimen 18. For example, it has been discovered that a conformable source material producing higher energy positrons is more effective at bombarding the specimen with positrons, even where the specimen 18 contains surface contaminants, such as grease, that often inhibit the bombardment of the specimens with positrons produced by conventional external positron sources. In addition, the source material 16 often may be readily placed at any convenient location on the specimen 18. For example, in addition to being placed adjacent a front surface or portion of a specimen 18, the source material 16 could also be placed adjacent some other surface or portion of the specimen 18, such as a back surface or a side surface. In addition, measurements of the annihilation gamma rays may be made from any of a wide range of positions around the specimen 18, further increasing utility. Moreover, such measurements of the annihilation gamma rays may even be made where an intervening material (e.g., up to several centimeters of steel) might be located between the specimen 18 and the detector system 20. The ability to place the source material 16 adjacent any convenient portion of the specimen 18, as well as the ability to detect annihilation gamma rays, even where an intervening material exists, allows the present invention to be readily used on complex, fabricated structures, such as aircraft structures, without the need to disassemble the structure or remove material that might be located between the specimen 18 to be examined and the detector system 20. Having briefly described one embodiment of a method and apparatus for non-destructive testing according to the present invention, as well as some of their more significant features and advantages, the various embodiments of the method and apparatus for non-destructive testing will now be described in detail. Referring back now to FIG. 1, a first embodiment 10 of non-destructive testing apparatus according to the present invention may comprise a photon source 12 for producing photons 14 and directing the photons 14 toward the source material 16. It is generally preferred, but not required, that the photon source 12 be capable of producing photons 14 having user-selectable energies. The ability to vary the energies of the photons 14 will allow a user to β€œactivate” (i.e., produce nuclei that emit positrons) source materials 16 comprising any of a wide range of elements by selecting the appropriate photon energies. The positrons are produced in such materials via a gamma-ray-capture or β€œgamma-n” reaction. For example, if the source material 16 comprises copper-65 (65Cu), photons having energies of at least about 8 MeV will activate the copper-63 contained in the source material 16 by producing or forming within the source material 16 an isotope of copper (e.g., 64Cu) via the gamma ray capture or β€œgamma-n” reaction. 64Cu is a β€œpositron emitter.” That is, 64Cu emits positrons having energies of about 0.66 MeV during decay. 64Cu has a half-life of about 12.7 hours. Similarly, photons having energies of at least about 11 MeV will convert 63Cu to 62Cu which emits higher energy positrons (i.e., positrons having energies of about 2.93 MeV) during decay. 62Cu has a half-life of about 9.7 minutes. Alternatively, if the ability to selectively activate certain elements contained in the source material 16 is not required or desired in a particular application, the photon source 12 need not be provided with capability to adjust the photon energy, but need only provide photons having energies sufficient to β€œactivate” the source material 16 so that it emits positrons. In one preferred embodiment having the ability to select the energies of the photons 14, the photon source 12 may comprise an electron accelerator 30 for producing a stream of accelerated electrons. In order to produce the photons 14 used to bombard the source material 16, the accelerated electrons are directed toward a target 32 which emits the photons 14 in response to bombardment by the accelerated electron stream produced by the electron accelerator 30. There is a correlation between the energies of the electrons comprising the electron stream produced by the accelerator 30 and the photons 14 produced by the target 32 in response to the electron bombardment. Consequently, photons 14 having specified maximum energies can be readily produced by selecting or adjusting the energies of the electrons contained in the electron stream produced by the accelerator 30. In the embodiment shown and described herein, the photons 14 produced by the photon source 12 may be selected to have energies in the range of about 9 million electron volts (MeV) to about 21 MeV. Photons 14 having energies in this range are often referred to as high energy x-rays. In accordance with the foregoing considerations, then, the electron accelerator 30 may comprise a linear accelerator of the type that are now known in the art or that may be developed in the future that are or would be suitable for the production of electrons having any of a wide range of energies. By way of example, in one preferred embodiment, the electron accelerator comprises a model 6000 linear accelerator available from Varian Corp. of Palo Alto, Calif. Alternatively, equivalent devices from the same or other manufacturers may also be used. The target 32 which emits the photons 14 may comprise tungsten, although other materials may also be used. Of course, the photon source 12 and/or the various components comprising the photon source 12 (e.g., the electron accelerator 30 and target 32) may be provided with suitable shielding materials (not shown), to prevent the unwanted escape of radiation from the photon source 12. The source material 16 is illustrated in FIGS. 1 and 2 and comprises a substance that emits positrons in response to bombardment by photons having the appropriate energies. The photon energy required to cause the source material 16 to emit positrons depends on the composition of the source material 16. For example, the source material 16 must contain at least one element that, when bombarded by photons, becomes an unstable isotope (e.g., via a β€œgamma-n” reaction) that decays in part by emitting positrons. Such an unstable isotope is referred to herein as a β€œpositron emitter.” A list of representative positron emitters, production reactions, the threshold photon (i.e., gamma ray) energies required to form or β€œactivate” the positron emitters, as well as their half-lives, are presented herein as Table I. Table I may be used to readily identify representative isotopes that may be converted into positron emitters by photon bombardment, as well as to estimate the photon energies required to form the positron emitters. Stated simply, then, any material that contains at least one of isotopes presented in Table I or similar isotopes that produce positrons through photon or neutron interactions may be considered for use as the source material 16, although other considerations described herein and/or known to persons having ordinary skill in the art after becoming familiar with the teachings of the present invention may favor or disfavor its use in any particular application. TABLE IThresholdElementReactionHalf-LifeUnitsEnergy MeVChromium50Crβ†’49Cr42.3Minutes20.5Iron54Feβ†’53Fe8.51Minutes14Nickel58Niβ†’57Ni35.6Hours12Copper65Cuβ†’64Cu12.7Hours8Copper63Cuβ†’62Cu9.74Minutes11Zinc64 Znβ†’63Zn38.5Minutes20.45Zirconium90Zrβ†’89Zr4.18Minutes12.3Molybdenum92Moβ†’91Mo1.08,Minutes12.515.5Tin112Snβ†’111Sn35Minutes12.5Antimony121Sbβ†’120Sb15.9Minutes10Titanium46Tiβ†’45Ti3.1Hours13Carbon12Cβ†’11C20.3Minutes19Nitrogen14Nβ†’13N9.97Minutes10.5Oxygen15Oβ†’14O122.2SecondsNDFluorine19Fβ†’18F1.83Hours20Phosphorus31Pβ†’30P2.5Minutes10.9Chlorine35Ciβ†’34Ci32.2MinutesNDPotassium39Kβ†’38K7.6Minutes12.5Gallium69Gaβ†’68Ga1.13HoursNDSelenium74Seβ†’73Se40Minutes12Bromine79Brβ†’78Br6.45MinutesNDRuthenium96Ruβ†’95Ru1.64HoursNDPalladium102Pdβ†’101Pd8.4HoursNDSilver107Agβ†’106Ag24Minutes9.0Cadmium106Cdβ†’105Cd55.5MinutesNDIndium113Inβ†’112In14.4MinutesNDXenon124Xeβ†’123Xe2HoursNDCerium136Ceβ†’135Ce17.7MinutesNDPraseodymium141Prβ†’140Pr40Minutes7Neodymium142Ndβ†’141Nd1.04Minutes9.5Samarium144Smβ†’143Sm8.83Minutes12.5Europium151Euβ†’150Eu12.8HoursNDErbium164Erβ†’163Er1.25HoursND It is also generally preferred, but not required, that the source material 16 comprise a flexible material so that it may be conformed or shaped to the particular specimen 18 being tested. As already mentioned, the ability to conform or shape at least a portion the source material 16 to at least a portion of the specimen 18 may provide certain advantages. Generally speaking, source materials 16 comprising thin metal sheets or β€œfoils” containing at least one isotope that can be β€œphoton activated” will result in highly-suitable source materials 16. The thickness 34 (FIG. 2) of the sheet or foil is not particularly critical, and may comprise any of a range of thicknesses. However, if high-conformability is desired, then the thickness 34 of the source material 16 should be selected to provide the source material 16 with the desired degree of flexibility or conformability. For example, in one embodiment, the source material 16 comprises a copper sheet or foil having a thickness 34 in the range of about 0.25 mm to about 1 mm (0.025 mm to 0.1 mm preferred). The sheet-like or foil source material 16 need not comprise any particular shape or configuration. Indeed, the source material 16 may comprise any of a wide range of shapes or configurations (e.g., rectangular, square, elliptical, tubular, or irregular) that may be required or desired for the particular application, as would be obvious to persons having ordinary skill in the art after having become familiar with the teachings of the present invention. Consequently, the present invention should not be regarded as limited to a source material 16 having any particular shape or configuration. However, by way of example, in one preferred embodiment, the source material 16 may comprise a generally square configuration, as illustrated in FIG. 2, having a length 36 that is substantially equal to a width 38. In the embodiment illustrated in FIG. 2, both the length 36 and width 38 are about 10 cm. That is, the source material 16 comprises a sheet or β€œcoupon” of square foil having a total surface area of about 200 cm2 (i.e., about 100 cm2 for each side or face 40, 42 of the source material 16). Alternatively, source materials 16 having other surface areas may be used. By way of example, source materials 16 having single-side surface areas ranging from about 0.1 cm2 to about 100 cm2 have been used with good results. In the embodiment shown and described in FIG. 1, the copper foil source material 16 comprises primarily 65Cu. With reference to Table I, 65Cu may be β€œactivated” or transformed into a positron emitter by bombarding the 65Cu with photons having energies of at least about 8 MeV. Bombarding the copper foil with photons having at least this energy will result in the production of 64Cu which is a positron emitter. That is, 64Cu emits positrons having energies of about 0.66 MeV in the decay process. 64Cu has a half-life of about 12.7 hours. Alternatively, higher energy photons, e.g., photons having energies of at least about 11 MeV, will result in the formation of 62Cu, which is also a positron emitter. 62Cu emits positrons having energies of about 2.93 MeV. 62Cu has a half-life of about 9.7 minutes. It is generally preferable to β€œactivate” as large a portion of the source material 16 as possible in order to provide an activated source material 16 that emits positrons e+ over as wide an area as possible, depending on the application. Of course, the nature of the particular application may allow a smaller area of the source material 16 to be activated. For example, if the source material 16 comprises a surface area (i.e., size) that is larger than the size or surface area of the specimen 18 to be examined, then it may be desirable to only activate an area of the source material 16 that approximates the area of the specimen 18 to be examined. The activated area of the source material 16 should then be aligned with (e.g., positioned over) the corresponding area on the specimen 18 that is to be tested. In any event, the arrangement of the photon source 12 and the source material 16 should be such that substantially the entirety, or desired portion, as the case may be, of the source material 16 is exposed to photons 14 from the photon source 12. The exposure of the source material 16 to photons 14 from the photon source 12 may be accomplished in any of a variety of ways. For example, if the photon source 12 produces a wide photon β€œbeam” it may be that the area of the wide photon beam is sufficient to activate substantially the entirety (or desired portion) of the source material 16. Alternatively, the source material 16 may be moved with respect to the beam of photons 14 produced by the photon source 12 so that substantially the entirety (or desired portion) of the source material 16 is activated by the beam of photons 14. The relative movement may be regular (e.g., such as in a β€œraster” scan) or random. In any event, suitable movement apparatus 44 (FIG. 1) may be used to move the source material 16 with respect to the photon source 12. However, because apparatus for moving the source material 16 with respect to the photon source 12 could be easily provided by persons having ordinary skill in the art after having become familiar with the teachings of the present invention, the particular apparatus, such as movement apparatus 44, that may be used to expose substantially the entirety (or desired portion) of the source material 16 to the photons 14 from photon source 12 will not be described in further detail herein. The detector system 20 may be positioned adjacent the specimen 18 so that the detector system 20 receives annihilation gamma rays Ξ³a resulting from positron/electron annihilation events occurring within the specimen 18. Depending on the geometry of the particular installation, a shield (not shown) may be positioned between the photon source 12 and the detector system 20 to prevent gamma radiation from the photon source 12 from being detected by the detector system 20. However, in most cases, the photon source 12 will be far removed from the specimen 18 and/or inactive during the time the detector system 20 is detecting annihilation gamma rays Ξ³a. The detector system 20 may comprise any of a wide range of gamma ray detectors that are now known in the art or that may be developed in the future at are or would be suitable for detecting annihilation gamma rays Ξ³a produced by the annihilation of positrons and electrons within the specimen 18. Accordingly, the present invention should not be regarded as limited to a detector system 20 comprising any particular type of gamma ray detector. However, by way of example, in one preferred embodiment, the detector 20 may comprise a germanium detector of the type that is well-known in the art and readily commercially available. Alternatively, the detector 20 could comprise a cadmium-zinc-tellurium or BaF2 detector of the type that is also known in the art and readily commercially available. It should also be noted that the detector system 20 should not be regarded as limited to a single detector. Indeed, depending on the type of processing algorithms utilized by the processing system 22 it may be desirable, or even required, that the detector system 20 comprise more than a single gamma ray detector. For example, while a Doppler broadening algorithm (described in greater detail below) that may be utilized by the processing system 22 will generally not require the use of two or more detectors, other algorithms, such as position locating algorithms, that may be implemented by the processing system 22 may require the use of at least two, and possibly several, gamma ray detectors 20 in order to determine the positions within the specimen 18 of the positron/electron annihilation events. However, since annihilation position determining techniques are well-known in the art, as are the requirements for the particular types and positions of detectors associated with such techniques, and since such multiple detectors could be easily provided by persons having ordinary skill in the art after having become familiar with the teachings of the present invention, the particular configurations of such multiple detector systems as they could be utilized in the present invention will not be described in greater detail herein. The gamma ray detector (or detectors) comprising the detector system 20 may also be provided with a collimator 46 to collimate the annihilation gamma rays Ξ³a. The collimator 46 may comprise any of a wide range of collimators, such as, for example, a variable-slit type collimator, that are now known in the art or that may be developed in the future. However, because collimators are well-known in the art and could be readily provided by persons having ordinary skill in the art after having become familiar with the teachings of the present invention, the particular collimator 46 that may be used with the detector 20 will not be described in further detail herein. The processing system 22 is operatively associated with the detector system 20 and receives output data 24 produced by the detector system 20. As mentioned above, the output data 24 produced by the detector system 20 may be processed in accordance with any of a wide variety of algorithms that are now known in the art or that may be developed in the future to allow the processing system 22 to produce output data 26 indicative of at least one material characteristic of the specimen 18 being tested. Algorithms that may be utilized by the data processing system include a Doppler broadening algorithm, such as the type disclosed in U.S. Pat. No. 6,178,218 issued to Akers, which is incorporated herein by reference for all that is disclosed. Other algorithms that may be utilized are disclosed in U.S. patent application Ser. No. 09/932,531, filed Aug. 17, 2001, and entitled β€œApparatus for Photon Activation Positron Analysis,” to Akers which is incorporated herein by reference for all that it discloses. In addition, algorithms suitable for use in positron annihilation are also disclosed in β€œPositron-Annihilation Spectroscopy”, Encyclopedia of Applied Physics, Vol. 14, pp. 607-632 (1996), which is also incorporated herein by reference for all that it discloses. Because algorithms suitable for processing data related to the annihilation gamma rays detected by the detector system 20 are known in the at and could be easily provided by persons having ordinary skill in the art after having become familiar with the teachings of the present invention, the particular algorithm or algorithms that may be utilized by the processing system 22 will not be described in further detail herein. The processing system 22 may comprise any of a wide range of systems or combinations of systems known in the art that would be suitable for collecting the output data 24 from the detector system 20 and for processing the output data 24 in accordance with the one or more algorithms described above. Therefore, the present invention should not be regarded as limited to processing systems 22 comprising any particular type or configuration. By way of example, in one embodiment, the processing system 22 comprises a general purpose programmable computer system, such as the ubiquitous personal computer. However, because such processing systems are well known in the art and could be easily provided by persons having ordinary skill in the art after becoming familiar with the teachings of the present invention and after considering the particular type or types of algorithms to be used to process the data 24 from the detector system 20 to produce the output data 26, the processing system 22 utilized in one preferred embodiment of the invention will not be described in further detail herein. The processing system 22 may be connected to a suitable user interface 28, such as a flat-panel display (not shown), for displaying the output data 26 produced by the processing system 22. Alternatively, the processing system 22 could also provide the output data 26 in computer-readable form for subsequent display and/or manipulation by a device external to the processing system 22, such as a personal computer (not shown). However, because such user-interfaces are well-known in the art and could be easily provided by persons having ordinary skill in the art after having become familiar with the teachings provided herein and after considering the particular application, the present invention should not be regarded as limited to any particular type of user-interface 28. A non-destructive testing method according to the present invention may comprise providing a source material 16 that will produce positrons e+ in response to photon bombardment. In the embodiment shown and described in FIG. 1, the source material 16 comprises a thin sheet or foil β€œcoupon” comprising 63Cu having a generally square configuration, substantially as illustrated in FIG. 2. More specifically, the copper source material 16 comprises a foil sheet having a thickness 34 of about 0.025 mm, a length 36 of about 10 mm and a width 38 of about 10 mm. The copper foil comprising the source material 16 is then positioned adjacent the photon source 12, which bombards the source material 16 with photons 14. As mentioned above, the source material 16 may be mounted on a suitable movement apparatus 44 which moves the source material 16 relative to the photons 14 from photon source 12 so that the desired portion of the source material 16 may be exposed to the photons 14. Once the source material 16 is positioned adjacent the photon source 12, the photon source 12 may then be operated so that the photons 14 produced thereby have energies in the range of about 9 MeV to about 21 MeV. Photons 14 of such energies are sufficient to activate the source material 16, causing it to produce positrons e+ in the manner already described. The time required to activate the source material 16 will vary depending on the size of the source material 16 that is to be used in a particular application, as well as on other factors, as would become apparent to persons having ordinary skill in the art after having become familiar with the teachings provided herein and after considering the particular devices and materials being used. Consequently, the present invention should not be regarded as limited to exposing the source material 16 to photons 14 for any particular time. However, by way of example, in one preferred embodiment, the source material 16 is exposed to the photons 14 from the photon source 12 for a time in the range of about 0.5 to about 3 minutes, which is sufficient to activate substantially the entirety of the source material 16 in the embodiment shown and described herein. After being activated, the source material 16 may then be removed from its position adjacent the photon source 12 and positioned adjacent the specimen 18 to be tested. Generally speaking, it will be advantageous to place the source material 16 in direct contact with the specimen 18. If the specimen 18 comprises an irregularly shaped body and if the source material 16 comprises a conformable material, then it also will be advantageous to conform or mold the source material 16 to the specimen 18, as best seen in FIG. 1. So conforming or molding the source material 16 to the specimen 18 is readily accomplished in the embodiment illustrated in FIG. 1, wherein the source material 16 comprises copper foil. When so positioned adjacent the specimen 18, positrons e+ emitted by the activated source material 16 bombard the specimen 18. In many embodiments, the positrons e+ will have energies (e.g., in the range of about 2 MeV to about 5 MeV) greater than those normally associated with conventional external positron sources (e.g., about 0.5 MeV), thus will generally penetrate the specimen 18 to a greater depth. The source material 16 may be left in place adjacent the specimen 18 for a time sufficient to allow the detector system 20 to collect an amount of annihilation gamma rays Ξ³a sufficient to allow the processing system 22 to produce output data 26. In this regard, it should be noted that the amount of annihilation gamma rays Ξ³a needed to be detected by the detector system 20 will vary depending on a wide variety of factors, such as, for example, the sensitivity of the detector system 20, the particular algorithms utilized by the processing system 22, as well as on other factors that would be appreciated by persons having ordinary skill in the art. Therefore, the present invention should not be regarded as limited to any particular times. However, by way of example, in one embodiment, the source material 16 is positioned adjacent the specimen for a time in the range of about 3 minutes to about 10 minutes. The source material 16 may be left in place during the data collection (i.e., detection) process. Alternatively, the source material 16 may be removed before conducting the data collection process. In one embodiment wherein the source material 16 is left in place, the source material 16 is placed on the side of the specimen 18 that is opposite the detector assembly 20. Alternatively, the source material 16 may be placed on the same side as the detector assembly 20. In this case, it may be desirable to provide the detector assembly 20 with suitable shielding (e.g., a cover foil) to prevent the positrons emitted by the source material 16 from interfering with the detector assembly 20. Another embodiment 110 of non-destructive testing apparatus according to the present invention is illustrated in FIG. 3. The second embodiment 110 differs from the first embodiment 10 in that the second embodiment 110 involves the activation of a source material 116 by neutrons n instead of photons 14. The neutrons n may be produced by a suitable neutron source 112. It is generally preferred, but not required, that the neutron source 112 be capable of producing neutrons n having energies of about 14 MeV. Alternatively, the neutron source 112 could comprise a neutron source capable of producing neutrons having user-selectable energies. The ability to vary the energies of the neutrons n will allow a user to activate (i.e., cause to emit positrons) source materials 116 comprising various elements by selecting the appropriate neutron energies. The positrons are produced in such materials via either an (n,2n) reaction or an (n,Ξ³) reaction. Materials capable of producing positrons e+ in response to neutron bombardment include many of those produced using photons. It is generally preferred that the neutron source 112 produce neutrons n having energies of up to about 14 MeV for (n,2n) reactions. Alternatively, lower energy neutrons can be used for other reactions. It should be noted that neutrons n from the neutron source 112 may activate other materials within the source material 116, causing them to become positron emitters through the process of pair production. However, this only happens of the energies of the prompt gamma rays (emitted during pair production) exceed about 1.1 MeV. Prompt gamma rays having energies less than about 1.1 MeV typically result in the production of delayed gamma rays and, occasionally, electrons, but not positrons. The neutron source 112 may comprise any of a wide range of devices suitable for producing neutrons having sufficient energies to activate the source material 116. By way of example, in one preferred embodiment, the neutron source 112 comprises a model no. A-320 neutron source available from the MF Physics Corporation of a subsidiary of the Thermo Electron Corporation. Alternatively, the neutron source 112 could comprise an isotopic source, such as 252Cf. The source material 116 comprises a substance that emits positrons in response to bombardment by neutrons having the appropriate energies. The neutron energy required to cause the source material 116 to emit positrons depends on the composition of the source material 116. That is, the source material 116 must contain at least one element that, when bombarded by neutrons, becomes an unstable isotope that decays in part by emitting positrons. The neutron energies required to activate certain elements are known, thus will not be provided herein. However, by way of example, if the source material 116 comprises 63Cu, bombardment of the source material 116 by neutrons n having energies of about 14 MeV will result in the production of 64Cu via the (n-Ξ³) reaction process. 64Cu is a β€œpositron emitter” (i.e., decays by emitting positrons) having a half-life of about 12.7 hours. Also, the 63Cu (n,2n) reaction produces 62Cu, a positron emitter having a half-life of 9.7 minutes. Stated simply, then, any material that contains at least one element that is capable of becoming a positron emitter in response to neutron bombardment may be selected as the source material 116. For example, suitable source materials 116 may comprise copper and various alloys thereof, as well as many aluminum and steel alloys. As was the case for the source material 16, it is generally preferred, but not required, that the source material 116 comprise a flexible material so that it may be conformed or shaped to the particular specimen 118 being tested. As discussed above, the ability to conform or shape at least a portion the source material 116 to at least a portion of the specimen 118 may provide certain advantages. Generally speaking, source materials 116 comprising thin metal sheets or β€œfoils” containing at least one isotope that can be β€œneutron activated,” such as copper, as well as certain aluminum and steel alloys, will result in highly-suitable source materials 116. With reference back now to FIG. 2, the thickness 34 of the sheet or foil is not particularly critical, any may comprise any of a range of thicknesses, although the sensitivity is greater with thinner source materials. However, if high-conformability is desired, then the thickness 34 of the source material 116 should be selected to provide the source material 116 with the desired degree of flexibility or conformability. For example, in one embodiment, the source material 116 comprises a copper sheet or foil having a thickness 34 in the range of about 0.025 mm to about 1 mm (0.025 mm to 0.1 mm preferred). The sheet-like or foil source material 116 need not comprise any particular shape or configuration. Indeed, the source material 116 may comprise any of a wide range of shapes or configurations (e.g., rectangular, square, elliptical, or irregular) that may be required or desired for the particular application, as would be obvious to persons having ordinary skill in the art after having become familiar with the teachings of the present invention. Consequently, the present invention should not be regarded as limited to a source material 116 having any particular shape or configuration. However, by way of example, in one preferred embodiment, the source material 116 may comprise a generally square configuration, as illustrated in FIG. 2, having a length 36 substantially equal to a width 38, both of which are about 10 cm to yield a total surface area of about 200 cm2 (e.g., 100 cm2 for each side or face 40, 42 of the source material 116). However, and as mentioned above, source materials having single side surface areas in the range of about 0.1 cm2 to about 100 cm2 may be used as well. In the embodiment shown and described in FIG. 3, the copper foil source material 116 comprises primarily 63Cu. 63Cu may be β€œactivated” or caused to emit positrons by bombarding it with neutrons having energies of at least about 14 MeV. Bombarding the copper foil with neutrons having at least this energy will result in the formation or production of 64Cu (e.g., via the (n,Ξ³) reaction) and 62Cu (e.g., via the (n,2n) reaction), both of which emit positrons in the decay process. As was the case for the first embodiment, it is generally preferable to β€œactivate” as large a portion of the source material 116 as possible in order to provide an activated source material 116 that emits positrons e+ over as large an area as possible, or in a size to produce the desired resolution for a particular measurement. Of course, the particular application may allow a smaller area of the source material 116 to be activated. For example, if the source material 116 comprises a surface area (i.e., size) that is larger than the size or surface area of the specimen 118 to be examined, then it may be desirable to only activate an area of the source material 116 that approximates the area of the specimen 118 to be examined. The activated area of the source material 116 should then be aligned with (e.g., positioned over) the corresponding area on the specimen 118 that is to be tested. In any event, the arrangement of the neutron source 112 and the source material 116 should be such that substantially the entirety, or desired portion, as the case may be, of the source material 116 is exposed to neutrons n from the neutron source 112. The exposure of the source material 116 to neutrons n from the neutron source 112 may be accomplished in any of a variety of ways. For example, if the neutron source 112 produces a wide neutron β€œbeam” it may be that the area of the wide neutron beam is sufficient to activate substantially the entirety (or desired portion) of the source material 116. Alternatively, the source material 116 may be moved with respect to the neutron beam produced by the neutron source 112 so that substantially the entirety (or desired portion) of the source material 116 is activated by the beam of neutrons. The relative movement may be regular (e.g., such as in a β€œraster” scan) or random. In any event, suitable movement apparatus 144 may be used to move the source material 116 with respect to the neutron source 112. The non-destructive testing apparatus 110 may also be provided with a detector system 120, a processing system 122, as well as a user interface 128. These systems may be in every respect identical to the corresponding systems already described for the first embodiment 10 and illustrated in FIG. 1. Consequently, the detector system 120, processing system 122, and user interface 128 that may be utilized in one embodiment of the apparatus 110 will not be described in further detail herein. Another non-destructive testing method according to the present invention may comprise providing a source material 116 that will produce positrons e+ in response to neutron bombardment. In the embodiment shown and described in FIG. 3, the source material 116 comprises a thin sheet or foil comprising 63Cu having a generally square configuration, substantially as illustrated in FIG. 2. More specifically, the copper source material 116 comprises a foil sheet having a thickness 34 of about 0.1 mm, a length 36 of about 10 mm and a width 38 of about 10 mm. The copper foil comprising the source material 116 is then positioned adjacent the neutron source 112, which bombards the source material 116 with neutrons n. As mentioned above, the source material 116 may be mounted on a suitable movement apparatus 144 which moves the source material 116 relative to the neutrons n from neutron source 112 so that the desired portion of the source material 116 is exposed to the neutrons n. Once the source material 116 is positioned adjacent the neutron source 112, the neutron source 112 may then be operated so that the neutrons n produced thereby have energies of about 14 MeV. Neutrons n of such energies are sufficient to activate the copper atoms in the source material 116, causing it to produce positrons e+ in the manner already described. The time required to activate the source material 116 will vary depending on the size of the source material 116 that is to be used in a particular application, as well as on other factors, as would become apparent to persons having ordinary skill in the art after having become familiar with the teachings provided herein and after considering the particular devices and materials being used. Consequently, the present invention should not be regarded as limited to exposing the source material 116 to neutrons n for any particular time. However, by way of example, in one preferred embodiment, the source material 116 is exposed to the neutrons n from the neutron source 112 for a time in the range of about 1 to about 20 minutes, which was sufficient to activate substantially the entirety of the source material 116. After being activated, the source material 116 may then be removed from its position adjacent the neutron source 112 and positioned adjacent the specimen 118 to be tested. Generally speaking, it will be advantageous to place the source material 116 in direct contact with the specimen 118. If the specimen 118 comprises an irregularly shaped body and if the source material 116 comprises a conformable material, then it also will be advantageous to conform or mold the source material 16 to the specimen 118, as illustrated in FIG. 3. So conforming or molding the source material 116 to the specimen 118 is readily accomplished in the embodiment illustrated in FIG. 3, wherein the source material 116 comprises copper foil. When so positioned adjacent the specimen 118, positrons e+ emitted by the activated source material 116 bombard the specimen 118. In many embodiments, the positrons e+ will have energies greater than those normally associated with conventional external positron sources, thus will generally penetrate the specimen 118 to a greater depth. The source material 116 may be left in place adjacent the specimen 118 for a time sufficient to allow the detector system 120 to collect an amount of annihilation gamma rays Ξ³a sufficient to allow the processing system 122 to produce output data 126. Because the amount of annihilation gamma rays Ξ³a needed to be detected by the detector system 120 will vary depending on a wide variety of factors, such as, for example, the sensitivity of the detector system 120, the particular algorithms utilized by the processing system 122, as well as on other factors that would be appreciated by persons having ordinary skill in the art, the present invention should not be regarded as limited to any particular times. However, by way of example, in one embodiment, the source material 116 is positioned adjacent the specimen for a time in the range of about 3 minutes to about 60 minutes. Having herein set forth preferred embodiments of the present invention, it is anticipated that suitable modifications can be made thereto which will nonetheless remain within the scope of the invention. The invention shall therefore only be construed in accordance with the following claims:
abstract
A radiation detector includes a substrate, control lines provided on the substrate and extending in a first direction, data lines provided on the substrate and extending in a second direction crossing the first direction, and detection parts arranged in a matrix. Each detection part includes a thin film transistor and a conversion part converting radiation or light into electricity. Further, a control circuit switches an on state and an off state of each thin film transistor and a signal detection circuit reads out image data in the on state of the thin film transistor. Further, the detector judges a start time of radiation incidence based on a value of the image data read out in the on state of each thin film transistor.
description
This is a U.S. national stage application of International Application No. PCT/JP2009/069176, filed on 11 Nov. 2009. Priority under 35 U.S.C. 119(a) and 35 U.S.C. 365(b) is claimed from Japanese Application No. JP 2008-303999, filed 28 Nov. 2008, the disclosure of which is also incorporated herein by reference. The present invention relates to a scintillator plate exhibiting enhanced sensitivity and sharpness and capable of obtaining radiation images of excellent storage stability. There have been broadly employed radiographic images such as X-ray images for diagnosis of the conditions of patients on the wards. Specifically, radiographic images using an intensifying-screen/film system have achieved enhancement of speed and image quality over its long history and are still used on the scene of medical treatment as an imaging system having high reliability and superior cost performance in combination. However, these image data are so-called analog image data, in which free image processing or instantaneous image transfer cannot be realized. Recently, there appeared digital system radiographic image detection apparatuses, as typified by a computed radiography (also denoted simply as CR) and a flat panel detector (also denoted simply as FPD). In these apparatuses, digital radiographic images are obtained directly and can be displayed on an image display apparatus such as a cathode tube or liquid crystal panels, which renders it unnecessary to form images on photographic film. Accordingly, digital system radiographic image detection apparatuses have resulted in reduced necessities of image formation by a silver salt photographic system and leading to drastic improvement in convenience for diagnosis in hospitals or medical clinics. The computed radiography (CR) as one of the digital technologies for radiographic imaging has been accepted mainly at medical sites. However, image sharpness is insufficient and spatial resolution is also insufficient, which have not yet reached the image quality level of the conventional screen/film system. Further, there appeared, as a digital X-ray imaging technology, an X-ray flat panel detector (FPD) using a thin film transistor (TFT), as described in, for example, the article β€œAmorphous Semiconductor Usher in Digital X-ray Imaging” described in Physics Today, November, 1997, page 24 and also in the article β€œDevelopment of a High Resolution, Active Matrix, Flat-Panel Imager with Enhanced Fill Factor” described in SPIE, vol. 32, page 2 (1997). To convert radiation to visible light is employed a scintillator panel made of an X-ray phosphor which is emissive for radiation. The use of a scintillator panel exhibiting enhanced emission efficiency is necessary for enhancement of the SN ratio in radiography at a relatively low dose. Generally, the emission efficiency of a scintillator panel depends of the phosphor layer thickness and X-ray absorbance of the phosphor. A thicker phosphor layer causes more scattering of emission within the phosphor layer, leading to deteriorated sharpness. Accordingly, necessary sharpness for desired image quality level necessarily determines the layer thickness. Specifically, cesium iodide (CsI) exhibits a relatively high conversion rate of X-rays to visible light. Further, a columnar crystal structure of the phosphor can readily be formed through vapor deposition and its light guide effect inhibits scattering of emitted light within the crystal, enabling an increase of the phosphor layer thickness (as described in patent document 1). However, the use of cesium iodide (CsI) alone results in reduced emission efficiency. For example, there was disclosed a technique for use as an X-ray phosphor in which a mixture of cesium iodide (CsI) and sodium iodide (NaI) at any mixing ratio was deposited on a support (substrate) to form sodium-activated cesium iodide (CsI:Na); recently, there was also disclosed a technique in which a mixture of cesium iodide (CsI) and thallium iodide (TlI) at any mixing ratio was deposited on a substrate to form thallium-activated cesium iodide (CsI:Tl), which was further subjected to a heat treatment at a temperature of 200 to 500Β° C. to achieve enhanced visible-conversion efficiency to employ as an X-ray phosphor (as described in patent document 2). However, such an activator was different in crystal structure from cesium iodide, so that its increased content resulted in disorder of a columnar crystal structure, producing problems such as deterioration of sharpness. There was further disclosed a panel in which a sublayer comprising a phosphor parent compound (CsBr) and exhibiting a lower density than a phosphor layer was provided, resulting in superior adhesiveness between a phosphor and a support and leading to enhanced sensitivity (as described in patent document 3). However, it was proved that such a technique was insufficient in sensitivity and inferior in moisture resistance. Patent document 1: JP 63-215987A Patent document 2: JP 54-35060B Patent document 3: JP 2005-091222A The present invention has come into being in view of the foregoing problems and circumstances and it is an object of the present invention to provide a scintillator panel capable of obtaining a radiation image exhibiting enhanced luminance and sharpness, and achieving improved storage stability. The foregoing problems related to the present invention can be solved by the following constitution. 1. A scintillator panel comprising on a support a base layer and a phosphor layer provided sequentially in this order, wherein the phosphor layer comprises columnar phosphor crystals formed of a phosphor parent compound and an activator by a process of vapor phase deposition and the base layer comprises crystals formed of the phosphor parent compound and an activator, and a relative density of the base layer is lower than that of the phosphor layer and a relative amount of an activator contained in the base layer is lower than that of an activator contained in the phosphor layer. 2. The scintillator panel, as described in the foregoing 1, wherein a thickness of the base layer satisfies the following expression:Expression 0.01<[(thickness of base layer)/(thickness of phosphor layer)]<0.5. 3. The scintillator panel, as described in the foregoing 1 or 2, wherein the base layer is a layer comprising crystals formed by a process of vapor phase deposition. 4. The scintillator panel, as described in any of the foregoing 1 to 3, wherein the phosphor parent compound is cesium iodide (CsI) and the activator is thallium (Tl). According to the present invention, there can be provided a scintillator panel which can obtain a radiation image exhibiting enhanced luminance and sharpness, and can achieve improved storage stability. The scintillator panel of the present invention is featured in that the scintillator panel comprises a base layer and a phosphor layer provided on a support sequentially in this order, wherein the phosphor layer is comprised of columnar phosphor crystals which have been formed of a phosphor parent compound and an activator by a process of vapor phase deposition and the base layer is comprised of crystals which have been formed of the phosphor parent compound and an activator, and the density of the base layer is relatively lower to that of the phosphor layer and the content of an activator of the base layer is relatively lower to that of the phosphor layer. This feature is a technical feature in common with the foregoing 1 to 4. In one preferred embodiment of the present invention, the thickness of the base layer meets the relational expression described below:Expression: 0.01<[(thickness of base layer)/(thickness of phosphor layer)]<0.5. Further, the base layer is preferably comprised of crystals formed by a process of vapor phase deposition. Furthermore, the phosphor parent compound preferably is cesium iodide (CsI) and the activator preferably is thallium (Tl). Hereinafter, there will be detailed the present invention and its constituent features and preferred embodiments. In the present specification, the numerical range represented by use of the designation β€œ-” represents the range including numerical values described before and after β€œ-”, as lower and upper limits. Constitution of Scintillator Panel: The scintillator panel of the present invention is one which is provided on a support with a base layer and a phosphor layer sequentially in that order, wherein the phosphor layer comprises columnar phosphor crystals which were formed of a phosphor parent compound and an activator by a process of vapor phase deposition and the base layer comprises crystals formed of the phosphor parent compound and an activator, and the relative density of the base layer is lower than that of the phosphor layer and a relative amount of an activator contained in the base layer is lower than that of an activator contained in the phosphor layer. Hereinafter, there will be described typical examples of various constituent layers and elements forming a scintillator panel. Phosphor Layer and Base Layer: A phosphor layer related to the present invention (which is also denoted as a scintillator layer) is mainly composed of a commonly known phosphor parent compound such as a cesium halide, for example, cesium iodide (CsI) or cesium bromide (CsBr), which is preferably a phosphor layer containing phosphor columnar crystals composed of cesium iodide (CsI) as a parent compound (main component). A material to form a phosphor layer may employ a variety of commonly known phosphor materials, of which cesium iodide (CsI) is employed as a main component in the present invention, since it exhibits an enhanced conversion rate of X-rays to visible light and readily forms a columnar crystal structure of a phosphor, whereby scattering of emitted light within the crystal is inhibited through the light guiding effect, rendering it feasible to increase the scintillator layer thickness. CsI by itself exhibits a relatively low emission efficiency, so that various activators are incorporated. For example, JP 54-35060B disclosed a mixture of CsI and sodium iodide (NaI) at any mixing ratio. Further, JP 2001-59899A disclosed vapor deposition of CsI containing an activator, such as thallium (Tl), europium (Eu), indium (In), lithium (Li), potassium (K), rubidium (Ru) or sodium (Na). In the present invention, thallium (Tl) is preferred. In the present invention, it is preferred to employ, as raw materials, cesium iodide and an additive containing at least one thallium compound. Namely, thallium-activated cesium iodide (denoted as CsI:Tl), which exhibits a broad emission within the wavelength region of from 400 to 750 nm, is preferred. There can be employed various thallium compounds (compound having an oxidation number of +I or +III) as a thallium compound contained in such an additive. In the present invention, the thallium compound preferably is a thallium iodide (TlI). The melting point of a thallium compound related to the present invention is preferably in the range of 400 to 700Β° C. A melting point higher than 700Β° C. results in nonhomogeneous inclusions of an additive within the columnar crystal. In the present invention, the melting point is at ordinary temperature and ordinary pressure. In the present invention, the relative activator content of the phosphor layer is preferably from 0.1 to 5 mol %. The relative activator content of a base layer is preferably from 0.01 to 1 mol %, and more preferably from 0.1 to 0.7 mol %. The relative activator content is represented by mol % of an activator, based on 1 mol of a phosphor parent compound. Specifically, a base layer containing not less than 0.01 mol % is essential in terms of enhancement of emission luminance and storage stability. In the present invention, it is necessary that a relative activator content of the base layer is lower than that of the phosphor layer, and the ratio of relative activator content of the base layer to the relative activator content of the phosphor layer, that is, (relative activator content of base layer)/(relative activator content of phosphor layer) is preferably from 0.1 to 0.7 mol %. The thickness of a phosphor layer (scintillator layer) is preferably from 100 to 800 ΞΌm, and more preferably from 120 to 700 ΞΌm to achieve balanced characteristics of luminance and sharpness. The phosphor columnar crystals related to the present invention need to be formed by a process of vapor phase deposition. The process of vapor phase deposition may employ a vapor deposition method, a sputtering method, a CVD method, an ion plating method and the like, and the vapor deposition method is preferred in the present invention. In the present invention, the scintillator panel comprises a support and thereon a phosphor layer formed by the process of vapor phase deposition, in which the phosphor layer is composed of a phosphor parent compound and an activator, and between the support and the phosphor layer is provided a base layer which is composed of the phosphor parent compound and the activator and exhibits a relative density lower than that of the phosphor layer and the relative activator content is lower than that of the phosphor layer. Further, the method of producing the scintillator panel of the present invention is preferably one which comprises the steps of forming on a support a base layer exhibiting relatively lower activator content to the phosphor layer, and depositing the phosphor on the base layer by the process of vapor phase deposition to form a phosphor layer exhibiting relatively higher activator content than the base layer. The presence of the base layer results in enhanced columnar crystallinity of the phosphor layer, leading to increased emission, enhanced luminance of the panel, and improved storage stability. The base layer as a characteristic requirement of the present invention exhibits a relative activator content lower than that of the phosphor layer and the relative density of the base layer is preferably within the range of 85 to 95%. In the present invention, the relative density of the base layer is required to be lower than the relative density of the phosphor layer and the ratio of relative density of the base layer to relative density of the phosphor layer [that is, (relative density of base layer)/(relative density of phosphor layer)] is preferably from 0.92 to 0.98. In the present invention, the relative density (%) represents a relative value (expressed in percentage) of the ratio of a real density (g/cm3) of the individual layer (base layer or phosphor layer) to an intrinsic density of a phosphor (or a density inherent to a phosphor, g/cm3). The ratio of base layer thickness to phosphor layer thickness desirably satisfies the relational expression described below. For example, when the thickness of a phosphor layer is 500 ΞΌm, the thickness of a base layer preferably is more than 5 ΞΌm and less than 250 ΞΌm.Expression 0.01<(thickness of base layer)/(thickness of phosphor layer)<0.5 In the present invention, the base layer preferably is also formed by the process of vapor phase deposition similarly to the phosphor layer. When formed by the process of vapor phase deposition such as vapor deposition, the base layer is generally formed of an aggregate of spherical crystals with some ΞΌms diameters or has a columnar crystal structure. Reflection Layer: In the present invention, there may be provided a reflection layer (also denoted as a metal reflection layer) on the support (substrate). The reflection layer reflects light emitted from a phosphor (scintillator), resulting in enhanced light-extraction efficiency. The reflection layer is preferably formed of a material containing an element selected from the group consisting of Al, Ag, Cr, Cu, Ni, Ti, Mg, Rh, Pt, and Au. It is specifically preferred to employ a metal thin-film composed of the foregoing elements, for example, Ag film or Al film. Such a metal film may be formed of two or more layers. The thickness of a reflection layer is preferably 0.005 to 0.3 ΞΌm in terms of emission-extraction efficiency, and more preferably 0.01 to 0.2 ΞΌm. The reflection layer related to the invention may be formed by any method known in the art, including, for example, a sputtering treatment by use of the foregoing raw material. Protective Layer for Metal Reflection Layer The scintillator panel related to the invention may be provided, on the foregoing reflection layer, with a protective layer to protect the metal reflection layer. Such protective layer for metal reflection layer is formed preferably by coating a resin dissolved in a solvent and drying it A polymer exhibiting a glass transition point of 30 to 100Β° C. is preferred in terms of adhesion of deposited crystals to a support (substrate) and specific examples of such a polymer include a polyurethane, vinyl chloride copolymer, poly[(vinyl chloride)-co-(vinyl acetate)], poly[(vinyl chloride)-co-(vinylidene chloride)], poly[(vinyl chloride)-co-acrylonitrile], poly(butadiene-co-acrylonitrile), polyvinyl acetal, polyester, cellulose derivatives (e.g., nitrocellulose), polyimide, polyamide, poly-p-xylylene, poly(styrene-co-butadiene), various synthetic rubber resins, phenol resin, epoxy resin, urea resin, melamine resin, phenoxy resin, silicone resin, acryl resin and urea formamide resin. Of these, a polyester resin is preferred. The thickness of a protective layer for metal reflection layer is preferably not less than 0.1 ΞΌm in terms of adhesive property and not more than 3.0 ΞΌm to achieve smoothness of the protective layer surface, and more preferably is in the range of 0.2 to 2.5 ΞΌm. Examples of a solvent used for the protective layer for metal reflection layer include a lower alcohol such as methanol, ethanol, n-propanol or n-butanol; a chlorine-containing hydrocarbon such as methylene chloride or ethylene chloride; a ketone such as acetone, methyl ethyl ketone or methyl isobutyl ketone; an aromatic compound such as toluene, benzene, cyclohexane, cyclohexanone or xylene; an ester of a lower carboxylic acid and a lower alcohol, such as methyl acetate, ethyl acetate or butyl acetate; an ether such as dioxane, ethylene glycol monoethyl ester, or ethylene glycol monomethyl ester; and an ether such as dioxane, ethylene glycol monoethyl ester, or ethylene glycol monomethyl ester. Sublayer: In the invention, it is preferred in terms of adhesion to provide a sublayer between the support (substrate) and the phosphor layer, or between a reflection layer and a phosphor layer. Such a sublayer preferably contains a polymeric binder (binder), a dispersing agent or the like. The thickness of a sublayer is preferably from 0.5 to 4 ΞΌm. There will be further described constituents of a sublayer. Polymeric Binder: The sublayer related to the invention is formed preferably by coating a polymeric binder (hereinafter, also denoted simply as a binder) dissolved or dispersed in a solvent, followed by drying. Specific examples of such a polymeric binder include a polyurethane, vinyl chloride copolymer, poly[(vinyl chloride)-co-(vinyl acetate)], poly[(vinyl chloride)-co-(vinylidene chloride)], poly[(vinyl chloride)-co-acrylonitrile], poly(butadiene-co-acrylonitrile), polyvinyl acetal, polyester, cellulose derivatives (e.g., nitrocellulose), polyimide, polyamide, poly-p-xylylene, poly(styrene-co-butadiene), various synthetic rubber resins, phenol resin, epoxy resin, urea resin, melamine resin, phenoxy resin, silicone resin, acryl resin and urea formamide resin. Of these, it is preferred to employ a polyester, a vinyl chloride copolymer, polyvinyl butyral or nitrocellulose. The polymeric binder related to the invention preferably is a polyester, a vinyl chloride copolymer, polyvinyl butyral or nitrocellulose, in terms of adhesion. A polyester resin is specifically preferred. Examples of a solvent for use in preparation of a sublayer include a lower alcohol such as methanol, ethanol, n-propanol or n-butanol; a chlorine-containing hydrocarbon such as methylene chloride or ethylene chloride; a ketone such as acetone, methyl ethyl ketone or methyl isobutyl ketone; an aromatic compound such as toluene, benzene, cyclohexane, cyclohexanone or xylene; an ester of a lower carboxylic acid and a lower alcohol, such as methyl acetate, ethyl acetate or butyl acetate; an ether such as dioxane, ethylene glycol monoethyl ester, or ethylene glycol monomethyl ester; and an ether such as dioxane, ethylene glycol monoethyl ester, or ethylene glycol monomethyl ester. The sublayer related to the invention may contain a pigment of a dye to inhibit scattering of light emitted from a phosphor (scintillator) to achieve enhanced sharpness. Protective Layer: A protective layer related to the invention mainly aims to protect a scintillator layer. Namely, cesium iodide (CsI) is a hygroscopic material, and absorbs moisture from the atmosphere to deliquesce so that it is a main aim to inhibit this. The moisture-resistant protective layer can be formed by use of various materials. For instance, it is to form a p-xylilene membrane by a CVD process. Namely, it is to form a p-xylilene layer on all of the surfaces of a scintillator and a substrate, where a protective layer is formed. Alternatively, a polymer film, as a protective layer, may be provided on the phosphor layer. A material of such a polymer film may employ a film similar to a polymer film as a support (substrate) material, as described later. The thickness of a polymer film is preferably not less than 12 ΞΌm and not more than 120 ΞΌm, and more preferably not less than 20 ΞΌm and not more than 80 ΞΌm, taking into account formability of void portions, protectiveness of a phosphor layer, sharpness, moisture resistance and workability. Taking into account sharpness, uniformity of radiation image, production stability and workability, the haze factor is preferably not less than 3% and not more than 40%, and more preferably not less than 3% and not more than 10%. The haze factor is determined by using, for example, NDH 500W, made by Nippon Denshoku Kogyo Co., Ltd. Such a haze factor can be achieved by choosing commercially available polymer films. Taking into account photoelectric conversion efficiency and scintillator emission wavelength, the light transmittance of the protective film is preferably not less than 70% at 550 nm; however, a film with light transmittance of 99% or more is not commercially available, so that it is substantially preferred to be from 70 to 99%. Taking into account protectiveness and deliquescence of a scintillator layer, the moisture permeability of the protective film is preferably not more than 50 g/m2Β·day (40Β° C., 90% RH, measured in accordance with JIS Z 0208) and more preferably not more than 10 g/m2Β·day (40Β° C., 90% RH, measured in accordance with JIS Z 0208); however, a film of not more than 0.01 g/m2Β·day (40Β° C., 90% RH) is not commercially available, so that it is substantially preferred to be not less than 0.01 g/m2Β·day (40Β° C., 90% RH) and not more than 50 g/m2Β·day (40Β° C., 90% RH, measured in accordance with JIS Z 0208), and it is more preferred to be not less than 0.1 g/m2Β·day (40Β° C., 90% RH) and not more than 10 g/m2Β·day (40Β° C., 90% RH, measured in accordance with JIS Z 0208). Support (Substrate): In the invention, a support (also denoted as a substrate) preferably is a quartz glass sheet, a metal sheet such as aluminum, iron, tin or chromium, a carbon fiber-reinforced sheet, or a polymer film. There are usable polymer films (plastic films) such as cellulose acetate film, polyester film, polyethylene naphthalate (PEN) film, polyamide film, polyimide (PI) film, triacetate film, polycarbonate film and carbon fiber reinforced resin. A polymer film containing a polyimide or polyethylene naphthalate is specifically suitable when forming phosphor columnar crystals with a raw material of cesium iodide by a process of vapor phase deposition. The support (substrate) related to the invention preferably is a 50-500 ΞΌm thick, flexible polymer film. Herein, the flexible support (substrate) refers to a substrate exhibiting an elastic modulus at 120Β° C. (also denoted as E120) of 1000 to 6000 N/mm2. Such a substrate preferably is a polymer film containing polyimide or polyethylene naphthalate. In the region showing a linear relationship between strain and corresponding stress which is measured by using a tensile strength tester based on JIS C 2318, the elastic modulus is calculated as the slope of the straight portion of the stress-strain curve, that is, a strain divided by a stress. It is also referred to as a Young's modulus. In the invention, such a Young's modulus is also defined as the elastic modulus. The substrate used in the invention preferably exhibits an elastic modulus at 120Β° C. (E120) of 1000 to 6000 N/mm2, and more preferably 1200 to 5000 N/mm2. Specific examples include polymer film comprised of polyethylene naphthalate (E120=4100 N/mm2), polyethylene terephthalate (E120=1500 N/mm2), polybutylene naphthalate (E120=1600 N/mm2), polycarbonate (E120=1700 N/mm2), syndiotactic polystyrene (E120=2200 N/mm2), polyether imide (E120=1900 N/mm2), polyacrylate (E120=1700 N/mm2), polysulfone (E120=1800 N/mm2) or polyether sulfone (E120=1700 N/mm2). These may be used singly or mixedly, or laminated. Of these polymer films, a polymer film comprising polyimide or polyethylene naphthalate is preferred. Adhesion of the scintillator panel to the surface of a planar light receiving element is often affected by deformation or warpage of the support (substrate) during deposition, rendering it difficult to achieve a uniform image quality characteristic within the light receiving surface of a flat panel detector. In such a case, a 50-500 ΞΌm thick polymer film is used as the support (substrate), whereby the scintillator panel is deformed with being fitted to the form of the surface of a planar light receiving element, leading to uniform sharpness over all of the light-receiving surface of the flat panel detector. The support may be provided with a resin layer to make the surface smooth. The resin layer preferably contains a compound such as a polyimide, polyethylene terephthalate, paraffin or graphite, and the thickness thereof preferably is approximately 5 to 50 ΞΌm. The resin layer may be provided on the front surface or back surface of the support. Means for providing an adhesion layer on the support surface include, for example, a pasting method and a coating method. Of these, the pasting method is conducted by using heat or a pressure roller preferably in the heating condition of approximately 80 to 150Β° C., a pressure condition of 4.90Γ—10 to 2.94Γ—102 N/cm and a conveyance rate of 0.1 to 2.0 m/sec. Production Method of Scintillator Panel: A production method of the scintillator panel related to the invention is preferably one in which, using an evaporation device having an evaporation source and a support rotation mechanism provided within a vacuum vessel, a support is placed on the rotation mechanism and a phosphor layer is formed by a vapor phase deposition process of evaporating a phosphor material, while rotating the support rotation mechanism. In the following, there will be described the embodiments of the invention with reference to FIG. 1. FIG. 1 illustrates a schematic constitution of a production device of a scintillator panel related to the invention. As illustrated in FIG. 1, a vapor production device 1 of a radiation scintillator is provided with a vacuum vessel 2. The vacuum vessel 2 is provided with a vacuum pump 3 to evacuate the inside of the vacuum vessel 2 and to introduce atmosphere. A support holder 5 to hold a support 4 is provided near the topside within the vacuum vessel 2. A phosphor layer is formed on the surface of the support 4 by a process of vapor phase deposition. The process of vapor phase deposition may employ a vapor deposition method, a sputtering method, a CVD method, an ion-plating method or the like, of which the vapor deposition method is preferred in the invention. A holder 5 supports the substrate 1 so that the support surface to form the phosphor layer is opposed to and is also parallel to the bottom face of the vacuum vessel 2. The support holder 5 is preferably provided with a heater (which is not shown in the drawing) to heat the support 4. Heating the substrate by the heater achieves enhanced contact of the substrate to the support holder 5 and controls layer quality of the phosphor layer. Further, adsorbate on the surface of the support 4 is also eliminated or removed to inhibit generation of an impurity layer between the surface of the support 4 and a phosphor described later. Further, there may be provided, as a heating means, a mechanism (not shown in the drawing) to circulate a warming medium or heating medium. Such a means is suitable when performing vapor deposition with maintaining the support 4 at a relatively low temperature of 50 to 150Β° C. There may be provided a halogen lamp (not shown in the drawing) as a heating means. This means is suitable when performing vapor deposition with maintaining the support 4 at a relatively high temperature of not less than 150Β° C. The support holder 5 is provided with a rotation mechanism 6 to rotate the support 4 in the horizontal direction. The support rotation mechanism 6 is constituted of a support rotation shaft 7 to rotating the support 4 with supporting the support holder 5 and a motor (not shown in the drawing) which is disposed outside the vacuum vessel and is a driving source of the support rotation shaft 7. In the vicinity of the bottom surface within the vacuum vessel 2, evaporation sources 8a and 8b are disposed at positions opposed to each other on the circumference of a circle centered on a center line vertical to the support 4. In that case, the distance between the support 4 and the evaporation source 8a or 8b is preferably from 100 to 1500 mm, and more preferably from 200 to 1000 mm. Further, the distance the center line vertical to the substrate 1 and the evaporation source 8a or 8b is preferably from 100 to 1500 mm, and more preferably from 200 to 1000 mm. The radiation scintillator production device may be provided with three or more evaporation sources, in which the individual evaporation sources may be disposed at equivalent intervals or different intervals. The radius of a circle centered on a center line vertical to the support 4 can arbitrarily be set. The evaporation sources 8a and 8b, which house a phosphor and heat it by a resistance heating method, may be constituted of an alumina crucible wound by a heater, a boat or a heater of a metal with a high melting point. Methods of heating a phosphor include heating by an electron beam and high-frequency induction heating, but in the invention, a method of resistance-heating by direct current or a method of resistance-heating indirectly a crucible by a circumferential heater is preferable in terms of ease of operation by a relatively simple constitution and low price and also being applicable to many substances. The evaporation sources 8a and 8b may be a molecular beam by a molecular source epitaxial method. A shutter 9 which is openable in the horizontal direction is provided between the evaporation source 8a or 8b) and the substrate 1 to intercept the space from the evaporation source 8a) or 8b to the support 4; this shutter 9 prevents substances except the objective material which were attached to the phosphor surface and have been evaporated at the initial stage of vapor deposition from adhering onto the support 4. Production Method of Scintillator Panel: Next, there will be described a production method of a scintillator panel related to the invention by using the foregoing production device 1 of the foregoing scintillator panel. First, the support 4 is placed onto the support holder 5. Further, evaporation sources 8a and 8b are disposed on the circumference of a circle centered on a center line vertical to the substrate 1 in the vicinity of the bottom of the vacuum vessel 2. In that case, the space between the substrate 1 and the evaporation source 8a or 8b is preferably form 100 to 1500 mm, and more preferably from 200 to 1000 mm. The space between the center line vertical to the support 4 is preferably from 100 to 1500 mm, and more preferably from 200 to 1000 mm. Subsequently, the inside of the vacuum vessel 2 is evacuated to a medium evacuation degree of an extent of 1Γ—10βˆ’2 to 10 Pa, and preferably to a evacuation degree of 1Γ—10βˆ’2 to 1 Pa. More preferably, the inside of the vacuum vessel 2 is evacuated to a high evacuation degree of 1Γ—10βˆ’5 to 1Γ—10βˆ’2 Pa, and then, is controlled to the foregoing medium evacuation degree, while introducing an inert gas such as Ar gas, Ne gas, N2 gas or the like. Then, while rotating the support holder 5 by the support rotation mechanism 6 with respect to the evaporation sources 8a and 8b, the phosphor is evaporated from the heated evaporation sources 8a and 8b to allow the phosphor to grow on the surface of the support 4 to an intended thickness. Thereby, a water partial pressure or an oxygen partial pressure within the device can be lowered. An evacuation device can employ an appropriate combination of a rotary pump, a turbo pump, a cryopump, a diffusion pump, a mechanical booster and the like. The process of growing a phosphor on the surface of the support 4 may be divided to plural steps to form a phosphor layer. In the vapor deposition method, a material subject to deposition (the support 4, protective layer or intermediate layer) may appropriately be heated or cooled during vapor deposition. After completing vapor deposition, the phosphor layer may be subjected to a heating treatment. There may be also conducted a reactive deposition in which deposition is performed, while introducing gas such as O2 or H2. The thickness of the formed phosphor layer, which is different depending on intended use or the kind of a phosphor, is from 50 to 2000 ΞΌm, preferably 50 to 1000 ΞΌm, and more preferably from 100 to 800 ΞΌm. The temperature of the support 4 on which a phosphor layer is to be formed, is set preferably to the range of room temperature (rt) to 300Β° C., and more preferably 50 to 250Β° C. After forming the phosphor layer, a protective layer to physically or chemically protect the phosphor layer may be provided on the phosphor layer opposite to the support 4. A coating solution for a protective layer may be directly coated onto the phosphor layer surface or a protective layer which was previously formed may be adhered to the phosphor layer. The thickness of such a protective layer is preferably from 0.1 ΞΌm to 2000 ΞΌm. Alternatively, a protective layer may be formed by depositing an inorganic substance such as SiC, SiO2, SiN or Al2O3 through a vapor deposition method, sputtering method or the like. In the invention, there may be provided various functional layers other than the protective layer. In the production device 1 for a scintillator panel or the production method thereof, plural evaporation sources 8a and 8b are provided, whereby the overlapping portion of vapor streams from evaporation sources 8a and 8b are straightened, resulting in uniform crystallinity of a phosphor deposited on the surface of the support 4. In that case, more evaporation sources are provided, vapor streams are straightened at a larger number of portions, resulting in uniform crystallinity over a broader region. Further, when evaporation sources 8a and 8b are disposed on the circumference of a circle centered on a center line vertical to the support 4, such an action of unifomalizing crystallinity by straightening vapor streams can be isotropically achieved on the surface of the support 4. Further, performing deposition of a phosphor with rotating the support 4 by the support rotation mechanism 6 can achieve uniform deposition of the phosphor on the surface of the support 4. In the production device 1 or the production method of the scintillator panel related to the invention, as described above, the phosphor layer is allowed to grow so that the crystallinity of the phosphor becomes uniform, thereby achieving enhanced sharpness in the radiation image obtained from the radiation image conversion panel by using the scintillator panel related to the invention. Further, restriction of the incident angle of the foregoing phosphor to be deposited on the support 4 to the prescribed region to inhibit fluctuation in the incident angle of the phosphor results in uniformity crystallinity of the phosphor and enhanced sharpness of the obtained radiation image. In the foregoing, there are described cases when the support holder 5 is provided with the support rotation mechanism 6, but the invention is not limited to these but is also applicable to those cases, including, for example, the case of performing evaporation, while the support holder 5 holding the support 4 at rest; and the case of depositing the phosphor from the evaporation source 8a or 8b, while the support 4 is in the horizontal direction to the evaporation source 8a or 8b. Radiation Image Conversion Panel: The radiation image conversion panel of the invention (also denoted as a radiation image detector or radiation flat panel detector) may be obtained by adhering or contacting a scintillator panel which is provided with a phosphor layer formed on the first substrate by the vapor phase deposition process through a functional layer such as a reflection layer, to a photoelectric conversion panel provided, on the second substrate, with a photoelectric conversion element section (or planar light receiving element) in which picture elements comprised of a photosensor and a TFT (Thin Film Transistor) or CCD (Charge Coupled Device) are two-dimensionally arranged. Alternatively, it may be obtained by forming the photoelectric conversion element section in which picture elements comprised of a photosensor and a TFT or CCD are two-dimensionally arranged, followed by providing the phosphor layer by the process of vapor phase deposition directly or through a functional layer such as a protective layer. Namely, the radiation image conversion panel of the invention is required to be one which is provided, as a basic constitution, with a phosphor layer and a light-receiving element (hereinafter, also denoted as a planar light-receiving element) in which plural light-receiving elements are two-dimensionally arranged. Accordingly, the planar light-receiving element converting emission from the phosphor layer to a charge makes it feasible to digitize the image data. The surface mean roughness (Ra) of the planar light receiving element related to the invention is preferably within the range of 0.001 to 0.5 ΞΌm. Accordingly, it is preferred that after forming a light-receiving element on the glass surface, an organic resin film such as polyester of acryl is formed on the surface and the surface roughness is controlled by a photo-etching method so that the relevant requirements are met. The surface mean roughness (Ra) of the planar light receiving element related to the invention is preferably 0.001 to 0.1 ΞΌm, and more preferably 0.001 to 0.05 ΞΌm. The radiation image conversion panel of the invention is preferably in the form of a scintillator panel being contactably pressed to a planar light-receiving element by an elastic member (such as a sponge, spring or the like). It is also a preferred embodiment that the scintillator panel is brought into contact with the planar light-receiving element by evacuating the space between the scintillator panel and the planar light-receiving element and the circumference is sealed with an adhesive sealing member. Such an adhesive sealing member preferably is an ultraviolet-curable resin. It is also a preferred embodiment that the scintillator panel is provided with a phosphor layer and the phosphor layer is directly in contact with a planar light-receiving element. Such ultraviolet-curable resins are not specifically restricted but can be selected appropriately from those known in the art. These ultraviolet-curable resins contain a photopolymerizable prepolymer or photopolymerizable monomer and a photopolymerization initiator or a photosensitizer. Examples of such a photopolymerizable prepolymer include a polyester-acrylate one, an epoxy-acrylate one, a urethane acrylate one and a polyol-acrylate one. These photopolymerizable pre-polymers may be used singly or in combination. Examples of a photopolymerizable monomer include polymethylolpropane tri(meth)acrylate, hexanediol (meth)acrylate, tripropylene glycol di(meth)acrylate, diethylene glycol di(meth)acrylate, pentaerythritol tri(meth)acrylate, dipentaerythriltol hexa(meth)acrylate, 1,6-hexanediol di(meth)acrylate, and neopentyl glycol di(meth)acrylate. In the invention, there are preferably used a urethane acrylate prepolymer and a dipentaerythritol hexa(meth)acrylate monomer. Examples of a photopolymerization initiator include acetophenones, benzophenones, Ξ±-amyloxime ester, tetramethyl thiurum monosulfide, and thioxanthones. Further, n-butylamine, triethylamine and poly-n-butylphosphine are mixedly used as a photosensitizer. The present invention will be further described with reference to examples but the invention is by no means limited to these. A scintillator panel was prepared in the following manner by using a production device shown in FIG. 1. By use thereof, a radiation image conversion panel was prepared and evaluated. Comparison 1 Preparation of Scintillator Panel: Phosphor raw material 1 (CsI: 0.01 mole TlI) and phosphor raw material 2 (CsI: 0.01 mole TlI) were vapor-deposited, on one surface side of a support comprised of a polyimide resin sheet, to form a phosphor layer. Specifically, first, a support is placed on a support holder provided with a support rotation mechanism. Then, the foregoing phosphor raw materials were respectively placed in a single evaporation source crucible (two crucibles in total). Two evaporation source crucibles were disposed in the vicinity of the bottom of the vacuum vessel and on the circumference of a circle centered on a center line vertical to the support. The distance between the support and the evaporation source was adjusted to 400 mm and the distance between the center line vertical to the support and the evaporation source was also adjusted to 400 mm. Subsequently, after the interior of the vacuum vessel was evacuated to 1Γ—10βˆ’3 Pa, the vacuum degree was adjusted to 1Γ—10βˆ’2 Pa, while introducing Ar gas and the temperature of the support was maintained at 30Β° C., while rotating the support at a rate of 10 rpm. Subsequently, the interior of the individual crucible was raised to a prescribed temperature by resistance heating. Subsequently, evaporation of the phosphor raw material 1 was initiated with rotating the support and when the thickness of a phosphor layer reached 10 ΞΌm, evaporation was terminated. Subsequently, after the evacuation degree was adjusted to 0.1 Pa and the temperature of the support (substrate) was raised to 200Β° C., the phosphor raw material 2 was evaporated. When the thickness of a phosphor layer reached 450 ΞΌm, evaporation was terminated. Subsequently, the phosphor layer was placed into a protective bag in a dry air atmosphere to obtain a scintillator panel with the structure of the phosphor layer being sealed. The relative densities of a phosphor layer and a base layer, and a relative activator content were the values shown in Table 1. Comparison 2 A scintillator panel shown in Table 1 was obtained in the same manner as the foregoing Comparison 1, except that the vacuum degree adjusted to 1Γ—10βˆ’2 Pa with introducing Ar gas was changed to 0.1 Pa, whereby a scintillator was obtained, as shown in Table 1. The relative densities of a phosphor layer and a base layer, and the relative activator content were the values shown in Table 1. A scintillator panel was obtained in the same manner as the foregoing Comparison 2, except that the phosphor raw material 1 was changed to only CsI. The relative densities of a phosphor layer and a base layer, and a relative activator content were the values shown in Table 1. A scintillator panel was obtained in the same manner as the foregoing Comparison 2, except that the phosphor raw material 1 was changed to (CsI: 0.001 mol TlI). The relative densities of a phosphor layer and a base layer, and a relative activator content were the values shown in Table 1. A scintillator panel was obtained in the same manner as the foregoing Example 1, except that the phosphor raw material 1 was changed to (CsI: 0.005 mol TlI). The relative densities of a phosphor layer and a base layer, and a relative activator content were values shown in Table 1. A scintillator panel was obtained in the same manner as the foregoing Example 1, except that the phosphor raw material 1 was changed to (CsI: 0.007 mol TlI). The relative densities of a phosphor layer and a base layer, and a relative activator content were the values shown in Table 1. A scintillator panel was obtained in the same manner as the foregoing Example 2, except that the base layer thickness was changed to 20 ΞΌm. The relative densities of a phosphor layer and a base layer, and a relative activator content were the values shown in Table 1. A scintillator panel was obtained in the same manner as the foregoing Example 2, except that the base layer thickness was changed to 20 ΞΌm and evaporation was terminated when the thickness of the phosphor layer reached 180 ΞΌm. The relative densities of a phosphor layer and a base layer, and a relative activator content were the values shown in Table 1. A scintillator panel was obtained in the same manner as the foregoing Example 2, except that the evacuation degree was changed from 0.1 Pa to 0.15 Pa. The relative densities of a phosphor layer and a base layer, and a relative activator content were the values shown in Table 1. Evaluation Of the obtained scintillator panels, each was set to Pax Scan (FPD:250, made by Varian) and evaluated as below. Evaluation of Luminance: Samples were each exposed to X-rays at a voltage of 80 kVp from the back surface (not forming a scintillator layer) and the image data were detected by the FDP disposed with the scintillator panel, and the average signal value of the image was defined as the emission luminance. The thus obtained luminance was presented by a relative value, based on the luminance of a radiation image conversion panel of Comparison 1 being 100. A higher MTF value indicates superior luminance. Evaluation of Sharpness: The FPD was exposed to X-rays at a tube voltage of 80 kVp through a lead MTF chart and the image data was recorded on a hard disc. Then, the record on the hard disc was analyzed by a computer to determine a modulation transfer function, MTF [MTF value (%) at a spatial frequency cycle of 1/mm], which was a measure of sharpness. The obtained MTF was represented by a relative value, based on the MTF of the radiation conversion panel of Comparison 1 being 100. A higher MTF value indicates superior sharpness. β€œMTF” is the abbreviation for Modulation Transfer Function. Evaluation of Moisture Resistance: The obtained scintillator panels were allowed to stand in an environment of 70Β° C. and 90% RH and the deteriorated moisture resistance was represented by a relative value, based on the value before being allowed to stand being 100. TABLE 1RelativeRelativeThick-Phosphor RawPhosphor RawActivatorActivatorness ofRelativeRelativeMTFMaterial 1Material 2Content ofContent ofBaseDensity ofDensity ofafterCompositionCompositionBase LayerPhosphor LayerLayerBase LayerPhosphorLuminanceMTFStorage(molar ratio)(molar ratio)(molar %)(molar %)(ΞΌm)(%)Layer (%)[β€”][β€”][β€”]Comparison 1CsI:0.01TlICsI:0.01TlI1.01.0109990100100100Comparison 2CsI:0.01TlICsI:0.01TlI1.01.01085909710297Comparison 3CsI aloneCsI:0.01TlIβ€”1.01085909511280Example 1CsI:0.001TlICsI:0.01TlI0.11.0108590102110101Example 2CsI:0.005TlICsI:0.01TlI0.51.0108590110107103Example 3CsI:0.007TlICsI:0.01TlI0.71.0108590120103102Example 4CsI:0.005TlICsI:0.01TlI0.51.0208590115112102Example 5CsI:0.005TlICsI:0.01TlI0.51.0208590110114104Example 6CsI:0.005TlICsI:0.01TlI0.51.0108588108106103 As is apparent from the results shown in Table 1, it was proved that any of scintillator panels of the present invention (Examples 1-4) was excellent in luminance and MTF and improved in deterioration of MTF after storage. It was also proved that luminance, MTF and MTF after being stored were deteriorated in cases when the relative density of the base layer was higher than that of the phosphor layer, a base layer was comprised of CsI alone, or the Tl content of a base layer was the same as that of a phosphor layer (Comparison 1-3). 1: Production device of scintillator panel 2: Vacuum vessel 3: Vacuum pump 4: Support 5: Support holder 6: Support rotation mechanism 7: Support rotation shaft 8: Evaporation source 9: Shutter
claims
1. A nuclear reactor control rod assembly comprising:a drive shaft for moving the control rod assembly relative to a core of a nuclear reactor;a control rod element connected to the drive shaft and insertable into the core of the nuclear reactor under a motive power of the drive shaft; anda transition assembly connecting the drive shaft to the control rod element through a finger attachment, wherein at least a portion of a first end of the transition assembly fits within and is substantially rigidly connected to a wall of a bore in a bottom of the finger attachment and a portion of a second end of the transition assembly is configured to be an upper end plug of the control rod element and is affixed to an upper end of the control rod element and wherein a connection between the finger attachment and the control rod element is through a flexible joint coupling within the transition assembly that is between the first and second end and spaced a sufficient distance along a length of the transition assembly from and below the finger attachment and joins the first end to the second end of the transition assembly to enable lateral movement of the control rod element below the finger attachment which is not limited, by the finger attachment, and the control rod element does not place a lateral load on the rigid connection of the transition assembly to the bore that would add a strain to the connection between the first end and the wall of the bore in the finger attachment or cause substantial lateral movement of the first end within the bore, wherein the flexible joint coupling is a ball and socket coupling which enables the second end of the transition assembly and the control rod to rotate laterally. 2. The nuclear reactor control rod assembly of claim 1 wherein a bottom of the finger attachment is welded to an upper portion of the transition assembly. 3. The nuclear control rod assembly of claim 1 wherein the ball is captured within the socket with a retaining ring. 4. The nuclear control rod assembly of claim 1 wherein the ball is captured within the socket, with a weld, enabling the ball to rotate laterally in the socket. 5. The nuclear control rod assembly of claim 1 wherein a lower portion of the socket is crimped to capture the ball within the socket. 6. The nuclear control rod assembly of claim 1 wherein the portion of the first end of the transition assembly has a male thread that mates with a female thread within the bore of the finger attachment. 7. The nuclear control rod assembly of claim 6 wherein the first end has an enlarged shoulder below the male thread that abuts a lower end of the finger attachment. 8. The nuclear reactor control rod assembly of claim 7 wherein the lower end of the finger attachment is welded to the shoulder on the first end of the transition assembly. 9. The nuclear control rod assembly of claim 1 wherein the nuclear reactor includes one or more components that interface with the control rod element wherein the lateral movement of the control rod element is limited only by the interfacing component.
summary
041750004
claims
1. In a method of non-destructively inspecting a nuclear reactor fuel element having fuel rods and transversely disposed grids, of the type wherein the fuel element is submerged in a pool, the improvement comprising: moving to a position adjacent to the fuel element a strongback suspended from a bridge that spans the pool and is movable therealong; pivotally moving the strongback to a position in which it is substantially perpendicular to the bridge; positioning the fuel element on the strongback; pivotally moving the strongback into a position in which it is parallel to the bridge; inspecting at least one exposed surface of the fuel element on the strongback; removing the fuel element from the strongback; turning the fuel element to expose at least one different surface; replacing the turned fuel element on the strongback; inspecting said at least one different surface; inserting deflector bars into the grids of the fuel element; rotating the bars to bear against portions of the grids thereby to release the holding engagement between the fuel rods and the grids; withdrawing the fuel rods from the grids; and inspecting at least one of the withdrawn rods. 2. In a method of non-destructively inspecting a nuclear reactor fuel element having fuel rods and guide tubes spaced by a lattice of transversely disposed plates and end grids attached to the guide tubes for receiving the fuel rods, of the type wherein the fuel element is submerged in a pool, the improvement comprising; moving to a position adjacent to the fuel element a strongback suspended from a bridge that spans the pool and is movable therealong; pivotally moving the strongback to a position in which it is substantially perpendicular to the bridge; positioning the fuel element on the strongback; pivotally moving the strongback into a position in which it is parallel to said bridge; inspecting at least one exposed surface of the fuel element on the strongback; removing the fuel element from the strongback; turning the fuel element to expose at least one different surface; replacing the turned fuel element on the strongback; inspecting said at least one different surface; detaching and withdrawing one end grid from the fuel element; pivotally moving the strongback and fuel element to a position substantially perpendicular to the bridge; detaching and withdrawing the other end grid from the fuel element, withdrawing the guide tubes from the fuel element; pivotally moving the strongback and fuel element to a position in which it is parallel to the bridge; inserting deflector bars into the grids of the fuel element; rotating the bars to bear against portions of the grids thereby to release the holding engagement between the fuel rods and the grids; withdrawing the fuel rods from the grids; and inspecting at least one of the withdrawn rods.
summary
description
This application is a continuation of and claims priority from co-pending U.S. patent application Ser. No. 11/323,795 filed on Dec. 29, 2005. 1. Field of the Invention The present invention relates to an X-ray focusing device for used in X-ray monitors in outer space, or radiation counters or microanalyzers on the ground. 2. Description of the Background Art Differently from visible light, a normal-incidence optics is difficult to use for X-rays. Therefore, a grazing-incidence optics utilizing total reflection from a metal surface based on a property of metals, i.e. a refractive index less than one for X-rays, is used for X-rays. In view of the fact that a critical angle for the total reflection of X-rays has a small value of about 1 degree, the grazing-incidence optics has to be designed to ensure a sufficient effective area of a reflecting surface. In this context, there has been known a technique of concentrically arranging a plurality of metal cylindrical-shaped reflecting mirrors different in diameter. This technique, however, leads to a problem; namely an increase in total weight of an obtained X-ray reflecting device, which makes it difficult to transport the device from the ground for use in outer space. Further, each reflecting mirror in the X-ray reflecting device can have a certain level of reflectance only if its surface has smoothness to the degree of an X-ray wavelength. For this purpose, the conventional X-ray reflecting device has been prepared by subjecting each reflecting surface to a polishing process, so as to ensure a desired surface smoothness. As a measure to ensure the desired smoothness, there has been developed a technique of preparing a numbers of replica mirrors by pressing a thin film onto a polished master block (see β€œX-ray Imaging Optics, T. Namioka, K. Yamashita, BAIFUKAN Co., Ltd.”: Non-Patent Document 1.) In either case, a number of reflecting mirrors have to be prepared one by one by spending a lot of time and effort. With the aim of achieving a reduction in weight, an X-ray reflecting device using silicon pore optics has also been proposed (see β€œBeijersbergen et al., (2004) Proc. SPIE Vol. 5488, pp. 868-874”: Non-Patent Document 6). This device comprises a plurality of polished silicon substrates each having a front surface serving as a reflecting mirror and a back surface formed with a groove for ensuring an X-ray optical path, wherein the adjacent silicon substrates are arranged in close contact with one another. However, this reflecting device is limited in weight reduction achieved, because the thickness (usually referred to as β€œP”) of walls which define slits (which corresponds to slits 121, 122, . . . , 12n in the undermentioned FIG. 1) is determined by a thickness (200 to 500 ΞΌm) of each of the silicon substrates. Moreover, the polished mirrors take a lot of time and effort to be prepared, as with the above metal-based device. While an optics using a glass fiber as an X-ray waveguide has recently come into practical use (see, for example, β€œKumakov & Sharov (1992) Nature 357, 390”: Non-Patent Document 2), it involves a problem about an increase in cost. In view of the above problems, it is therefore an object of the present invention to provide an X-ray reflecting device and an X-ray reflecting element constituting the X-ray reflecting device, capable of facilitating a reduction in weight and being prepared in a relatively simple manner. In order to achieve this object, according to a first aspect of the present invention, there is provided an X-ray reflecting element comprising a body composed of a silicon or metal plate, and a plurality of slits formed in the body in such a manner as to penetrate from a front surface to a back surface of the body. Each of the slits has a wall surface serving as an X-ray reflecting surface. The slits are formed through an etching process when the body is composed of a silicon plate or through an X-ray LIGA process when the body is composed of a metal plate. In the X-ray reflecting element of the present invention, the X-ray reflecting surface may have a surface roughness of 100 angstroms or less, more preferably 30 angstroms or less. In the X-ray reflecting element of the present invention, the body may include fastening means for allowing a plural number of the X-ray reflecting elements to be fastened to each other. According to a second aspect of the present invention, there is provided an X-ray reflecting device comprising a plural number of the X-ray reflecting elements set forth in the first aspect of the present invention. To allow the slits in the respective X-ray reflecting elements to be located in a given positional relationship with each other, the plurality of X-ray reflecting elements are formed into a layered structure in such a manner as to allow the slits in the respective X-ray reflecting elements to be located in a given positional relationship with each other, or arranged side-by-side in a horizontal direction, or stacked on each other in a vertical direction to form a stacked structure in such a manner as to allow the slits in the respective X-ray reflecting elements to be located in a given positional relationship with each other. Further, the X-ray reflecting device may comprise a plural number of the stacked structures arranged side-by-side in a horizontal direction. In the X-ray reflecting device of the present invention, the plurality of X-ray reflecting elements may be arranged side-by-side, or stacked in a vertical direction, in such a manner as to allow the slits in the respective X-ray reflecting elements to be located in a given positional relationship with each other, so as to approximately form as an X-ray collecting/focusing optics based on a combination of the slits. As mentioned above, in the X-ray reflecting element of the present invention, the slits are formed in the body in a solid lump through an etching process when the body of the elements is composed of a silicon plate or through an X-ray LIGA process when the body of the elements is composed of a metal plate. This makes it possible to facilitate formation of the slits. Further, even at the current technical level, the etching process or X-ray LIGA process allows the slits to be formed with a wall surface roughness of at least 100 angstroms or less, or 30 angstroms or less, so that each wall surface of the slits can be used as a desirable X-ray reflecting surface. Thus, the X-ray reflecting element can be formed in a relatively simple manner. In addition, the etching process or X-ray LIGA process allows each of the slits to be formed with a micro-gap. Thus, the X-ray reflecting element can be reduced in size and weight to prevent an increase in weight of an X-ray reflecting device to be obtained by combining a plural number of the X-ray reflecting element together. This is significantly advantageous, particularly, for an X-ray reflecting device for use in outer space. With reference to the drawings, one embodiment of the present invention will now be described. FIG. 1 is a perspective view showing an X-ray reflecting element 10 according to one embodiment of the present invention. The X-ray reflecting element 10 illustrated in FIG. 1 generally has an approximately rectangular shape. The X-ray reflecting element 10 has a number of slits formed through an etching process to penetrate therethrough vertically. Specifically, the X-ray reflecting element 10 illustrated in FIG. 1 is prepared by placing a mask on a silicon wafer having a thickness L, and forming a number of slits 121, 122, - - - (when a specific one of the slits is not designated, each or all of the slits are defined by a reference numeral 12), each having a gap or width D, in a direction perpendicular to the silicon wafer at a pitch of about 10 ΞΌm or less through an anisotropic etching process or a combinational process of a dry etching process and an anisotropic etching process. The X-ray reflecting element 10 may be made of a metal material. In this case, a metal plate is prepared by forming a resist pattern having a negative configuration relative to that of the element in FIG. 1, and forming a structure with a number of slits through an X-ray LIGA process using the resist pattern as a template. The metal to be used as a material of the X-ray reflecting element may be nickel which has a high X-ray reflectance and a proven reliability in forming a structure through the X-ray LIGA process. In this embodiment, each side or lateral wall of the slits 12 formed in the above manner is used as a reflecting surface for X-rays. Specifically, an X-ray enters into either one of slits from above the X-ray reflecting element 10. Then, the X-ray is reflected by the lateral wall of the slit, and emitted out of the slit downward. From previous researches on semiconductor processes, it is know that, when such a lateral wall is formed by subjecting a silicon substrate to an anisotropic etching process, or a combinational process of an anisotropic etching process and another wet etching process or a dry etching process, or subjecting a metal substrate to an X-ray LIGA process, an extremely smooth surface having a surface roughness of about several ten angstroms can be obtained (see β€œSong et al., (1999) SPE 3878, 375”: Non-Patent Document 3, β€œKondo et al., 2000, Microsystem. Technologies, 6, 218: Non-Patent Document 4, β€œNilsson et al., 2003, J. Micromech. Michroeng., 13, 57”: Non-Patent Document 5). However, there has been no conception of using such a wall as an X-ray mirror. In FIG. 1, a ratio D/L of the width D of the slit 12 to the thickness L of the X-ray reflecting element 10 will hereinafter be referred to as β€œaspect ratio”. An X-ray reflecting device capable of efficiently collecting or focusing X-rays can be achieved only if the aspect ratio is set approximately to a certain value near a critical angle for the total reflection of X-rays. If D=10 ΞΌm is achieved through an etching process, a conventional cylindrical-shaped X-ray reflecting device, which previously had a length (a length of an axis of the cylinder) of several cm to several ten cm, can have a length of 1 mm or less. It is known that an X-ray reflectance is a function of an X-ray energy, an X-ray incident angle and a surface roughness. FIG. 2 is a graph showing a calculation result of an X-ray reflectance. FIG. 2(A) shows changes in X-ray reflectance depending on an X-ray incident angle, under the conditions that an X-ray energy is fixed at 600 eV, and a surface roughness is fixed at 0, 30, 100 or 300 angstroms. FIG. 2(B) shows changes in X-ray reflectance depending on an X-ray energy, under the conditions that an X-ray incident angle is fixed at 0.1 degrees, and a surface roughness is fixed in the same manner as that in FIG. 2(A). At the current technical level, a silicon wafer can be subjected to an etching process to obtain a surface having a surface roughness of about 30 angstroms or less. As seen in FIGS. 2(A) and 2(B), on the assumption that the silicon wafer has a surface roughness of 30 angstroms, the silicon wafer exhibits an excellent reflectance substantially equal to an optimal surface (roughness=zero angstrom) for soft X-rays having an X-ray energy of 1 keV or less. Preferably, the lateral wall serving as a reflecting surface is formed to have a surface perpendicular to a principal surface or front and back surfaces of the silicon wafer, as shown in FIG. 1. For example, a silicon wafer having the (110) face along a front surface thereof is subjected to an etching process using a KOH solution as an etching liquid, in such as manner as to form a slit with a lateral surface having the (111) face perpendicular to the (110) face. Alternatively, a silicon substrate carved out to have a front surface slightly inclined relative to the (111) face may be subjected to an etching process to obtain a slit with a lateral wall slightly inclined relative to the front surface of the silicon substrate. For the anisotropic etching process, various etching liquids, such as TMAH and hydrazine, may be used as well as KOH. If it is necessary to form a deep opening so as to increase an effective area for reflection, a deep hole may be formed in a substrate through a dry etching process, and then subjected to an anisotropic etching process to smoothly finish a lateral wall thereof (see the Non-Patent Document 5). Instead of the X-ray reflecting element made of silicon prepared based on an anisotropic etch technique using a silicon wafer as shown in FIG. 1, an X-ray reflecting element made of metal, such as nickel, may be prepared by fabricating a resist pattern with a high degree of accuracy through an X-ray LIGA process, and electrodepositing nickel using the resist pattern as a template (see the Non-Patent Document 4). While a surface accuracy in this technique is determined by energy of irradiated light to be used in the X-ray LIGA process, a surface accuracy equal to or higher than that in a silicon substrate subjected to a wet etching process can be expected if X-rays having a high energy of 10 keV or more are used in the X-ray LIGA process. For example, such high-energy X-rays may be formed using a large-scale light radiation facility (Spring-8) of the Japan Synchrotron Radiation Research Institute. The metal plate-shaped X-ray reflecting element (not shown) prepared through the X-ray LIGA process may be used in the same manner as the aforementioned X-ray reflecting element made of silicon. The X-ray reflecting element prepared through the X-ray LIGA process has advantages, for example, of being able to use a metal having a larger atomic number than that of silicon so as to achieve a higher reflectance, and to allow the lateral wall of the slit to be formed as a curved surface so as to provide an enhanced X-ray focusing performance. While the X-ray reflecting element 10 in FIG. 1 generally has a rectangular shape, it may be formed to have a fan or sector shape, as shown in FIGS. 4 and 5 and described in detail later. The X-ray reflecting element 10 may be formed with concave and convex portions at a position where they do not hinder the original functions, e.g. in a peripheral portion or an upper or lower portion thereof. When a plural number of the X-ray reflecting elements 10 are stacked on each other or arranged side-by-side, as described later, the concave and convex portions are used for positioning and fastening the X-ray reflecting elements 10 to each other. FIG. 3 is a schematic diagram showing the level of reduction in weight in the X-ray reflecting element (on the right side in FIG. 3) in FIG. 1 as compared with a conventional X-ray reflecting mirror (on the left side in FIG. 3). If a single X-ray reflecting surface in the X-ray reflecting element according to this embodiment is downsized at a ratio of 1/C relative to that of the conventional mirror, the single X-ray reflecting surface will have a weight reduced in proportion to Cβˆ’3, and a number density increased in proportion to C2. That is, an optics (e.g. an after-mentioned X-ray reflecting device 20 illustrated in FIG. 4) to be formed of a plural number of the X-ray reflecting elements according to this embodiment is reduced in weight in proportion to Cβˆ’3+2=Cβˆ’1 as a rough estimate. Further, as described above, the width and pitch of each slit of the X-ray reflecting element according to this embodiment can be set at a significantly small value of about 10 ΞΌm, or the value of C is extremely large. Thus, the optics can have a weight reduced by about two in a digit number. An X-ray reflecting device prepared by combining a plural number of the X-ray reflecting elements 10 in FIG. 1 together will be described below. FIG. 4 is a top plan view showing an X-ray reflecting device 20 prepared by closely arranging a plurality of the sector-shaped X-ray reflecting elements 10 to form a circular shape. FIGS. 5(A) and 5(B) are fragmentary sectional views of the X-ray reflecting device 20. As shown in FIGS. 5(A) and 5(B), four of the X-ray reflecting elements 10 are stacked in a vertical direction to form a stacked or layered structure, and X-rays enter into the slits of the X-ray reflecting elements 10 from above the drawing sheet of FIG. 4. As shown in FIG. 4, each of the X-ray reflecting elements 10 has a convex portion 101 and a concave portion 102 each formed at a given position in such a manner as to allow the convex portion 101 and the concave portion 102 formed, respectively, in the horizontally adjacent X-ray reflecting elements 10 to be fitted into one another. As described in connection with FIG. 1, a large number of slits are formed in each of the X-ray reflecting elements 10 in FIG. 5(A). In one arrangement illustrated in FIG. 5(A), as to an angle of the slits relative to a front surface in each of the X-ray reflecting elements, the slits of the X-ray reflecting element in the lower layer are increased in the slit angle as compared with that of the X-ray reflecting element in the upper layer, as shown in FIG. 5(A). This is intended to gradually incline the reflecting surfaces in a direction from the upper layer toward the lower layer within a range allowing the total reflection of X-rays to be maintained, so as to allow the X-rays to be finally focused onto a given zone. In another arrangement illustrated in FIG. 5(B), while an angle of each of the slits relative to a front surface in each of the X-ray reflecting elements 10 is designed to be the same, the X-ray reflecting elements 10 themselves are arranged to have a gradually increased inclination in a direction from the upper layer toward the lower layer, so as to allow the X-rays to be finally focused onto a given zone. For this purpose, a support member 24 is interposed between the adjacent X-ray reflecting elements to allow the slits in each of the layers to have a given angle. The X-ray reflecting device 20 obtained in the above manner can be significantly reduced in weight as compared with the conventional device, as described in connection with FIG. 3. This provides an advantage of being able to provide an X-ray reflection device suitable for transport for use in outer space, for example, in the state when the X-ray reflecting device 20 is placed on a satellite. FIG. 6 shows an X-ray reflecting device 30 prepared by stacking four of X-ray reflecting elements 10 in FIG. 1 on each other to form a stacked or layered structure as shown in FIG. 5, and then arranging a plural number of the stacked structures side-by-side along a hypothetical spherical surface, so as to form a so-called β€œlobster eye optics”. X-rays entering from above the X-ray reflecting device 30 are collected through the X-ray reflecting device 30, and focused onto a narrow zone on the side opposite to the incident side. Alternatively, an optics similar to a Woelter type I x-ray optics may be prepared by arranging a plural number of the X-ray reflecting elements 10 in a planar pattern while changing an inclination of each of the X-ray reflecting elements 10, to form a planar structure, and stacking two or four of the planar structures on each other. FIG. 7 is a graph (arbitrary unit) showing a simulation result of X-ray focusing to be obtained when X-rays enter in parallel into the X-ray reflecting device 30 in FIG. 6. According to this graph, a peak of the collected/focused X-ray can be observed in the center of the field of vision. FIG. 8 shows an optics prepared by arranging two of the X-ray reflecting devices 30 in FIG. 6. X-rays emitted from a single left point 34 are converted to parallel rays through the left X-ray reflecting device 301, and the parallel rays are re-focused onto a point 36 through the right X-ray reflecting device 302. The optics illustrated in FIG. 8 is one example of optics used on the ground. For example, the optics may be used in a microanalysis for detecting a slight amount of X-rays emitted from a target substance irradiated with electron beams from an electron beam source, to identify the substance. In particular, this optics can be effectively used when an X-ray detector cannot be placed at a position close to a target substance. As compared with the conventional device, each of the X-ray reflecting devices in FIGS. 6 and 8 can be drastically reduced in weight, and prepared in a simple manner.
abstract
There is provided an illumination system for wavelengths of xe2x89xa6100 nm, having an object plane and a field plane. The illumination system includes a grating element having a plurality of gratings, and a diaphragm. The diaphragm is arranged after the grating element in a beam path from the object plane to the field plane.
summary
048204790
description
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS A typical fuel assembly 1 for a pressurized water nuclear reactor, partially illustrated in FIG. 1, includes a top nozzle 3, a bottom nozzle 5, and a plurality of guide tubes 7 extending between the top nozzle 3 and the bottom nozzle 5. The top nozzle 3 includes an upper hold down plate 9, a lower adapter plate 11, below, and spaced from, the upper hold down plate 9, and an enclosure 13 forming a sidewall and extending between the upper hold down plate 9 and the lower adapter plate 11. A fuel assembly 1 is positioned below the upper core plate 15 of the nuclear reactor so that the guide tubes 7 are aligned with the control rods (not shown) in the nuclear reactor core. In this way, the control rods can be inserted within, and withdrawn from, the reactor core through the guide tubes 7. The raised corners of the upper hold down plate 19 of the top nozzle 3 include second bores 19, which are aligned with first bores 21 in the upper core plate 15 using the guide pin assembly 23 of the invention to properly position the fuel assembly 1. Further, the corners of the enclosures 13 of the top nozzles 3 of the four adjacent fuel assemblies 1 form a recess 25 to receive a portion of the guide pin 27 of a guide pin assembly 23 extends below the second bore 19 so that it is disposed within the enclosure 13 of the top nozzle 3. Generally, two guide pin assemblies 23 are used, one each at opposite corners (17) of the upper hold down plate 9 of each top nozzle 3. The guide pin assembly 23 of the invention includes a guide pin 27, nut 29 and locking cup 31. The guide pin 27 has a generally circular cross-section, and includes a nose 33, a threaded portion 35, a shaft 37 and an end 39. The nose 33 of the guide pin 27 (FIG. 2) has engagement means 41 thereon which coact with the locking cup 31 to secure the locking cup 31 to the nose 33 once the guide pin 27 is ihserted within the first bores 21 in the upper core plate 15 and the nut 29 is tightened on the threaded portion 35. Preferably, the engagement means 41 is a plurality of elongated slots 43 spaced annularly about the nose 33. The nose 33 is pointed so as to facilitate the insertion of the guide pin 27 within the first bores 21 of the upper core plate 15. The threaded portion 35 of the guide pin 27, adjacent the nose 33, has a threaded outer surface 45. The threaded outer surface 45 coacts with the nut 29 to enable the nut 29 to be tightened against the threaded portion 35 of the guide pin 27. The shaft 37 of the guide pin 27, adjacent the threaded portion 35, has an upper section 47, a lower section 49, and a radial alignment section 51 between the upper section 47 and lower section 49. The radial alignment section 51 of the shaft 37 has a diameter that is approximately the diameter of the first bore 21 in the upper core plate 15 of the nuclear reactor fuel assembly 1 so that the guide pin 27 cannot move radially within the first bore 21. Preferably, the diameters of the upper section 47 and the lower section 49 of the shaft 37 are less than those of the radial alignment section 51 so that the guide pin 27 can be easily inserted within the first bores 21 of the upper core plate 15. The end 39 of the guide pin 27, adjacent the shaft 37, includes a top 53 having a diameter greater than that of the diameter of the first bore 21 in the upper core plate 15. The shoulder 55, resulting from the difference in diameters between the top 53 of the end 39 of the guide pin 27 and the lower section 49 of the shaft 37 of the guide pin 27, abuts the bottom surface 57 of the upper core plate 15 and serves to stabilize the guide pin 27 against vibration. The inner surface 59 of the top 61 of the nut 29 includes a first groove 63 to receive an annular flange 65 about the bottom 67 of the locking cup 31, and a second groove 69, disposed below the first groove 63. The inner surface 59 of the nut 29 further includes a tapped portion 71 that, when tightened against the threaded surface 45 of the threaded portion 35 of the guide pin 27, secures the guide pin 27 within the second bore 19 of the upper hold down plate 9 and the first bore 21 of the upper core plate 15. An annular flange 73 about the lower end 75 of the nut 29 is adapted to abut the upper surface 77 of the upper core plate 15 when the nut 29 is tightened on the theaded portion 35 of the guide pin 27. Thus, the annular flange 73 has a diameter greater than that of countersunk portion 79 in the upper end 81 of the first bore 21 of the upper core plate 15. The nut 29 may further include a plurality of indentations (not shown) spaced annularly about the outer surface 83 of the nut 29 by which a tightening tool can grip the nut 29 to tighten the nut 29 by about the guide pin 27. The locking cup 31 is securable, preferably permanently securable, about the nut 29. Thus, the annular flange 65 about the bottom 67 of the annular locking cup 31 is securable within the first groove 63 in the inner surface 59 of the top 61 of the nut 29, such as by a weld. The locking cup 31 coacts with the engagement means 41 on the nose 33 of the guide pin 27 when the nut 29 has been tightened onto the threaded surface 45 of the threaded portion 35 of the guide pin 27 so that the flange 73 of the pin 29 abuts the upper surface 77 of the upper core plate 15 of the nucler reactor. Thus, the locking cup 31 can be crimped about the slots 43 in the nose 33 of the guide pin 27. The guide pin assembly 23 of the invention is typically installed by first placing the end 39 of the guide pin 27 within a second bore 19 in the upper hold down plate 9 of the top nozzle 3 of the nuclear reactor. The nose 33 of the guide pin 27 is then inserted within the aligned first bore 21 in the upper core plate 15 from the bottom surface 57 of the upper core plate 15 until the shoulder 55 formed between the shaft 37 and the end 39 of the guide pin 27 contacts the bottom surface 57 of the upper core plate 15. The nose 33 and at least a portion of the threaded portion 35 of the guide pin 27 protrude above the upper surface 77 of the upper core plate 15. The nut 29 is then threaded onto the threaded surface 45 of the threaded portion 35 of the guide pin 27 and tightened to a torque of preferably between about 40 and about 45 foot pounds. The locking cup 31 is then crimped about the slots 43 in the nose 33 of the guide pin 27. The guide pin assembly 23 of the invention is remotely installable within the nuclear reactor. That is, the guide pin assembly 23 can be installed within the second bores 19 and first bores 21 of the upper hold down plate 9 of the top nozzle 3 and the upper core plate 15, respectively, in an operating nuclear reactor without the risk of exposing plant personnel to dangerous levels of radiation.
053032756
abstract
A forced-circulation boiling-water reactor includes fluidic diodes in the coolant fluid return path between the pump deck and the core inlet plenum. The fluidic diodes permit a downstream flow to proceed relatively freely, but substantially resist upstream flow. When pumps are shut down, the fluidic diodes serve to augment natural circulation, thus enhancing core stability. When the pumps are operating, the fluidic diodes serve to resist backflow, minimizing any loss of pump efficiency. This direction-flow asymmetry imposed by the fluidic diodes is achieved without moving parts, so as to achieve a high level of reliability.
claims
1. A method comprising:acquiring output from a process model, the process model having elements reused from a design process, the elements providing a known good state, the process model corresponding to an actual process of an actual system, the actual process regulated by a managing controller;obtaining output information generated from the actual process;determining a difference between the process model and the actual process, using the output information and the output from the process model; andapplying the determined difference arranged to diagnose the actual system including diagnosing a problem in the actual process from determining the difference, wherein initial input states to the actual process are used providing initial input states to the process model, the initial input states to the process model representing essentially the same initial input states as the initial input states to the actual process with the process model operating as the actual process operates. 2. The method of claim 1, wherein obtaining output information includes obtaining a current status of elements operating in the actual process. 3. The method of claim 1, wherein acquiring output includes acquiring an expected current status of elements operating in the actual process. 4. The method of claim 1, wherein determining a difference between the process model and the actual process includes comparing the output from the process model with the output information. 5. The method of claim 1, wherein determining a difference between the process model and the actual process includes comparing an input image table from the actual process with an input image table from the process model, both input image tables correlated to common initial input states. 6. The method of claim 1, wherein the method further includes providing the process model with process logic verified in the design process as the elements reused from the design process. 7. The method of claim 1, wherein obtaining output information generated from an actual process includes obtaining the output information from one or more controllers. 8. The method of claim 1, wherein the method further includes scanning element input states into the process model at a scan rate. 9. The method of claim 1, wherein the method further includes scanning element input states into the process model at a rate such that aperiodic events are captured. 10. The method of claim 1, wherein the method further includes announcing the difference between the process model and the actual process. 11. The method of claim 1, wherein the method further includes logging information associated with the difference between the process model and the actual process. 12. The method of claim 1, wherein the method further includes analyzing the difference between the process model and the actual process. 13. The method of claim 1, wherein the method further includes reinitiating the design process based on analyzing the difference between the process model and the actual process to evolve the process model. 14. The method of claim 1, wherein providing a process model includes integrating logic from a plurality of design processes. 15. The method of claim 1, wherein the method includes:monitoring, using a virtual programmable logic controller of the process model, outputs from the managing controller;sending, using the virtual programmable logic controller, input states to an input image table for the process model based on the monitoring; andcomparing, using a difference engine, an input image table corresponding to the managing controller against the input image table for the process model for determining the difference between the process model and the actual process. 16. The method of claim 1, wherein the method includes monitoring the managing controller, by a virtual programmable logic controller of the process model, using an identification tag for process control. 17. The method of claim 16, wherein using an identification tag for process control includes using an object linking and embedding tag. 18. The method of claim 1, wherein the method includes the process model generating all inputs to itself after the initial input states are provided to the process model. 19. A computer-readable medium having computer-executable instructions for performing a method comprising:acquiring output from a process model, the process model having elements reused from a design process, the elements providing a known good state, the process model corresponding to an actual process of an actual system, the actual process regulated by a managing controller;obtaining output information of the actual process;determining a difference between the process model and the actual process, using the output information and the output from the process model; andapplying the determined difference arranged to diagnose the actual system including diagnosing a problem in the actual process from determining the difference, wherein initial input states to the actual process are used providing initial input states to the process model, the initial input states to the process model representing essentially the same initial input states as the initial input states to the actual process with the process model operating as the actual process operates. 20. The computer-readable medium of claim 19, wherein the output information of the actual process includes a current status of elements operating in the actual process and the output from the process model includes an expected current status of elements operating in the actual process. 21. The computer-readable medium of claim 19, wherein the method further includes scanning element input states into the process model at a scan rate. 22. The computer-readable medium of claim 19, the method further includes scanning element input states into the process model at a rate such that aperiodic events are captured. 23. The computer-readable medium of claim 19, wherein the method further includes announcing the difference between the process model and the actual process. 24. The computer-readable medium of claim 19, wherein the method further includes logging information associated with the difference between the process model and the actual process. 25. The computer-readable medium of claim 19, wherein the method further includes analyzing the difference between the process model and the actual process. 26. The computer-readable medium of claim 19, wherein the method further includes reinitiating the design process based on analyzing the difference between the process model and the actual process. 27. The computer-readable medium of claim 19, wherein determining a difference between the process model and the actual process includes comparing an input image table from the actual process with an input image table from the process model, both input image tables correlated to common initial input states. 28. The computer-readable medium of claim 19, wherein the process model includes logic integrated from a plurality of design processes. 29. The computer-readable medium of claim 19, wherein the instructions include:monitoring, using a virtual programmable logic controller of the process model, outputs from the managing controller;sending, using the virtual programmable logic controller, input states to an input image table for the process model based on the monitoring; andcomparing, using a difference engine, an input image table corresponding to the managing controller against the input image table for the process model for determining the difference between the process model and the actual process. 30. The computer-readable medium of claim 19, wherein the instructions include monitoring the managing controller, by a virtual programmable logic controller of the process model, using an identification tag for process control. 31. The computer-readable medium of claim 30, wherein using an identification tag for process control includes using an object linking and embedding tag. 32. An apparatus comprising:a process model adapted to virtually perform logical tasks based on elements reused from a design process and to provide outputs, the elements structured to provide a known good state, the process model corresponding to an actual process of an actual system;a difference engine to compare the outputs from the process model with output information correlated to the actual process; andan evaluation unit configured to diagnose the actual process from the comparison of the outputs from the process model with the output information correlated to an actual process such that a difference determined from the comparison is applied to diagnose a problem in the actual system, wherein initial input states to the actual process are used providing initial input states to the process model, the initial input states to the process model representing essentially the same initial input states as the initial input states to the actual process with the process model operating as the actual process operates. 33. The apparatus of claim 32, wherein the output information correlated to the actual process includes a current status of elements operating in the actual process and the outputs from the process model include an expected current status of elements operating in the actual process. 34. The apparatus of claim 32, wherein at least a portion of the output information correlated to an actual process is provided from a controller that manages a portion of the actual process. 35. The apparatus of claim 32, wherein the process model includes hardware logic to provide the outputs in response to determining expected element input states. 36. The apparatus of claim 32, wherein the process model includes software to provide the outputs in response to determining expected element input states. 37. The apparatus of claim 32, wherein the apparatus includes an annunciator to signal an occurrence of the difference between the process model and the actual process. 38. The apparatus of claim 32, wherein the apparatus includes a log to collect information associated with the difference between the process model and the actual process. 39. The apparatus of claim 32, wherein the apparatus includes an analysis unit having historical information regarding the actual process. 40. The apparatus of claim 32, wherein the process model includes a plurality of component process models in a one-to-one relationship with a plurality of controllers that manage the actual process, the plurality of component process models adapted to diagnose the actual process as an integration of a plurality of component actual processes. 41. The apparatus of claim 32, wherein the process model includes a virtual programmable logic controller arranged to monitor outputs from a managing controller of the actual process and to send input states to an input image table for the process model such that the difference engine operatively compares an input image table corresponding to the managing controller against the input image table for the process model. 42. The apparatus of claim 32, the process model includes a virtual programmable logic controller arranged to monitor outputs from a managing controller of the actual process such that the monitoring uses an identification tag for process control. 43. The apparatus of claim 42, wherein the identification tag for process control includes an object linking and embedding tag. 44. A system comprising:a process model adapted to virtually perform logical tasks based on elements reused from a design process and to provide outputs, the elements structured to provide a known good state, the process model corresponding to an actual process of an actual system;a controller adapted to regulate tasks of the actual process, the controller configured to provide output information correlated to the actual process;a difference engine to compare the outputs from the process model with output information from the controller to determine a difference between the process model and an actual process; andan evaluation unit configured to diagnose the actual process from the determined difference between the process model and an actual process such that the determined difference is applied to diagnose a problem in the actual system, wherein the controller is configured to provide initial input states to the actual process and corresponding initial input states to the process model, the initial input states to the process model representing essentially the same initial input states as the initial input states to the actual process with the process model operating as the actual process operates. 45. The system of claim 44, wherein the output information correlated to the actual process includes a current status of elements operating in the actual process and the outputs from the process model include an expected current status of elements operating in the actual process. 46. The system of claim 44, wherein the system includes an annunciator to signal an occurrence of the difference between the process model and the actual process. 47. The system of claim 44, wherein the system includes a log to collect information associated with the difference between the process model and the actual process. 48. The system of claim 44, wherein the system includes an analysis unit having historical information regarding the actual process. 49. The system of claim 44, wherein the process model includes a plurality of component process models in a one-to-one relationship with a plurality of controllers that manage the actual process, the plurality of component process models adapted to diagnose the actual process as an integration of a plurality of component actual processes. 50. The system of claim 44, wherein the system is a manufacturing plant having a number of manufacturing lines. 51. The system of claim 44, wherein the process model includes a virtual programmable logic controller arranged to monitor outputs from the controller and to send input states to an input image table for the process model such that the difference engine compares an input image table corresponding to the controller against the input image table for the process model for comparing the outputs from the process model with output information correlated to the actual process. 52. The system of claim 44, the process model includes a virtual programmable logic controller arranged to monitor outputs from the controller such that the monitoring uses an identification tag for process control. 53. The system of claim 52, wherein the identification tag for process control includes an object linking and embedding tag.
description
The present invention relates to the field of ion beam systems. A typical focused ion beam (FIB) system using a gallium liquid metal ion source (LMIS) can provide five to seven nanometers of lateral resolution. Such systems are widely used in the characterization and treatment of materials on microscopic to nanoscopic scales. A gallium LMIS typically comprises a pointed needle coated with a layer of gallium. The needle may be maintained at a high temperature while an electric field is applied to extract ions from the source. FIB systems with gallium LMIS's are used in many applications because of their ability to image, mill, deposit, and analyze with great precision. Milling or micromachining involves the removal of bulk material at or near the surface. Milling can be performed without an etch-assisting gas, in a process called sputtering, or using an etch-assisting gas, in a process referred to as chemically-assisted ion beam etching. U.S. Pat. No. 5,188,705, which is assigned to the assignee of the present invention, describes a chemically-assisted ion beam etching process. In chemically-assisted ion beam etching, an etch-enhancing gas reacts in the presence of the ion beam to combine with the surface material to form volatile compounds. In FIB deposition, a precursor gas, such as an organometallic compound, decomposes in the presence of the ion beam to deposit material onto the target surface. In ion beam-assisted deposition and etching, a gas is adsorbed onto the specimen surface and reacts in the presence of the ion beam. The rate of material removal or deposition depends on the number of ions striking the target surface, the rate at which gas molecules are adsorbed by the surface, and the number of atoms removed or deposited by each ion. In all of the processes described above, the function of the gallium ions in the beam is to provide energy, either to displace particles on the work piece in sputtering or to activate a chemical reaction of a molecule adhered to the surface. The gallium itself does not typically participate in the reaction. Gallium is used in the beam because its properties, such as melting point, ionization energy, and mass, make it suitable to form into a narrow beam to interact with commonly used work piece materials. There are disadvantages to using LMIS'S. With regard to chemically-assisted etching or deposition, because the gallium itself merely provides energy for the reaction and does not otherwise participate, the reaction rate is limited by adsorption rate of the reacting molecules. For example, in FIB deposition, if the ion beam dwells too long at a point, the adsorbed gas molecules are all decomposed and the beam begins to etch, rather than deposit. To mill or deposit, the ion beam is typically scanned repeatedly over a rectangle in a raster pattern. As the beam completes a scan, the beam is typically delayed for a significant amount of time before beginning the next scan to provide time for additional gas molecules to adsorb onto the surface before beginning a new raster. This increases processing time. Moreover, gallium atoms implant into the work piece and, in many applications, produce undesirable side effects, such as changing the opacity or electrical properties of a work piece. Gallium can also disrupt the crystal structure in the area of bombardment. The type of ion emitted from a LMIS cannot be readily changed, which is a disadvantage because different ion species may be preferred for different processes. To change the ion species, the source must be removed from the vacuum chamber and replaced with a different source, which must then undergo a time consuming preparation procedure. Also, to produce a very narrow beam, the current in a beam from an LMIS must be kept relatively low, which means low etch rates and longer processing times. Plasma etch systems used in semiconductor manufacturing, unlike beams of gallium atoms, typically use ions in a plasma to chemically react with the work piece. Such systems, however, typically provide a reactive plasma over the entire surface of a wafer and are not used to locally etch or deposit fine features. Plasma ion sources have been used to form ion beams, but such beams are not typically used to mill or deposit fine features on a work piece because beams from plasma ion sources were difficult to focus into a fine spot while maintaining a useful beam current. Such beams were typically used either to broadly etch a large area, such as to thin samples for viewing on a transmission electron microscope, or to produce a small spot size at low beam current, for example, for secondary ion mass spectroscopy analysis. Moreover, such plasma sources are limited to the specific types of gases and the lifetime of such sources are relatively short with some gases because the plasma would corrode the cathode. The magnetically enhanced, inductively coupled plasma ion source described in U.S. Pat. Appl. Publ. No. 2005/0183667 for a β€œMagnetically enhanced, inductively coupled plasma source for a focused ion beam system” can be used to produce a finely focused beam with a relatively large beam current, thereby overcoming many of the problems of a gallium LMIS system. U.S. Pat. Appl. Publ. No. 2005/0183667 describes a system using a single ion species. There is a need for a system that enables the user to selectively provide gases of different ion species for performing different treatments of a specimen such as milling, etching, deposition and imaging, without requiring replacing the source. Embodiments of the invention provide a method and apparatus for performing multiple charged particle beam operations on a work piece and provide for the use of different types of charged particles to operate on a work piece in a single system. The invention facilitates sequentially or simultaneously using different ion species to perform various processes on a work piece. The different processes can be performed with the most appropriate charged particle species for that particular process. For example, an inert ion specie can be used to sputter or to activate an etch-enhancing gas or a deposition precursor gas adsorbed onto the substrate. In another example, the charged particle beam itself may include an etch-enhancing gas or a deposition precursor gas, thereby eliminating the necessity of using a beam of one species to provide the activation energy for a compound introduced through a gas injection system. In yet another example, the beam species can comprise a material to be directly deposited. A preferred system uses a magnetically enhanced, inductively coupled plasma ion source, which provides a high brightness beam that can be focused onto a small spot and that can provide beams of a wide variety of ion species by inputting different gases into the source. The foregoing has rather broadly outlined some features and technical advantages of the present invention in order that the detailed description of the invention that follows may be better understood. Additional features and advantages of the invention will be described hereinafter. It should be appreciated by those skilled in the art that the conception and specific embodiment disclosed herein may be readily utilized as a basis for modifying or designing other structures for carrying out many useful purposes of the present invention. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the invention as set forth in the appended claims. The following is a detailed description of example embodiments of the invention depicted in the accompanying drawings. The example embodiments are in such detail as to clearly communicate the invention. However, the amount of detail offered is not intended to limit the anticipated variations of embodiments; on the contrary, the intention is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the present invention as defined by the appended claims. The detailed descriptions below are designed to make such embodiments obvious to a person of ordinary skill in the art. Embodiments of the invention provide for processing of submicron features using charged particle beams. In a preferred embodiment, a work piece can be sequentially processed with relatively high current using submicron beams of different ion species, without removing the work piece from the vacuum chamber and without having to change the ion source, that is, the plasma chamber. The ion specie is changed by feeding different gases into the ion source. Preferred embodiments can provide a wide range of ion species for different types of processing. For example, an inert ion, such as xenon or helium, can be used to sputter or to activate an etch-enhancing gas, such as iodine, chlorine, or xenon difluoride, the etch-enhancing gas typically being introduced into the vacuum chamber by a gas injection system separate from the ion beam source. An inert ion specie can also be used to activate a deposition precursor gas that decomposes in the presence of the ion beam to deposit a material, such as a conductor or an insulator, onto the work piece. Using an inert ion specie can eliminate contamination caused by implanting the ions into the deposited material and the work piece. Many deposition precursor gases are known, including tetramethylorthosilane (TMOS), tetraethylorthosilane (TEOS), tetrabutoxysilane Si(OC4H9), tungsten hexafluoride (WF6), organometallic compounds, such as tungsten hexacarbonyl (W(CO)6) and C7H17Pt. Embodiments can also feed an etch-enhancing gas or a deposition precursor gas, such as those described above, into the ion source to form the beam, alone or in combination with other gases, such as inert gases. Using an etch-enhancing gas or a deposition precursor gas as an ion specie in the beam eliminates the necessity of introducing one gas through a gas injection system and eliminates the problem of the exhaustion of adsorbed gas molecules by the ion beam. Embodiments can also use an ion specie in the beam that comprises the material to be deposited, analogous to spray painting the work piece with the material in the beam. For example, a beam of carbon 60 (C60) can be used to deposit carbon, to make a portion of photolithography mask opaque or to provide a protective layer on a surface. The ion beam could also include mixtures of different species. For example, a metallic deposition precursor gas and an insulator precursor gas source can be used together, to provide a beam that deposits a high resistivity material, such as that described in U.S. Pat. No. 6,838,380, to Bassom et al. for β€œFabrication of High Resistivity Structures using Focused Ion Beams,” which is assigned to the assignee of the present invention. The present invention facilitates multi-step processing by allowing the ion species to be changed without having to remove the ion source, expose the vacuum chamber to atmosphere, and reinstall a new ion source. Thus, for example, the system can be used to sequentially, etch, image, deposit, image and etch. These steps can be performed in any preferred order. The ion species can be changed by simply switching the gas input into the ion source. A vacuum pump can exhaust the remaining amounts of the previous ion species in the plasma chamber and the sample chamber. In preferred embodiments, the work piece can remain in the sample chamber for multiple processing steps using different ion species. Some embodiments will also permit electron beam processing, for example, for electron microscopy, e-beam assisted etching, or e-beam assisted deposition. Multi-step processes may involve, for example, coating a material with a protective or conductive layer using a first inert ion species together with a precursor gas delivered through a gas injection system or in the beam, milling a trench in the work piece using a second, heavier inert ion specie together with an etch-enhancing gas introduced using a gas injection system or in the beam to expose a cross section, and then using a beam of light inert atoms or electrons to form an image of the exposed cross section using scanning ion microscopy. A heavier inert ion specie can be used in the beam to sputter the surface to perform secondary ion mass spectroscopy to determine the surface composition. In accordance with one preferred method of the invention, an RF-excited, impedance-matched plasma chamber receives a gas from one or more of multiple available gas sources, and extracts an ion beam from the chamber. Ions can also be implanted into a substrate to charge its electrical properties using gases such as AsH3, PH3 and BF3. The method further comprises introducing and ionizing a first gas for performing a first operation and performing the first operation with a beam formed of ionized particles of the first gas. Then, a chamber holding the specimen is substantially evacuated of the first gas and ionized particles and a second gas for a second operation is introduced and ionized. Another embodiment comprises providing a plurality of different gas sources to be selectively coupled to a plasma chamber. One of a plurality of source gases is selectively coupled to the plasma chamber for a first process, and then, for a subsequent process, another gas is selectively coupled to the plasma chamber. A radio frequency (RF) source is applied to an antenna that couples energy to the selected gas within the chamber to induce ionization of the gas to produce an ion plasma. Circuitry is provided that couples the RF source to the antenna to reduce modulation of a plasma potential. An extraction mechanism extracts an ionized beam from a region of extraction in proximity to the antenna. Another embodiment is an ion beam system for treatment of a work piece using beams containing different ions. The embodiment comprises a gas coupled to an electromagnetic field from a helical antenna positioned around the plasma chamber and excited to ionize the gas within the plasma chamber. Circuitry in a network comprising the antenna impedance matches a source of excitation to the antenna. An extraction mechanism extracts an ionized beam to be directed onto a specimen. A first gas is selectively fed into the ion chamber for a first processing operation, and than a second gas is selectively fed into the ion chamber for a second operation. Preferred embodiments of the present invention include a multi-source, inductively coupled, magnetically enhanced ion beam source, suitable to be used in conjunction with probe-forming optics to produce ion beams without substantial kinetic energy oscillations induced by the source. One of many advantages of the use of an inductively coupled, magnetically enhanced ion beam source, suitable to be used in conjunction with probe-forming optics is the ability to apply different ion species for different purposes, without having to remove the sample from the vacuum chamber and without having to replace the ion source. Another of the many advantages is the relatively long lifetime of the inventive apparatus, in comparison to cathode sources for ionization of a gas. Another advantage of some embodiments of the invention is the fast deposition rates that can be achieved using different ion species for direct deposition in contrast to using a beam to merely induce reaction of precursor gases introduced into the sample vacuum chamber through a gas injection system. Another advantage of some embodiments of the invention is the high deposition rates or etch rates that can be achieved using precursor gases or etch enhancing gases in the ion beam itself in contrast to introducing precursor gases or etch enhancing gases into the sample vacuum chamber through a gas injection system. Another advantage of some embodiments of the invention is the reduction or elimination of contamination when using a beam of inert ions, as oppose to metal ions, together with a precursor gas or etch-enhancing gas introduced through a gas injection system. Another advantage of some embodiments of the invention is the ability to select an ion specie of an appropriate mass for processing, such as a relatively heavy species when it is desired to eject material near a work piece surface, or a lighter ion when it is desirable that the beam penetrate further into the work piece. Other advantages will be apparent from the following description. In various embodiments, the processing operations may include, for example, deposition, milling, imaging, analyzing, implanting, or other operations. The beam can be composed, for example, of ions that interact chemically with the work piece material, ions that provide energy to sputter or induce chemical reactions, or both. As used herein, β€œa gas” may include a mixture of different compounds and is not limited to a single molecular or atomic species. The ion beam can include, for example, combinations of inert ions, precursors that decompose to deposit material, material to be directly deposited, reactive materials that combine with the work piece surface materials to form volatile compounds that are removed from the system by the vacuum pump, reactive materials that combine with the work piece surface materials to form non-volatile compounds, such as oxides or nitrides, that remain on the surface, or other ions. FIG. 1A shows a simplified schematic diagram of a multi-source, RF excited, plasma ion chamber. A ceramic plasma ion chamber 100 is wrapped by a coil 102. The coil is excited by an RF source, (not shown in FIG. 1A). Ceramic plasma ion chamber 100 is a cylinder with aperture electrodes 104 at one end. The aperture electrodes 104 exhibit an aperture centered on the cylinder axis of ceramic plasma ion chamber 100. An ion beam leaves ceramic plasma ion chamber 100 through the aperture of the electrodes 104 and passes through an ion beam focusing column 106 to produce a deflectable focused ion beam 108. Ceramic plasma ion chamber 100 receives through a valve 109, gas from one or more of a plurality of sources 110, 112, 114. Sources may comprise inert gases such as xenon (Xe) or helium (He), reactive gases such as oxygen (O2), or precursor or etch-enhancing gases as described above. Valve 109 may be provided to select in sequence or in combination each of a plurality of different gases from the sources. Thus, one may choose one ion species for milling or etching and choose a second different ion species for deposition. For example, one may first introduce a gas such as Xe for sputtering. The Xe gas is ionized in ceramic plasma ion chamber 100 and an ionized Xe beam is formed. Sputtering occurs as the ionized Xe atoms collide with the substrate to be milled. After milling is completed, the plasma chamber may be evacuated. Then, one may introduce into the sample chamber through a gas injection system a flow of an etch-enhancing gas such as Xenon Di Fluoride (XeF2) and provide to the plasma chamber a light inert element such as He. Etch enhancing gases are typically selective, and may increase the etch rate of some materials while decreasing the etch rate of others. The He gas is ionized in ceramic plasma ion chamber 100 and an ionized He beam is formed. The ionized He atoms bombard the molecules adsorbed to the surface to separate the Xe from the fluorine (F) atoms. At the substrate, where the ionized helium beam strikes the surface of the substrate, dissociated fluorine atoms bond with silicon (Si) atoms of the substrate to form volatile SiF. The Si atoms that bond with the F atoms leave the surface of the substrate. Thus, the substrate is chemically etched where it is impinged upon by the ionized He beam. The Xe and SiF gasses are evacuated from the chamber. Thus, some embodiments provide a multi-step process to provide different successive treatments of a work piece. For example, in a first step, a first ionizable gas can be introduced for sputtering and in a second step a second ionizable gas can be introduced for etching or deposition. There are advantages to using an ionizable gas such as Xe for milling as compared to using a LMIS providing an element such as gallium (Ga). Suppose, for example, one desires to mill quartz glass to form an optically transmissive mask. Using a Ga LMIS for milling, some Ga atoms will embed in the quartz and undesirably disturb the optical transmissivity of the glass. In contrast, when using a heavy, non-reactive gas such as Xe, the ions do not remain in the quartz and an amorphous layer is formed at the surface of the quartz that is optically transmissive. Another disadvantage of an LMIS, in contrast to the present invention, is the inability to change ion species. Use of an ion source that is excited by an RF field is preferable to an ion source that relies on cathode emission to produce electrons that ionize the gas. In a cathode-anode system some of the positive-ionized gas atoms collide with the cathode and sputter it. Also, use of a reactive gas results in deterioration of the cathode. This results in a relatively short lifetime for the cathode. In contrast, an RF excited system according to embodiments described herein has no cathode. Rather, free electrons are produced by applying a time-varying voltage to an aperture electrode. Due to the RF field produced by the coil around the plasma chamber, these free electrons travel circumferentially in the plasma chamber. The free electrons bombard other gas molecules to produce more ionized atoms. This in turn produces a plasma of very high ion density. Moreover, the beam can be focused to a submicron Gaussian-shaped spot or into a non-Gaussian shape, such as a rectangle, using known beam shaping techniques. Thus, embodiments combine multiple gas sources, with RF plasma induction to offer an additional degree of freedom for milling, deposition, imaging, analysis, and other applications. The gas sources may comprise single atom species, molecules or gas mixtures. For insulator deposition, for example, to produce a layer of high resistivity, an oxygen primary ion species can be used to react with the work piece surface material to form an oxide material, such as silicon dioxide or a large ion such as Xe could be used with an appropriate precursor gas, such as TEOS or TMOS to deposit gallium free oxide that provides greater resistivity that an oxide layer having implanted gallium from a gallium beam. For quartz deposition, for example, to achieve high optical transmissivity, an inert or oxygen and silicon compound primary ion species may be used. For minimal damage to a substrate, for example in a photo-resist application, one might use a low mass primary ion such as He to produce minimal sputtering and high secondary electron yield. As another example, with carbon 60 (C60) (or other structures, such as C70, C76, and C84) as the primary ion type, one may perform direct carbon deposition without need for naphthalene. Applying carbon using C60 is analogous to spray painting the carbon onto the work piece. Enhanced deposition and milling rates may be achieved with XeF2 or SF6 plasma gases. Presumably, either of these gases flooded onto the sample will result in etch enhancement. Gains in deposition rates might also be achieved due to higher secondary electron yield of a fluorinated surface. In short, embodiments allow the user to choose the primary ion species with the best combination of factors such as: secondary electron yield, ion mass, secondary ion yield, sputter yield, etc. In particular, for imaging, the use of a He ion beam is of great advantage since it may be expected to cause minimal sputtering of the sample when imaging. However, the use of an etching or deposition gas with the helium ion beam can provide etching or deposition giving zero-damage imaging with ion beam as well as etching and deposition functionality. For larger area etching or deposition, the use of heavier gases such as Xe may be expected to deliver more energy to the surface layer per ion, hence increasing the yield per ion. Thus, embodiments provide for optimal choice of ion species for treatment of a substance. One species may be used for milling, another species may be used for deposition, another species may be used for etching, and yet another species may be used for imaging. The ability to select an optimal species for different treatments is a major advantage compared to using a single species LMIS as in the prior art. Use of a LMIS for milling results in metallic contamination of the specimen. In contrast, present embodiments enable use of an inert gas for milling. Use of a LMIS for deposition also results in contamination and lower deposition rates and further results in undesirable erosion. In contrast, present embodiments also enable use of an inert gas for deposition or an organometallic species for direct deposition without need for a precursor gas, resulting in faster deposition rates. FIG. 1B shows a more detailed diagram of an embodiment of a preferred ion plasma source 14. Such a system is described in U.S. patent application Ser. No. 10/988,745, published as U.S. Pat. Appl. Publ. No. 2005/0183667, entitled β€œMagnetically enhanced, inductively coupled plasma source for a focused ion beam system” which is incorporated herein by reference. A coil 1000 is capacitively coupled by impedance 210 to an RF source 200. Note that the capacitances shown in FIG. 1B are nominal values that readily can be selected by one of skill in the art according to the frequency of operation of the coil, as will be described further below. Coil 1000 is preferably a multi-turn coil that wraps around a dielectric plasma tube 2000 so that the axis of the coil substantially coincides with the axis of chamber 2000 and the beam axis. When driven by RF source 200, coil 1000 forms a helical RF antenna. Driving the coil with an RF source can impart a time-varying potential to the plasma, due to capacitive coupling. That is, the coil can produce a radial electric field that modulates the plasma. This is undesirable because it creates a spread in the beam energy, resulting in chromatic aberration. However, in one embodiment, the antenna is driven at one end by a signal that is out of phase with the signal at the opposite end by as much as 180Β°. This creates a region interior to the coil where the potential fluctuations are substantially zero at all times. In this region there is substantially no time-varying modulation of the plasma arising from the time-varying voltage across the coil 1000. Thus, the phase of the antenna can be adjusted to minimize modulation of the ionization potential of the plasma in the region where ions are extracted in response to an applied acceleration field. The energy of the ions extracted from the plasma, according to this method, is substantially un-modulated by the RF voltage across the antenna. However, source 200 does indeed cause electrons to move. Because of the orientation of the coil, free electrons in the plasma circulate around the plasma skin, causing them to collide with atoms to produce ions. This can produce plasma of very high ion density with relatively low thermal ion energy. A fixed-strength annular magnet about 5 to 10 millimeters thick, or a variable-strength electromagnet 3000, that produces an axial field strength of nominally 200 to 1000 Gauss may be placed between an end of the coil and a region 3500 of extraction, and is provided to increase plasma density. The magnet reduces electron diffusion and loss to the walls of the plasma chamber. Thus, the RF source is inductively coupled to the plasma and the annular magnet increases the plasma density in the extraction region. A split Faraday shield 6000 can be used to screen out the capacitive field of the coil, but this is less desirable for two main reasons. First, a degree of capacitive coupling is required to ignite the plasma. Using a split Faraday shield usually requires another external power source (e.g., a Tesla coil) to ignite the plasma. Second, split Faraday shields typically result in some energy loss, due to Eddy currents induced in the shield. Without the split Faraday shield, the balanced antenna approach may still result in a sufficient time varying electric field in areas of the plasma chamber to cause the initial field ionization required to initiate the plasma. A beam voltage 400 is electrically connected to a beam energy cap 420, which has an additional low pass filter 410 to ensure negligible RF pick-up to the beam voltage. An extractor voltage source 600, that is negative with respect to the potential applied to the source electrode 4000, is applied to the extraction electrode 4500. Skimmer electrode 5000 is at ground potential and provides an aperture through which the dense ion beam passes to produce an ion beam that can be focused with appropriate optics. Thus, the beam is extracted from the extraction region 3500, with a beam waist formed in the skimmer electrode 5000 aperture, and thus propagates along the beam axis in response to an applied acceleration. Alternatively, beam voltage 400 can be electrically connected directly to the source electrode 4000 instead of to the beam energy cap 420. FIG. 2 shows a circuit of a preferred embodiment, including a plasma impedance. Zp, 2010 in parallel with an unknown coil inductance characteristic 1010. In series with the parallel combination of the plasma impedance 2010 and coil inductance 1010 is capacitance 8000. This parallel-series-parallel combination is in parallel with a second capacitance 7000. This series-parallel combination is in series with a third capacitance 210. This entire network is in parallel with the RF source 200. Clearly, the phase shift across the coil and plasma impedance can be controlled by the selection of capacitance values 210, 7000 and 8000. One can therefore select capacitance values 210, 7000, and 8000 to obtain a phase shift across the coil and plasma of 180 degrees. Thus, some embodiments provide a circuit adjustment to achieve a maximum transfer of power to the plasma, with negligible modulation of the plasma potential, resulting in negligible axial energy spread of the extracted ions. The embodiment described above minimizes the effects of capacitive coupling on the ions, leaving only the influence of the pre-sheath potential gradient. The potential gradient of the pre-sheath region is finite, but small, and is generally about half the mean electron energy (Te), where Te is only 3 eV for the type of source described above, giving an inescapable lower limit to the axial energy spread (Ξ”E) of ˜1.5 eV. Embodiments may be conveniently operated at low RF power, nominally imparting 25 W to the plasma. At this power level a brightness of ˜200 Acmβˆ’2srβˆ’1 can be generated at only 5 keV with an ion current density of 19.6 mA cmβˆ’2. This implies a thermal energy of ≦0.15 eV and a plasma density of ˜8Γ—1011 cmβˆ’3. Pulse plasma densities of 1Γ—1014 ions cmβˆ’3 have been attained with this source, implying that a source brightness of >1Γ—105 A cmβˆ’2 srβˆ’1 is obtainable at a beam energy of 50 keV, with current density of: Ji=0.6niq√{square root over (kBTe/Mi)}˜2.4 Acmβˆ’2, where E0=50 keV, and EβŠ₯=0.15 eV. This yields a beam brightness, Ξ² max = J i ⁒ E 0 Ο€ ⁒ ⁒ E βŠ₯ > 1 Γ— 10 5 ⁒ Acm - 2 ⁒ sr - 1 . Thus, one embodiment is an ion beam system comprising a plurality of source gases for which a first and then at least a second gas are coupled sequentially to a vessel that encloses a region of plasma. An antenna in proximity to the vessel is excited by an RF electrical source to induce ionization of the plasma. Compensation circuitry couples the antenna to the electrical source to substantially reduce oscillations in the ionized plasma. An extraction mechanism extracts the ionized plasma into a beam of high current. FIG. 3 shows an embodiment of a focused ion beam system 101 that includes an evacuated envelope 10 in which is located a plasma source 11 with an RF antenna with RF supply 33, and impedance matching circuit 27, implemented as described above, to provide a dense plasma for ion beam focusing column 16. Connected to the plasma source 11 is a bank of sources 13 to provide different ionizable gases for ionization. Ion beam 18 passes from plasma source 11 through column 16 and between electrostatic deflection mechanism 20 toward specimen 22, which comprises, for example, a semiconductor device positioned on movable X-Y stage 24 within lower chamber 26. A turbo-molecular pump 8 is employed for evacuating the source and maintaining high vacuum in the upper column optics region. The vacuum system provides within lower chamber 26 a vacuum of typically between approximately 1Γ—10βˆ’7 Torr (1.3Γ—10βˆ’7 mbar) and 5Γ—10βˆ’4 Torr (6.5Γ—10βˆ’4 mbar) with nominally 10 mTorr (1.3Γ—10βˆ’3 mbar) in the plasma source and <1Γ—10βˆ’6 Torr (1.3Γ—10βˆ’6 mbar) in the column optics chamber. High voltage power supply 34 may be connected to electrodes of plasma source 11 as well as to electrodes in focusing column 16 for forming an approximately 0.1 keV to 50 keV ion beam 18 and directing the same downward. RF power supply 33 and impedance matching circuit 27 is also provided to energize a coil of plasma ion source 11, as described above. Deflection controller and amplifier 36, operated in accordance with a prescribed pattern provided by pattern generator 38, is coupled to deflection plates 20 whereby beam 18 may be controlled to trace out a corresponding pattern on the upper surface of specimen 22. In some systems, the deflection plates are placed before the final lens, as is well known in the art. The beam from ion plasma source 11 is brought to a focus at specimen 22 for either modifying or imaging the surface 22. A charged particle multiplier 40 used for detecting secondary ion or electron emission for imaging is connected to video circuit 42, the latter supplying drive for video monitor 44 also receiving deflection signals from controller 36. The location of charged particle multiplier 40 within lower chamber 26 can vary in different embodiments. For example, a preferred charged particle multiplier 40 can be coaxial with the ion beam and include a hole for allowing the ion beam to pass. A scanning electron microscope 41, along with its power supply and controls 45, are optionally provided with the FIB system 101. Signals applied to deflection controller and amplifier 36, cause the focused ion beam to move within a target area to be imaged or milled according to a pattern controlled by pattern generator 38. Emissions from each sample point are collected by charged particle multiplier 40 to create an image that is displayed on video monitor 44 by way of video circuit 42. An operator viewing the image may adjust the voltages applied to various optical elements in column 16 to focus the beam and adjust the beam for various aberrations. Focusing optics in column 16 may comprise mechanisms known in the art for focusing or methods to be developed in the future. For example, two cylindrically symmetric electrostatic lenses can be implemented to produce a demagnified image of the round virtual source. Because of the low axial energy spread in the extracted beam, chromatic blur is minimal and efficient focusing of the beam can be achieved even at low acceleration voltages (i.e., low beam energies). These properties in conjunction with appropriate focusing optics can be used to generate nanometer, to micrometer scale spot sizes with a range of kinetic energies (about 0.1 keV to about 50 keV) and beam currents. The realization of very high plasma densities (up to about 1014/cm3), low thermal ion energies (down to about 0.1 eV), low axial energy spread (about 1.5 eV to about 3 eV), the ability to operate with either inert or reactive gases, and the potential for very long life due to minimal erosion of source materials, makes a magnetically enhanced, inductively coupled plasma source ideal to be used in conjunction with probe forming FIB optics. Embodiments can provide beam currents from about a few pico-amperes to about several micro-amperes. A source brightness of at least about 104 A/cm2/sr, up to about 106 A/cm2/sr at about 50 keV can be achieved. The axial energy spread is less than about 3 eV and could be as low as about 1.5 eV. This contrasts sharply with present day LMISs, which can provide a beam brightness on the order of about 106 A/cm2/sr, but with an energy spread on the order of about 5 eV. Also, LMIS sources are generally only suitable for generation of beam currents in the picoampere to nanoampere range. Thus, the plasma sources described above can provide a submicron spot size with a beam brightness of greater than 103-105 Acmβˆ’2srβˆ’1 and an axial energy spread less than about 1.5-3.0 eV, which makes the plasma source suitable for micromachining or deposition of submicron features. FIG. 3B shows a graph of performance of both a LMIS and a magnetically induced plasma ion source as described herein. The horizontal axis is the rate of removal of material, in this case silicon. The vertical axis is a measure of the size of the beam. The white curve 302 is shows the rate of material removal versus beam size for a Gallium LMIS focused ion beam. The black curve 304 shows the rate of removal versus beam size for a magnetically induced plasma ion source. The curve 302 lies below the curve 304 to the left and then rises above the curve 304 to the right. Clearly, in the region where the curve 304 lies below the curve 302, the magnetically induced focused ion beam offers a greater milling rate for a given beam size. With the magnetically induced plasma ion beam, one can achieve a spot size of less than 200 nanometers with a beam Current exceeding 50 nano-amperes. A further advantage of embodiments of the invention is the ability to operate with any inert gas as well as many reactive gases, (e.g., O2, N2, SF6, etc. . . . ). The ion beam is capable of being focused into a beam diameter of a few nanometers (submicron), up to several tens of micrometers. Inert gas beams can readily be generated making embodiments suitable for applications where gallium or other metallic ion beams might be problematic. FIG. 4 shows a flow chart of an embodiment of a process for milling or etching and deposition using a multi-source, magnetically induced ion beam system as described herein. First, the system evacuates the chamber that contains a specimen (element 402). Then, the system introduces a first ion species such as Xe selected by a user for milling (element 404). Note that in one embodiment an etch-enhancing gas, such as XeF2 or I2, may be directed to the work piece while directing the first ion species to the work piece. The system produces a focused or shaped ion beam (element 406) and milling is performed using this ion species (element 408). When milling is completed, the system evacuates the chamber (element 410). The system may then introduce a precursor gas such as tungsten hexacarbonyl (element 412). Then, the user selects a second ion species such as He to be used for deposition (element 414). The system produces a focused ion beam (element 416) and performs deposition, with the helium ions providing energy to dissociate the tungsten hexacarbonyl to deposit tungsten on the specimen (element 418). If another specimen is to be treated, (element 420), the process begins anew (element 402). Otherwise, the process ends (element 422). Note that in a different process deposition may be performed followed by etching. Embodiments also provide for deposition of a metal using an ionized gas containing metal atoms For example, tungsten may be deposited by ionizing tungsten hexacarbonyl. Ionization of this gas results in relatively fast tungsten deposition compared to depositing to tungsten through a gas injection system and then causing bombardment by an ion beam to cause vibrations which disassociate the tungsten from the carbon, leaving the tungsten on the surface. Thus, one embodiment of an ion beam system for treatment of a specimen comprises an organometallic gas coupled to a plasma chamber. A helical antenna is positioned around the plasma chamber and excited to ionize the organometallic gas within the plasma chamber. Circuitry in a network comprising the antenna impedance matches a source of excitation to the antenna. An extraction mechanism extracts an ionized organometallic beam for deposition of the metal of the beam onto a specimen. FIG. 5 shows a flow chart of an embodiment for milling followed by deposition using an organometallic ion species. First, the system evacuates the chamber that contains a specimen (element 502). Then, the system introduces a first ion species selected by a user for milling (element 504). The system produces a focused ion beam (element 506) and milling is performed using this ion species (element 508). When milling is completed, the system evacuates the chamber (element 510). Then the user selects an organometallic ion species such as tungsten hexacarbonyl to be used for deposition (element 514). In another embodiment, C60 may be employed for depositing a resistive layer on the specimen. Thus, a step of introducing a precursor gas may be omitted. The system produces a focused organometallic ion beam (element 516) and performs direct deposition upon the specimen (element 518). If another specimen is to be treated, (element 520), the process begins anew (element 502). Otherwise, the process ends (element 522). As another example, the invention can be used for β€œcircuit edit,” in which an integrated circuit is modified in a series of steps. For example, a buried conductor may be exposed by milling a hole in the circuit using a focused ion beam of inert ions and an etch-enhancing gas (either in the beam or introduced separately) that preferentially etches oxides to expose. The buried conductor may be severed using a focused beam of inert ions and an etch-enhancing gas that is selective for metal etching. The hole is then filled using by ion beam deposition of an insulator, for example, using an inert ion and TEOS as the precursor gas. In another example of circuit edit, two buried conductors may be exposed as described above, and then the conductors can be connected using ion beam deposition of a metal using an inert ion and an organometallic precursor gas. If necessary, an insulating layer can be deposited to cover any conductors on the surface before the holes are milled. Although the present invention and some of its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the invention as defined by the appended claims. For example, while most embodiments are described using a focused ion beam, the term should be understood to include a shaped ion beam. Because the invention can be used in different applications for different purposes, not every embodiment falling within the scope of the attached claims will achieve every objective. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.
summary
051851237
summary
BACKGROUND OF THE INVENTION 1. Field of the Inventions This invention relates to apparatus suitable for annealing the interior walls of a nuclear reactor, particularly the vertical walls thereof, including the weld material in said walls, wherein said nuclear reactor is provided with a cap securely attached at the top thereof, said cap having a high-energy gas inlet extending inwardly therein and a low-energy gas outlet extending outwardly therefrom, means defining an annular space adjacent to the vertical walls of said reactor through which gas can flow and means for directing low energy gas to said low-energy gas outlet. 2. Description of the Prior Art During the normal operation of a nuclear reactor, fast neutrons emanating from the core of the reactor create irradiation damage in the walls of the reactor, as well as in the weld material, resulting in the embrittlement of the metal, thereby reducing the metal toughness thereof. It is known that some, or all of the irradiated metal, can be restored to its initial, preoperational state by subjecting the same to elevated temperatures, for example, 423.degree. F. to 650.degree. F., for about 168 hours. It is known that a "wet" annealing process has been carried out on Belgian nuclear reactors with hot water at a relatively low temperature of about 262.degree. to about 650.degree. F. In Feasibility of and Methodology for Thermal Annealing an Embrittled Reactor Vessel (EPRI NP-2712, Volume 2, Project 1021-1, Final Report, November 1982, pages S-5 and S-6) it is stated that wet annealing at the relatively low temperatures will result in relatively low recovery of the reactor, but that "dry" thermal annealing, that is, without liquid in the reactor, using spent fuel assemblies, induction heating elements or resistance heating elements at temperatures on the order or about 850.degree. F. for about 168 hours, recovery of the embrittled walls is on the order of about 80 to about 100 percent. Means for carrying out a dry annealing process of the type described is illustrated in Report on Annealing of the Novovoranezh Unit Reactor Vessel in the USSR prepared by N. M. Cole and T. Fridericks for the U. S. Nuclear Regulatory Commission. On page 15, it is stated that for such purpose, there was used annealing L apparatus having a weight of 28.7 tons and carrying 54 heating panels distributed on the periphery thereof. Using hot water in a nuclear reactor to reach an effective annealing temperature of about 850.degree. F. is virtually impossible, since the pressure required in the water at such high temperature would be so high as to seriously impair the structural integrity of the reactor walls. Normally, the water temperatures in a nuclear reactor cannot be raised above about 645.degree. F. Although it is possible to reach an effective annealing temperature of about 850.degree. F. using heating elements, as illustrated above in the Russian reactor system, all of the reactor, including the thermal shields therein, have first to be removed, the heating assembly is extremely large and expensive and it would be difficult to heat the reactor walls uniformly throughout, because it is likely that some portions of the wall heated by adjacent heating elements would have zones there-between that would not receive as much heat energy as the main heated portions, resulting in nonuniform heating of the walls. Using the novel apparatus disclosed and claimed herein requires only simple alterations in the reactor to be treated, is simple to operate and will result in reactor walls that have been substantially completely heated to at any desired temperature level, for example, during the preheating stage as well as during the annealing stage. SUMMARY OF THE INVENTION We have discovered an apparatus suitable for annealing the interior walls of a nuclear reactor which comprises a nuclear reactor shell, a cap securely attached thereto, said cap having a high-energy gas inlet extending inwardly therein and a low-energy gas outlet extending outwardly therefrom, means defining an annular space adjacent the vertical walls of said reactor through which gas can flow and means for directing low-energy gas to said low-energy gas outlet.
description
This application is the US national phase application of PCT/EP2011/054098, filed Mar. 18, 2011, which claims the benefit of U.S. provisional application 61/326,965, filed on Apr. 22, 2010, and U.S. provisional application 61/360,089, filed on Jun. 30, 2010. Both of these provisional applications are hereby incorporated in their entirety by reference. The present invention relates to a collector mirror assembly for a lithographic apparatus and a method for producing extreme ultraviolet radiation. A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that instance, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC. This pattern can be transferred onto a target portion (e.g. comprising part of, one, or several dies) on a substrate (e.g. a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned. Lithography is widely recognized as one of the key steps in the manufacture of ICs and other devices and/or structures. However, as the dimensions of features made using lithography become smaller, lithography is becoming a more critical factor for enabling miniature IC or other devices and/or structures to be manufactured. A theoretical estimate of the limits of pattern printing can be given by the Rayleigh criterion for resolution as shown in equation (1): CD = k 1 * Ξ» NA ( 1 ) where Ξ» is the wavelength of the radiation used, NA is the numerical aperture of the projection system used to print the pattern, k1 is a process dependent adjustment factor, also called the Rayleigh constant, and CD is the feature size (or critical dimension) of the printed feature. It follows from equation (1) that reduction of the minimum printable size of features can be obtained in three ways: by shortening the exposure wavelength Ξ», by increasing the numerical aperture NA or by decreasing the value of k1. In order to shorten the exposure wavelength and, thus, reduce the minimum printable size, it has been proposed to use an extreme ultraviolet (EUV) radiation source. EUV radiation is electromagnetic radiation having a wavelength within the range of 5-20 nm, for example within the range of 13-14 nm. It has further been proposed that EUV radiation with a wavelength of less than 10 nm could be used, for example within the range of 5-10 nm such as 6.7 nm or 6.8 nm. Such radiation is termed extreme ultraviolet radiation or soft x-ray radiation. Possible sources include, for example, laser-produced plasma sources, discharge plasma sources, or sources based on synchrotron radiation provided by an electron storage ring. EUV radiation may be produced using a plasma. A radiation system for producing EUV radiation may include a laser for exciting a fuel to provide the plasma, and a source collector module for containing the plasma. The plasma may be created, for example, by directing a laser beam at a fuel, such as particles of a suitable material (e.g. tin), or a stream of a suitable gas or vapor, such as Xe gas or Li vapor. The resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector. The radiation collector may be a mirrored normal incidence radiation collector, which receives the radiation and focuses the radiation into a beam. The source collector module may include an enclosing structure or chamber arranged to provide a vacuum environment to support the plasma. Such a radiation system is typically termed a laser produced plasma (LPP) source. In addition to extreme ultraviolet radiation, the plasma generally produces debris in the form of particles, such as thermalized atoms, ions, neutrals, nanoclusters, and/or microparticles. The debris may cause damage to the collector mirror and other optics. In an attempt to prevent the debris from causing damage, a buffer gas may be used in the vicinity of the plasma in order to mitigate the debris. However, current methods and apparatus for providing the buffer gas are not satisfactory. It is desirable to provide at least a collector mirror assembly that obviates or mitigates at least one deficiency of the prior art, whether identified herein or elsewhere, or which provides an alternative to existing assemblies. According to an aspect, there is provided a collector mirror assembly that includes a collector mirror having a reflective surface and a hole having an edge. The hole extends through the reflective surface. The assembly includes a tubular body that extends through the hole. The tubular body has an inner surface and an outer surface. The tubular body is constructed and arranged to guide a main gas flow in a direction substantially transverse to the reflective surface. The assembly also includes an opening between the outer surface of the tubular body and the edge of the hole. The opening is arranged to guide a further gas flow that diverges with respect to the main gas flow. The outer surface of the tubular body and the edge of the hole form an opening arranged to guide a further gas flow that diverges with respect the gas flow substantially transverse to the reflective surface. The opening may be arranged to guide the further gas flow substantially along the reflective surface. At least part of one or both of the inner surface and the outer surface of the tubular body may be tapered in a direction against the gas flow. One or more walls (e.g. in the form of one or more additional tubular bodies) may be provided between the outer surface of the tubular body and the edge of the hole, the one or more walls being constructed and arranged to divide the further gas flow in one or more sub-flows. The collector mirror may be constructed to focus radiation emitted from a first focal point to a second focal point. The gas flow may be directed away from the reflective surface to one or both of the first focal point and the second focal point. According to an aspect, there is provided a module constructed to produce extreme ultraviolet radiation, the module including a supply configured to supply one or more droplets of an ignition material to a predetermined target ignition position, a radiation source configured to supply a laser beam arranged to be focused on the predetermined target ignition position and to produce a plasma by hitting a droplet located at the predetermined target ignition position so as to change the droplet into an extreme ultraviolet producing plasma, and a collector mirror assembly comprising a collector mirror having a reflective surface and a hole having an edge. The hole extends through the reflective surface. The collector mirror assembly includes a tubular body that extends through the hole. The tubular body has an inner surface and an outer surface. The tubular body is constructed and arranged to guide a gas flow in a direction substantially transverse to the reflective surface. An opening is between the outer surface of the tubular body and the edge of the hole and is arranged to guide a further gas flow that diverges with respect to the main gas flow. The collector mirror may be constructed to focus radiation emitted from a first focal point to a second focal point. The main gas flow may be directed away from the reflective surface to one or both of the first focal point and the second focal point. The target ignition position may be the first focal point. According to an aspect of the invention, there is provided a lithographic projection apparatus arranged to project a pattern from a patterning device onto a substrate. The apparatus includes a collector mirror assembly that includes a collector mirror having a reflective surface and a hole having an edge. The hole extends through the reflective surface. The assembly includes a tubular body that extends through the hole. The tubular body has an inner surface and an outer surface. The tubular body is constructed and arranged to guide a main gas flow in a direction substantially transverse to the reflective surface. An opening is between the outer surface of the tubular body and the edge of the hole. The opening is arranged to guide a further gas flow that diverges with respect the main gas flow. The outer surface of the tubular body and the edge of the hole may form the opening arranged to guide the further gas flow. According to an aspect of the invention, there is provided a lithographic projection apparatus arranged to project a pattern from a patterning device onto a substrate. The apparatus includes a module that includes a supply configured to supply one or more droplets of an ignition material to a predetermined target ignition position, a radiation source configured to supply a laser beam arranged to be focused on the predetermined target ignition position and to produce a plasma by hitting a droplet located at the predetermined target ignition position so as to change the droplet into an extreme ultraviolet producing plasma, and a collector mirror assembly that includes a collector mirror having a reflective surface and a hole having an edge. The hole extends through the reflective surface. The assembly includes a tubular body that extends through the hole. The tubular body has an inner surface and an outer surface. The tubular body is constructed and arranged to guide a main gas flow in a direction substantially transverse to the reflective surface. The assembly also includes an opening between the outer surface of the tubular body and the edge of the hole. The opening is arranged to guide a further gas flow that diverges with respect to the main gas flow. The outer surface of the tubular body and the edge of the hole may form the opening arranged to guide the further gas flow. According to an aspect of the invention, there is provided a method for producing extreme ultraviolet radiation. The method includes directing a radiation beam, for example a laser beam, onto a droplet of an ignition material, the droplet being located at a predetermined target ignition position, so as to change the droplet into a plasma that is configured to produce an extreme ultraviolet radiation. The method includes reflecting the radiation using a collector mirror assembly, a module and/or a lithographic projection apparatus according to an aspect of the invention. According to an aspect of the invention, there is provided a module constructed to produce extreme ultraviolet radiation. The module includes a supply configured to supply one or more droplets of an ignition material to a predetermined target ignition position, a radiation source configured to supply a laser beam arranged to be focused on the predetermined target ignition position and to produce a plasma by hitting a droplet located at the predetermined target ignition position so as to change the droplet into an extreme ultraviolet producing plasma, and a collector mirror assembly. The collector mirror assembly includes a collector mirror having a reflective surface and a hole having an edge. The hole extends through the reflective surface. The assembly includes a tubular body that extends through the hole. The tubular body has an inner surface and an outer surface. The tubular body is constructed and arranged to guide a main gas flow in a direction substantially transverse to the reflective surface. An opening is between the outer surface of the tubular body and the edge of the hole. The opening is arranged to guide a further gas flow that diverges with respect to the main gas flow. The outer surface of the tubular body and the edge of the hole may form the opening arranged to guide the further gas flow. According to an aspect of the invention, there is provided a lithographic projection apparatus that includes a collector mirror assembly. The collector mirror assembly includes a collector mirror having a reflective surface configured to focus radiation emitted from a first focal point to a second focal point, and a hole having an edge. The hole extends through the reflective surface, and a tubular body extends through the hole. The tubular body has an inner surface and an outer surface. The tubular body is constructed and arranged to guide a main gas flow in a direction substantially transverse to the reflective surface. The apparatus also includes an opening between the outer surface of the tubular body and the edge of the hole. The opening is arranged to guide a further gas flow that diverges with respect to the main gas flow. The apparatus also includes a support configured to support a patterning device, the patterning device being configured to pattern the radiation after the radiation has passed through the second focal point to form a patterned beam of radiation, and a projection system configured to project the patterned beam of radiation onto a substrate. The outer surface of the tubular body and the edge of the hole may form the opening arranged to guide the further gas flow. According to an aspect of the invention, there is provided a method for producing extreme ultraviolet radiation. The method includes directing a radiation beam onto a droplet of an ignition material, the droplet being located at a predetermined target ignition position, so as to change the droplet into a plasma that is configured to produce an extreme ultraviolet radiation, and reflecting the radiation and directing a gas flow toward the predetermined target ignition position using an collector mirror assembly. The assembly includes a collector mirror having a reflective surface and a hole having an edge. The hole extends through the reflective surface, and a tubular body extends through the hole. The tubular body includes an inner surface and an outer surface. The tubular body is constructed and arranged to guide a main gas flow in a direction substantially transverse to the reflective surface. An opening is between the outer surface of the tubular body and the edge of the hole. The opening is arranged to guide a further gas flow that diverges with respect to the gas main flow. The outer surface of the tubular body and the edge of the hole may form the opening arranged to guide the further gas flow. One or more aspects of the invention may have, where appropriate, one or additional features described in relation to one or more other aspects of the present invention. FIG. 1 schematically depicts a lithographic apparatus 100 including a source collector module SO according to one embodiment of the invention. The apparatus comprises: an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. EUV radiation); a support structure (e.g. a mask table) MT constructed to support a patterning device (e.g. a mask or a reticle) MA and connected to a first positioner PM configured to accurately position the patterning device; a substrate table (e.g. a wafer table) WT constructed to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate; and a projection system (e.g. a reflective projection system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W. The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation. The support structure MT holds the patterning device MA in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The support structure can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device. The support structure may be a frame or a table, for example, which may be fixed or movable as required. The support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system. The term β€œpatterning device” should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. The pattern imparted to the radiation beam may correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit. The patterning device may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam which is reflected by the mirror matrix. The projection system, like the illumination system, may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of a vacuum. It may be desired to use a vacuum for EUV radiation since other gases may absorb too much radiation. A vacuum environment may therefore be provided to the whole beam path with the aid of a vacuum wall and vacuum pumps. As here depicted, the apparatus is of a reflective type (e.g. employing a reflective mask). The lithographic apparatus may be of a type having two (dual stage) or more substrate tables (and/or two or more mask tables). In such β€œmultiple stage” machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure. Referring to FIG. 1, the illuminator IL receives an extreme ultra violet radiation beam from the source collector module SO. Methods to produce EUV light include, but are not necessarily limited to, converting a material into a plasma state that has at least one element, e.g., xenon, lithium or tin, with one or more emission lines in the EUV range. In one such method, often termed laser produced plasma (β€œLPP”) the required plasma can be produced by irradiating a fuel, such as a droplet, stream or cluster of material having the required line-emitting element, with a laser beam. The source collector module SO may be part of an EUV radiation system including a laser, not shown in FIG. 1, for providing the laser beam exciting the fuel. The resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector, disposed in the source collector module. The laser and the source collector module may be separate entities, for example when a CO2 laser is used to provide the laser beam for fuel excitation. In such cases, the laser is not considered to form part of the lithographic apparatus and the radiation beam is passed from the laser to the source collector module with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander. In other cases the source may be an integral part of the source collector module, for example when the source is a discharge produced plasma EUV generator, often termed as a DPP source. The illuminator IL may comprise an adjuster for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and/or inner radial extent (commonly referred to as Οƒ-outer and Οƒ-inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may comprise various other components, such as facetted field and pupil mirror devices. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section. The radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., mask table) MT, and is patterned by the patterning device. After being reflected from the patterning device (e.g. mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor PS2 (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor PS1 can be used to accurately position the patterning device (e.g. mask) MA with respect to the path of the radiation beam B. Patterning device (e.g. mask) MA and substrate W may be aligned using mask alignment marks M1, M2 and substrate alignment marks P1, P2. The depicted apparatus could be used in at least one of the following modes: 1. In step mode, the support structure (e.g. mask table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.2. In scan mode, the support structure (e.g. mask table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure). The velocity and direction of the substrate table WT relative to the support structure (e.g. mask table) MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PS.3. In another mode, the support structure (e.g. mask table) MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above. Combinations and/or variations on the above described modes of use or entirely different modes of use may also be employed. FIG. 2 shows an example of the lithographic apparatus 100 in more detail, including the source collector module SO, the illumination system IL, and the projection system PS. The source collector module SO is constructed and arranged such that a vacuum environment can be maintained in an enclosing structure 220 of the source collector module SO. An EUV radiation emitting plasma 210 may be formed by a discharge produced plasma source. EUV radiation may be produced by a gas or vapor, for example Xe gas, Li vapor or Sn vapor in which the very hot plasma 210 is created to emit radiation in the EUV range of the electromagnetic spectrum. The very hot plasma 210 is created by, for example, an electrical discharge causing an at least partially ionized plasma. Partial pressures of, for example, 10 Pa of Xe, Li, Sn vapor or any other suitable gas or vapor may be required for efficient generation of the radiation. In an embodiment, a plasma of excited tin (Sn) is provided to produce EUV radiation. The radiation emitted by the hot plasma 210 is passed from a source chamber 211 into a collector chamber 212 via an optional gas barrier or contaminant trap 230 (in some cases also referred to as contaminant barrier or foil trap) which is positioned in or behind an opening in source chamber 211. The contaminant trap 230 may include a channel structure. Contamination trap 230 may also include a gas barrier or a combination of a gas barrier and a channel structure. The contaminant trap or contaminant barrier 230 further indicated herein at least includes a channel structure, as known in the art. The collector chamber 212 may include a radiation collector CO which may be a so-called grazing incidence collector. Radiation collector CO has an upstream radiation collector side 251 and a downstream radiation collector side 252. Radiation that traverses collector CO can be reflected off a grating spectral filter 240 to be focused in a virtual source point IF. The virtual source point IF is commonly referred to as the intermediate focus, and the source collector module is arranged such that the intermediate focus IF is located at or near an opening 221 in the enclosing structure 220. The virtual source point IF is an image of the radiation emitting plasma 210. Subsequently the radiation traverses the illumination system IL, which may include a facetted field mirror device 22 and a facetted pupil mirror device 24 arranged to provide a desired angular distribution of the radiation beam 21, at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA. Upon reflection of the beam of radiation 21 at the patterning device MA, held by the support structure MT, a patterned beam 26 is formed and the patterned beam 26 is imaged by the projection system PS via reflective elements 28, 30 onto a substrate W held by the wafer stage or substrate table WT. More elements than shown may generally be present in illumination optics unit IL and projection system PS. The grating spectral filter 240 may optionally be present, depending upon the type of lithographic apparatus. Further, there may be more mirrors present than those shown in the Figures, for example there may be 1-6 additional reflective elements present in the projection system PS than shown in FIG. 2. Collector optic CO, as illustrated in FIG. 2, is depicted as a nested collector with grazing incidence reflectors 253, 254 and 255, just as an example of a collector (or collector mirror). The grazing incidence reflectors 253, 254 and 255 are disposed axially symmetric around an optical axis O and a collector optic CO of this type is preferably used in combination with a discharge produced plasma source, often called a DPP source. Alternatively, the source collector module SO may be part of an LPP radiation system as shown in FIG. 3. A laser LA is arranged to deposit laser energy into a fuel, such as xenon (Xe), tin (Sn) or lithium (Li) provided by a supply (not shown in the drawings), creating the highly ionized plasma 210 with electron temperatures of several 10's of eV. The energetic radiation generated during de-excitation and recombination of these ions is emitted from the plasma, collected by a near normal incidence collector optic CO and focused onto the opening 221 in the enclosing structure 220. As previously discussed, in addition to extreme ultraviolet radiation, the plasma generally produces debris in the form of particles, such as thermalized atoms, ions, neutrals, nanoclusters, and/or microparticles. The debris may cause damage to the collector mirror and other optics. In an attempt to prevent the debris from causing damage, a buffer gas may be used in the vicinity of the plasma in order to mitigate the debris. In existing arrangements, the buffer gas might be directed through an aperture in the collector through which a laser beam may pass that is used in the creation of the plasma, and toward a focus point of the collector. However, this does not adequately prevent contamination reaching the collector surface away from the aperture. Alternatively or additionally, buffer gas may be directed from an outside edge of the collector toward that aperture, but this may drive contamination through the aperture and toward sensitive optical components. Thus, while these arrangements may reduce or suppress contamination, they may nevertheless be improved. FIG. 4 discloses a collector mirror assembly 302 which includes a collector optic, in this example a collector mirror COβ€². The collector mirror COβ€² has a reflective surface 304. The reflective surface 304 of the collector mirror COβ€² is constructed to focus radiation emitted from a first focal point to a second focal point. The collector mirror is a normal incidence collector. The collector mirror assembly 302 may be included in a source collector module SO similar to the one depicted in FIG. 3. The first focal point may coincide with the location at which the radiation-emitting plasma 210 may be generated. This location is also referred to as the predetermined target ignition position. As a consequence of the fact that the radiation-emitting plasma 210 is generated at the first focal point, the virtual source point IF coincides with the second focal point. Typically, but not necessarily, the collector mirror may be a multi-layer mirror. Such a multi-layer mirror may include alternating layers of Mo and Si. Anti-diffusion layers may be provided in order to prevent diffusion between the Mo layers and the Si layers. A suitable material for such an anti-diffusion layer is B4C. The collector mirror COβ€² also includes a hole 306 (FIG. 4) which extends through the reflective surface 304 of the collector mirror COβ€². The hole 306 is located on an axisymmetric line AX of the collector COβ€². The hole 306 has an edge 308. The assembly 302 is provided with a tubular body 310, which extends through the hole 306. The tubular body 310 has an inner surface 312 and an outer surface 314. The word β€˜tubular’ will be understood by the skilled person as a broad term, which may encompass or be synonymous with a variety of different structures. For example, a tubular body may not have parallel walls, but could instead be conical or flared. The tubular body may be any structure that forms a conduit from one side of the collector (e.g. a non-collecting surface) to another, opposite side of the collector (e.g. a collecting surface). The tubular body might have a circular cross-section, or an elliptical cross-section, or any other suitable cross-section. In use, a main gas flow GF is directed through the tubular body 310 which, in this embodiment, is constructed and arranged to guide the gas flow GF in a direction transverse to the reflective surface 304. For example, the inner surface 312 of the tubular body 310 is constructed and arranged to guide the gas flow GF in a direction transverse to the reflective surface 304. Typically, the gas flow GF is directed toward one or both of the first focal point and the second focal point. An opening 316 may be located between the outer surface 314 of the tubular body 310 and the edge 308 of the hole 306. In the embodiment of FIG. 4, the outer surface 314 and the edge 308 form or define the opening 316. The opening 316 (which includes one or more structures forming at least a part of that opening 316, e.g. the outer surface 314 of the tubular body 310 and the edge 308) is arranged to guide a further gas flow GFβ€² that diverges with respect to the gas flow GF. A divergent gas flow is advantageous, as contamination over a wider area may be suppressed, and also may drive contamination away from the tubular body 310, and/or opening 316 and/or the reflective surface 304 of the collector COβ€². For instance, the opening 316, for example the outer surface 314 of the tubular body 310 forming a part of that opening 316, may be shaped to guide the further gas flow GFβ€² substantially along the reflective surface 304, and away from the tubular body 310. This may be advantageous, because contamination may be driven away from the tubular body 310, and/or opening 316 and/or the reflective surface 304 of the collector COβ€². In contrast, in a known arrangement, buffer gas may flow in substantially opposite direction, from an outside edge of the collector and toward an opening in the collector. This may increase the risk of contamination being driven through the aperture, and toward sensitive optical components or the like that may be used to condition a laser beam used in the formation of the plasma. This is undesirable. In the embodiment of FIG. 4, part 318 of the inner surface 312 and the outer surface 314 of the tubular body 310 are tapered in a direction substantially opposite the gas flow GF to induce the previously mentioned divergence. Because the hole 306 is typically used to transmit the laser energy from a laser, proper arrangement of the tubular body 310 may limit any potential impairment of the imaging ability of the reflective surface 304 of the collector mirror COβ€². For example, the tubular body 310 may be located in a shadow region, where collection of radiation is not possible, or in a region where collection is not required. One or more walls can be provided between the outer surface 314 of the tubular body 310 and the edge of the hole 306. In order to illustrate this, FIG. 5 schematically depicts a modification of the assembly 302 of FIG. 4. Because the assembly is symmetrical around the axisymmetric line AX indicated in FIG. 5, only part of the assembly is actually shown. As can be seen in FIG. 5, three walls 320A, 320B and 320C are provided between the outer surface 314 of the tubular body 310 and the edge 308 of the hole 306. These walls 320A, 320B and 320C divide the further gas flow in one or more sub-flows GFβ€²A, GFβ€²B, GFβ€²C and GFβ€²D. The division into such sub-flows adds to the laminarity of the flow GF and the sub-flows GFβ€²A, GFβ€²B, GFβ€²C and GFβ€²D. Laminarity of these flows is desirable, because laminar flows are generally better controllable than non-laminar, i.e. turbulent flows. The introduction of one or more walls 320A, 320B and 320C may alternatively or additionally add to the degree of control of the gas flow as a whole, and/or to the direction of specific components of that gas flow. The one or more walls 320A, 320B and 320C may form at least a part of further tubular bodies that surround the tubular body 310 already described. It will be appreciated that the assemblies shown in FIGS. 4 and 5 are depicted as sections through the collector assembly. Although gas flow is shown as being in the plane in which the Figures are provided, it will be appreciated that the gas flow will or may also be distributed, or uniformly distributed, around the axisymmetric line, e.g. in a circumferential direction about the axisymmetric. In this manner, the entire collector surface may be protected by the gas flow. The one or more tubular bodies or openings described above may comprise a narrowing or restriction, thus forming a venturi. The narrowing or restriction may cause the flow of gas to be accelerated in the region of the venturi, which may be advantageous. The opening or openings, which include structures forming or defining those openings, and/or the tubular body may be defined as or form one or more nozzles. A nozzle may comprise a restriction, as previously described. The gas passing through the opening in the collector and/or the tubular body will usually be the same gas, supplied by the same source. The flow of gas may be, for example, between 50 and 250 (normal) liters per minute. The gas may be hydrogen (atomic or molecular), deuterium, helium and/or argon. The opening, which includes walls defining that opening (or further openings), and/or the central tubular body may be arranged to ensure that a specific split of the gas flow is obtained, the split being between gas passing through the central tubular body and the surrounding opening or openings. For example, the split may result in 80% of the gas flowing through the central tubular body, and 20% through a surrounding opening or through surrounding openings. In another example, the split may result in 20% of the gas flowing through the central tubular body, and 80% through a surrounding opening or through surrounding openings. In another example, the split could be anywhere between the previous two examples, for instance the split may result in 50% of the gas flowing through the central tubular body, and 50% through a surrounding opening or through surrounding openings. The split may be configured to ensure that gas flow along the collector surface is not drawn towards gas flow transverse to the surface, and/or the split may be configured to ensure that gas flow transverse to the collector surface is not drawn towards gas flow along the collector surface. Such a split, or a balance between such splits, might ensure that gas is properly directed at a focus of the collector, and along a surface of the collector. The exact values of the split will vary depending on gas flow rates and the dimensions of the collector assembly and the like, and may for example be determined from trial and error or routine modeling. The values of the split may alternatively or additionally be dependent on operational conditions, for example at what duty cycle the source operates. For example, at a high duty cycle (e.g. full power) the flow through the central tubular body might be 80%, and 20% through the surrounding opening or through surrounding openings. When the source is at low duty cycle or at stand-by the split might be reversedβ€”20% through the central tubular body, and 80% through the surrounding opening or through surrounding openings. Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid-crystal displays (LCDs), thin-film magnetic heads, etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms β€œwafer” or β€œdie” herein may be considered as synonymous with the more general terms β€œsubstrate” or β€œtarget portion”, respectively. The substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology tool and/or an inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers. Although specific reference may have been made above to the use of embodiments of the invention in the context of optical lithography, it will be appreciated that the invention may be used in other applications, for example imprint lithography, and where the context allows, is not limited to optical lithography. In imprint lithography a topography in a patterning device defines the pattern created on a substrate. The topography of the patterning device may be pressed into a layer of resist supplied to the substrate whereupon the resist is cured by applying electromagnetic radiation, heat, pressure or a combination thereof. The patterning device is moved out of the resist leaving a pattern in it after the resist is cured. The term β€œlens”, where the context allows, may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components. While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. The descriptions above are intended to be illustrative, not limiting. Thus it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below.
048266515
description
Referring now to the figures of the drawings in detail and first, particularly, to FIG. 1 thereof, there is seen a cross section of a portion 1 of a reactor building, the remainder of which is not shown. A storage or spent fuel pit 2 contains two storage racks 3. A partition 4 has a non-illustrated floodgate or sluice, which during operation of the reactor plant closes off a lead through, penetrator or transition 7 and separates the storage pit 2 from a flooding or reactor pit 5 located above a reactor vessel 6. FIG. 1 shows a reactor plant that has been shut down, so that the reactor vessel 6 is open. Both the storage pit 2 and the flooding pit 5 are filled with neutron-shielding liquid or water 9, 9a across the lead through 7 that is several meters thick, up to a level indicated at reference numeral 8. A compensator 10 which extends between the flooding pit 5 and a flange 11 of the opened reactor vessel 6, prevents the water from escaping downward. If a fuel assembly 12 from the storage rack 3 in the storage pit 2 is to be inserted into a grid position 13 of a core supporting structure 24 of the reactor vessel 6 shown in FIGS. 2 and 4, then a refueling or fuel assembly changing machine 14 takes such a fuel assembly 12 from the rack 3 and moves with the fuel assembly through the lead through 7 between the storage pit 2 and the flooding pit 5. A television camera 17 is disposed in a stationary manner on a holder 16 in guide rails 15 for the removed floodgate. As soon as the refueling machine 14 has reached the position represented in phantom, the position of a carrier element or base 18 of the fuel assembly 12 appears in a monitor 19 shown in FIG. 3. Specifically, the monitor shows what is picked up by the camera, that is a central portion 20 of the lower surface of the fuel assembly carrier 18. A central tube 21 of the fuel assembly carrier serves as a reference point. The desired position of the central tube and therefore of the fuel assembly along with a nominal or reference axis axis 22, is stored in a memory in propelling or driving equipment and also appears on the monitor. The driver of the refueling machine causes the nominal or reference axis 22 to coincide with the axis 23 of the central tube 21 and thus compensates for the deviation between the desired and actual positions of the fuel assembly carrier. The grid position 13 of the core supporting structure 24 of FIG. 4, which is shown on a larger scale in FIG. 4a, shows the actual position of the fuel assembly carrier in broken lines. A lowering of the fuel assembly in the direction of an arrow 25 before the deviation was corrected would complicate or impede the mounting of the fuel assembly 12 and would thus make insertion into the grid position more difficult. However, if a correcting movement of the refueling machine 14 is first performed in the direction of arrows 26, 27, until the reference axis 22 coincides with the axis 23 of the central tube 21 as shown in FIG. 3, then the refueling machine 14 can insert the fuel assembly 12 into the grid position 13 without danger, as shown in solid lines in FIG. 4. Bowing is expressed in a misalignment between a head piece or top 28 and the carrier element 18 of the fuel assembly 12; the nominal or rated size of the travelling movement of the refueling machine is calibrated by using the position of the head piece 28 and must be corrected with respect to the position of the carrier element 18. A fuel assembly shown on a larger scale in FIG. 5, measuring approximately 4207 mm in total length and 217 mm on a side, with a total weight of approximately 683 kg, must be inserted without danger into the grid of the reactor vessel, as shown in FIG. 2. A substantial advantage of the novel loading method is a time saving of several days in reloading the entire core, with the result that the nuclear reactor plant is more quickly available for use. A torsion-proof test gauge, having similar contours to a fuel assembly, serves to set the camera position and the reference axis of the fuel assembly. It can be positioned either in the lead through 7 or at any point in the storage pit. The foregoing is a description corresponding in substance to German Application No. P 36 06 997.3, dated Mar. 4, 1986, the International priority of which is being claimed for the instant application, and which is hereby made part of this application. Any material discrepancies between the foregoing specification and the aforementioned corresponding German application are to be resolved in favor of the latter.
summary
summary
claims
1. A computer-implemented method, comprising:logically dividing a target volume into two or more treatment slices to be radiated individually by radiation delivered by co-planar beams;planning a two dimensional path for moving a shaped isocenter through a treatment slice, the two dimensional path to include a set of scan points to be visited by the isocenter, the isocenter to be produced by the intersection of the co-planar beams, and where planning a first two dimensional path through a first treatment slice can begin before a second treatment slice has been defined;planning a three dimensional path for moving the shaped isocenter through the target volume based) at least in part, on two or more of the two dimensional paths; andproviding a signal to control a radiosurgery device to deliver radiation using the coplanar beams to the target volume based, at least in part, on the three dimensional path. 2. The method of claim 1, including receiving one or more pre-operative images in which at least a portion of the target volume appears, the pre-operative images being one or more of, magnetic resonance images, computed tomography images, and x-ray images. 3. The method of claim 2, including fixing one or more fiducial markers at a position relative to the target volume, where the pre-operative images are to include representations of the one or more fiducial markers; andwhere assembling, the three dimensional plan depends, at least in part, on a relationship between an image of a fiducial in a first treatment slice and an image of a fiducial in a second treatment slice. 4. The method of claim 2, including fixing one or more fiducial markers at a position relative to the target volume, where the pre-operative images are to include representations of the one or more fiducial markers; andwhere the delivery device is controlled, at least in part, on determining a relationship between a portion of the target volume and one or more of, a collimator opening, and a radiation source. 5. The method of claim 1, where logically dividing the target volume into two or more treatment slices includes determining a treatment slice thickness. 6. The method of claim 1, a two dimensional path being a raster scan path. 7. The method of claim 1, the shaped isocenter having a disk shape. 8. The method of claim 1, where a shot weight produced by the radiation delivered by the coplanar beams is modulated by controlling the movement of the isocenter. 9. The method of claim 8, where the shot weight is modulated by controlling one or more of, a number of coplanar beams applied to the target volume, a hole size in a collimator through which at least one of the coplanar beams is to pass, and a temporal delay between one or more of the coplanar beams being applied to the target volume. 10. The method of claim 1, where planning a two dimensional path through a treatment slice includes calculating a resulting dose according to: D d = d * Ο„ β‡’ D d ⁑ ( x , y ) = βˆ‘ m ⁒ βˆ‘ n ⁒ d ⁑ ( m - x , n - y ) ⁒ Ο„ ⁑ ( m , n ) ,where D represents the resulting dose;where d represents the disk-shaped shot dose kernel;where Ο„ represents a time series variable that represents the time it takes a moving shot to pass through a unit length of a raster line;where m represents a first index associated with a raster line scan point position; andwhere n represents a second index associated with a raster line scan point position. 11. The method of claim 10, where planning a two dimensional path through a treatment slice includes solving for Ο„ according to: O ⁑ ( Ο„ _ ) = βˆ‘ tissue ⁒ βˆ‘ i ⁒ I i ⁑ ( D i P - D i d ) 2 = βˆ‘ tissue ⁒ βˆ‘ i ⁒ I i ( D i P - βˆ‘ j ⁒ d ji ⁒ Ο„ j ) 2 ,where DiP is the prescribed dose for the tumor;DiP is the planned dose distribution to be optimized; anddji of the dose kernel represents the dose contribution to the ith spatial location while the shot moves through the jth scan point. 12. The method of claim 11, where assembling the three dimensional plan includes solving for a final three-dimensional plan dose according to: D f = βˆ‘ n ⁒ w i Β· D i s where DiS is the 3D dose matrix; andwi is the weight assigned to the ith single-plane raster scan. 13. The method of claim 1, where two dimensional paths through two or more treatment slices are to be planned substantially in parallel. 14. The method of claim 1, where two dimensional paths through two different treatment slices differ in at least one of, scan pattern, importance weighted quadratic objective function, and slice orientation. 15. The method of claim 1, including controlling a delivery apparatus to deliver a set of coplanar beams according to the three dimensional plan. 16. The method of claim 15, including controlling the delivery apparatus to deliver the coplanar beams to two or more treatment slices substantially in parallel. 17. The method of claim 15, including:calibrating the delivery apparatus before controlling the delivery apparatus to deliver the coplanar beams; andcontrolling the delivery apparatus based, at least in part, on the calibration. 18. The method of claim 17, where calibrating the delivery apparatus includes acquiring a signal from a polymer gel-MRI dosimeter to which the delivery apparatus applied a set of coplanar beams. 19. The method of claim 1, including changing a tumor prescription dose between planning a set of two dimensional paths and planning the three dimensional path. 20. The method of claim 1, including dynamically altering the size of a collimator hole through which at least one beam will pass during radiation delivery to perform one or more of, modulating shot weight, and controlling isocenter location. 21. The method of claim 1, including selecting a delivery apparatus to deliver the coplanar beams based, at least in part, on the three dimensional plan. 22. The method of claim 1 where planning the two dimensional path includes considering a three dimensional dose bar interaction within a treatment slice and where assembling the three dimensional path includes considering a three dimensional dose bar interaction between treatment slices. 23. A machine-readable medium having stored thereon machine executable instructions that if executed by a machine cause the machine to perform a method, the method comprising:receiving one or more pre-operative images in which at least a portion of a target volume to be radiated appears, the pre-operative images being one or more of, magnetic resonance images, computed tomography images, and x-ray images;determining a treatment slice thickness;logically dividing the target volume into two or more treatment slices to be radiated individually by radiation delivered by co-planar beams, the treatment slices having the treatment slice thickness;planning a two dimensional path for moving a disk-shaped isocenter through a treatment slice, the two dimensional path to include a set of scan points to be visited by the isocenter, the isocenter to be produced by the intersection of the co-planar beams, the two dimensional path being a raster scan path, where two dimensional paths through two or more treatment slices are to be planned substantially in parallel;planning a three dimensional path for moving the shaped isocenter through the target volume based, at least in part, on two or more of the two dimensional paths, where a shot weight produced by the coplanar beams is modulated by controlling the movement of the isocenter;providing a signal to control a radiosurgery device to deliver radiation using the coplanar beams to the target volume based, at least in part, on the three dimensional path; andcontrolling a delivery apparatus to deliver a set of coplanar beams according to the three dimensional plan,where planning the two dimensional path includes considering a three dimensional dose bar interaction within a treatment slice and where assembling the three dimensional path includes considering a three dimensional dose bar interaction between treatment slices. 24. A radio surgical treatment method, comprising:identifying a set of two dimensional paths through a set of treatment slices, where planning a first two dimensional path through a first treatment slice can begin before a second treatment slice has been defined;receiving a treatment plan comprising a three dimensional path through a target volume based, at least in part, on the set of two dimensional paths;controlling a radio surgical apparatus to generate a disk-shaped shot having an isocenter and to continuously adjust the isocenter location to produce a coplanar shot movement through the three dimensional path; andcontrolling the radio surgical apparatus to modulate the speed at which the isocenter location moves. 25. The method of claim 24, where modulating the speed at which the isocenter location is moved includes controlling one or more robotic apparatus associated with the radio surgical apparatus to reposition one or more of, a patient, the radio surgical apparatus, and a radiation source. 26. An apparatus, comprising:a first logic to partition a target volume into a set of treatment slices, the target volume representing a tissue to be subjected to radiation delivered by a set of coplanar beams;a second logic to determine a set of two dimensional raster scanning paths through the set of treatment slices, where determining a first two dimensional raster scanning path through a first treatment slice can begin before a second treatment slice has been defined;a third logic to determine a three dimensional path to irradiate the target volume to within a pre-determined dose, the three dimensional path being based, at least in part, on the set of two dimensional raster scanning paths; anda fourth logic to control a delivery apparatus to deliver a set of coplanar beams to the target volume in accordance with the three dimensional path. 27. The apparatus of claim 26, the delivery apparatus being a modified Leksell Gamma Knife. 28. The apparatus of claim 26, the delivery apparatus comprising:a Linac unit with a collimator to shape radiation to a slit beam;a ring-shaped secondary helmet with multiple collimator channels through which multiple beams can focus to an isocenter to form a disk-shaped shot; anda robotic positioning system that connects a head frame to the ring-shaped secondary helmet. 29. The apparatus of claim 26, the delivery apparatus including a rotating secondary apparatus. 30. The apparatus of claim 26, the delivery apparatus to rotate a slit beam around a fixed portion of the delivery apparatus. 31. The apparatus of claim 26, including the delivery apparatus. 32. The apparatus of claim 31, including a dosimeter to calibrate the delivery apparatus. 33. The apparatus of claim 32, the first logic to receive a set of pre-operative images in which the target volume is represented. 34. A system, comprising:means for identifying a set of treatment slices in a target volume;means for planning a two dimensional path through a treatment slice for a focused isocenter produced by the intersection of coplanar radiation beams, where planning a first two dimensional path through a first treatment slice can begin before a second treatment slice has been defined;means for assembling a three dimensional plan for performing radiosurgery on the target volume, where the three dimensional plan depends, at least in part, on a set of two dimensional paths through treatment slices; andmeans for controlling a radiosurgery delivery apparatus to move the intersection of the coplanar radiation beams through the target volume according to the three dimensional plan.
claims
1. A method for providing an image of an object, comprising:obtaining a refraction image of the object;applying a regularized mathematical inversion algorithm to the refraction image to obtain a mass density image; anddisplaying the obtained mass density image. 2. The method of claim 1, wherein the regularized mathematical inversion algorithm comprises a constrained least-squares filter. 3. The method of claim 1, wherein the regularized mathematical inversion algorithm comprises an estimation of the projected mass-density image. 4. The method of claim 1, wherein the regularized mathematical inversion algorithm comprises estimation of the projected mass-density image {circumflex over (ρ)}T(m,n) by using: ρ ^ T ⁑ ( m , n ) = ρ ^ T , 0 ⁑ ( m , n ) + ρ ^ T * ⁑ ( n ) , ⁒ wherein ρ ^ T , 0 ⁑ ( m , n ) = DFT - 1 ⁒ { P ^ T ⁑ ( k , l ) } wherein P ^ T ⁑ ( k , l ) = D * ⁑ ( k , l ) ο˜ƒ D ⁑ ( k , l ) ο˜„ 2 + Ξ³ ⁒ ο˜ƒ Q ⁑ ( k , l ) ο˜„ 2 ⁒ Ξ”Ξ˜ ⁑ ( k , l ) , where DFTβˆ’1 denotes the two-dimensional inverse discrete Fourier transform in terms of discrete frequencies (k,l) with {circumflex over (P)}T(0,0) set to zero, and ρ ^ T * ⁑ ( n ) = ρ ref ⁒ t - 1 B ⁒ βˆ‘ m = 1 B ⁒ ρ ^ T , 0 ⁑ ( m , n ) ,wherein ρreft is the known projected mass density of the material appearing in a reference region that is B pixels wide and lies outside the object. 5. A method for providing an image of an object, comprising:obtaining a refraction image of the object, wherein obtaining the refraction image comprises:transmitting an x-ray beam through the object and emitting from the object a transmitted beam;directing the transmitted beam at an angle of incidence upon a crystal analyzer;detecting a first image of the object from a first diffracted beam emitted from the crystal analyzer positioned at a first angular position;detecting a second image of the object from a second diffracted beam emitted from the crystal analyzer positioned at a second angular position; andcombining the first image and the second image to derive the refraction image;applying a regularized mathematical inversion algorithm to the refraction image to obtain a mass density image; anddisplaying the obtained mass density image. 6. The method of claim 5, further comprising:detecting a third image of the object from a third diffracted beam emitted from the crystal analyzer positioned at a third angular position; andcombining the first image, the second image, and the third image to derive a refraction image. 7. The method of claim 5, further comprising:detecting the first image of the object from the first diffracted beam emitted from the crystal analyzer at a low rocking curve angle setting of the crystal analyzer; anddetecting the second image of the object from the second diffracted beam emitted from the crystal analyzer at a high rocking curve angle setting of the crystal analyzer. 8. The method of claim 5, wherein the first image and the second image are exposed on a detector capable of producing a digitized image. 9. The method of claim 8, wherein the exposed first image and the exposed second image are digitized. 10. The method of claim 9, wherein the digitized images are mathematically combined to form a digitized refraction image. 11. The method of claim 10, wherein the refraction image and the mass density image are defined on a pixel-by-pixel basis. 12. The method of claim 5, wherein the x-ray beam has an energy level of at least about 16 keV. 13. The method of claim 5, wherein the x-ray beam has an energy level of at least about 40 keV. 14. The method of claim 5 wherein the x-ray beam has an energy level in a range of approximately 16 keV to approximately 100 keV. 15. The method of claim 5 wherein the x-ray beam is diffracted by a monochromator which is matched in orientation and lattice planes to the crystal analyzer. 16. The method of claim 5 further comprising increasing a relative intensity of the image of the object by adjusting an angular position of the crystal analyzer. 17. The method of claim 16 wherein the angular position of the crystal analyzer is adjusted in steps of approximately 1 microradian increments. 18. The method of claim 5, wherein the x-ray beam is monochromatic. 19. The method of claim 5, wherein the regularized mathematical inversion algorithm comprises a constrained least-squares filter. 20. The method of claim 5, wherein the regularized mathematical inversion algorithm comprises an estimation of the projected mass-density image.
description
The present specification relies on, for priority, U.S. Patent Provisional Application No. 62/362,585, entitled β€œSystems and Methods for Improving Penetration of Radiographic Scanners”, and filed on Jul. 14, 2016. The above-mentioned applications are herein incorporated by reference in their entirety. The present specification is related to radiographic systems. More specifically the present specification is related to a method of increasing penetration of radiographic systems and reducing exclusion zones. X-ray imaging is one of the most common methods used for detecting contraband in cargo. However, during the inspection of large containers, as a result of inadequate penetration by the radiation, it is common for traditional X-ray systems to produce images with dark areas. These dark areas might be indicative of the presence of threat materials; however, they yield little information about the exact nature of threat. Typical penetration depths of existing cargo inspection systems range between 200 and 400 mm of iron. While it is known that systems with higher penetration can be obtained with high-power sources, using a higher power source increases the size and footprint of the radiation exclusion zone, limiting wide deployment of such systems. Thus, the use of high-energy X-rays for cargo inspection is not without some tradeoff. On one hand, the source needs to produce high-intensity, high-energy X-ray beams in order to provide high imaging penetration of the cargo. On the other hand, higher X-ray intensities/energies lead to larger radiation footprint, requiring a larger controlled area (exclusion zone), or more shielding around the system. This may also lead to higher radiation dosage to cargo, and in the case of portal systems, to the driver of the cargo as well. When the exclusion zone is not limited or a shielded building is provided to limit the size of the system, the increase of penetration depth begins to taper down as the source intensity is increased, until it reaches a point when larger intensities of the X-ray source do not cause an increase in the penetration depth of the X-rays. The main effect that limits the highest achievable penetration depth is scatter, which represents a background added to the transmitted signal. X-rays from the shaped fan beam scatter from the container walls and cargo and produce a low-frequency background that adds to the transmitted image, effectively reducing contrast, thereby limiting penetration. The intensity of the scatter depends on the number of X-rays impinging on the object being scanned. Longer and wider fan beams produce more scatter than shorter and narrower fans, approximately proportional to the ratio of the irradiation areas. The transmitted signal received at the detectors is thus polluted from X-rays scattering from other parts of the object being inspected. Hence, there is a need to reduce the scatter further to increase X-ray penetration. The most common approach to reduce scatter is to use collimators in conjunction with the detectors. However, deep, heavy and expensive collimators are needed for obtaining desired penetration. In addition, the scatter rejection is only reduced partially, as a collimator itself becomes a source of scatter. Other existing methods to reduce the measured scatter radiation consist of employing Cerenkov detectors that intrinsically are not sensitive to low-energy X-rays, which is characteristic of the scatter radiation. However, these Cerenkov and energy-sensitive detectors are more complex and expensive than standard X-ray detectors and typically do not enable improved intensity modulation. Also, when the source intensity is increased, these detectors start saturating due to the very high count rate. Still other methods are based on measuring the energy spectrum of the radiation and removing the low-energy signals. Currently available X-ray sources usually have a single fixed intensity setting that is set to the output level requested by the customer, which is typically the highest setting that still complies with a required radiation footprint. Moreover, during a typical scan, source output is often much higher than needed to achieve sufficient imaging penetration; not just from one vehicle or container to the next, but also within the cargo of the same vehicle or container. Hence, there is a need to increase X-ray intensity in order to increase penetration without increasing the exclusion zone and/or radiation dosage. Current methods for increasing penetration are based on beam-modulating intensity based on the highest attenuation measured in the previous slice. However, the beam intensity along the slice may be higher than required due to the high attenuation of a small area of the object. The higher intensity results in a larger exclusion zone, or if limited, in a reduction of the source strength that results in lower penetration. PCT Publication Number WO2011095810A3, assigned to the Applicant of the present specification discloses β€œ[a] scanner system comprising a radiation generator arranged to generate radiation to irradiate an object, detection means arranged to detect the radiation after it has interacted with the object and generate a sequence of detector data sets as the object is moved relative to the generator, and processing means arranged to process each of the detector data sets thereby to generate a control output arranged to control the radiation generator to vary its radiation output as the object is scanned.” There is still a need, however, for more fine control to modulate the intensity as a function of vertical positions within the slice to further optimize the intensity imparted to the object. The WO2011095810 publication is incorporated herein by reference in its entirety. In addition, U.S. Pat. No. 9,218,933, also assigned to the Applicant of the present specification, discloses β€œ[a]n X-ray source for scanning an object comprising: an electron beam generator, wherein said electron beam generator generates an electron beam; an accelerator for accelerating said electron beam in a first direction; and, a first set of magnetic elements for transporting said electron beam into a magnetic field created by a second set of magnetic elements, wherein the magnetic field created by said second set of magnetic elements causes said electron beam to strike a target such that the target substantially only generates X-rays focused toward a high density area in the scanned object”. What is still needed, however, is a system that does not require complex electron-transport components. The '933 patent is incorporated herein by reference in its entirety. Even when a system has very high penetration, there may be dark alarms that require labor-intensive manual inspection for clearing. There is a need for reducing the dark alarm rate further to reduce manual inspections. Therefore, there is a need for scanning systems with increased penetration and smaller exclusion zones, resulting in improved performance and lower alarm rates and easy deployment in a wide range of environments. In some embodiments, the present specification discloses an X-ray detection system with increased penetration comprising: an X-ray source for projecting an X-ray beam towards an object; a mechanism for producing one or more fanlets from the X-ray beam, each fanlet comprising a vertically moving fan beam having an angular range smaller than the angular coverage of the object; a detector array for detecting the fanlets projected on the object; a controller for synchronizing the X-ray source and the mechanism, and collecting image slices from the detector array corresponding to the fanlets; and a processing unit for combining the image slices collected into a composite image. In some embodiments, the present specification discloses an X-ray detection system configured to provide for increased penetration of an object, comprising: an X-ray source for generating an X-ray beam in an inspection volume; a conveyor for moving the object through the inspection volume; a collimator positioned between the X-ray source and the object, wherein the collimator is configured to receive the X-ray beam and produce one or more fanlets from the X-ray beam, wherein each fanlet comprises a vertically moving fan beam having an angular range greater than 1 degree but smaller than the angular coverage of the object; a detector array opposing said X-ray source and positioned within the inspection volume for detecting the one or more fanlets projected on the object; a controller configured to synchronize the X-ray source and the collimator and collect image slices from the detector array corresponding to each of the one more fanlets; and a processing unit for combining the image slices collected into a composite image. Optionally, the X-ray source is a pulsed X-ray source. Optionally, the X-ray source produces dual-energy beams. Still optionally, the dual-energy beams are interlaced. Optionally, the X-ray source produces X-ray pulses comprising low and high energy X-ray beams separated in time. Optionally, the controller is configured to control the conveyor such that a total time for the one or more fanlets multiplied by a rate of speed of the conveyor is equal to or less than a width of a detector in the detector array. Optionally, the collimator is configured to generate an overlap between the one or more fanlets of approximately 1 degree with respect to the object. Optionally, the X-ray source is a CW X-ray source. Optionally, the collimator for producing the one or more fanlets comprises a plurality of controlled fast actuators coupled with beam attenuators to shape the X-ray beam. Optionally, the collimator for producing the one or more fanlets comprises a beam chopper. Optionally, the collimator for producing the one or more fanlets comprises a rotating wheel with slits designed to produce the vertically moving one or more fanlets. In some embodiments, the present specification is directed toward an X-ray detection method comprising: irradiating an object with more than one X-ray fanlet, wherein each X-ray fanlet comprises a vertically moving fan beam having an angular range greater than 1 degree but smaller than the angular coverage of the object and wherein each X-ray fanlet is produced by using a collimator for collimating an X-ray beam generated by an X-ray source; synchronizing the X-ray beam and the more than one fanlet; detecting the more than one fanlet irradiating the object; collecting image slices from the detector array corresponding to a complete scan cycle of the more than one fanlet; and processing the image slices and combining the image slices into a composite image. Optionally, the method further comprises adjusting a beam intensity and energy of each of the more than one fanlets based on signals detected from a previous fanlet at a same vertical position with respect to the object to generate a control output, wherein the control output is used to control the X-ray detection method. Optionally, the X-ray source is a pulsed X-ray source. Optionally, the X-ray source produces dual-energy beams. Optionally, the dual-energy beams are interlaced. Optionally, the X-ray source produces X-ray pulses comprising low and high energy X-ray beams separated in time. Optionally, the collimator is configured to generate an overlap between the one or more fanlets at every position with respect to a surface area of the object. Optionally, the collimator comprises a spinning cylinder with a helical aperture. Optionally, the collimator comprises a plurality of controlled fast actuators coupled with beam attenuators to shape the X-ray beam. Optionally, an energy of each of the more than one fanlet is adjusted at a same fanlet location in a following cycle to allow for interlaced dual-energy scanning of every vertical position. Optionally, the X-ray source is a CW X-ray source. In some embodiments, the present specification discloses a method for operating a scanning system, wherein said scanning system comprises an X-ray source, an array of detectors, and a processor to process and analyze image data, the method comprising: generating a first X-ray beam in order to conduct a first scan to produce an image of the object being scanned; determining areas in said image data that require a more detailed inspection; configuring a collimator to limit a second X-ray beam such that, upon emission of the second X-ray beam, the collimator emits a plurality of fanlets, wherein each fanlet has an angular range that is less than an angular range covering an object but greater than 1 degree; and moving the object relative to the X-ray source and the array of detectors to perform a second scan on the areas. Optionally, said areas represent a lack of penetration by the first X-ray beam during said first scan. Optionally, said areas represent items of interest or alarm such as explosive, firearms, drugs or contraband. Optionally, the X-ray source and array of detectors are mounted on a gantry. Optionally, the collimator comprises a plurality of controlled actuators coupled with beam attenuators to shape the second X-ray beam. Optionally, the collimator comprises two vertically controlled attenuators to inspect only said areas. Optionally, a scan of said areas using said plurality of fanlets is performed at a lower speed compared to a speed of a scan using the first X-ray beam. Optionally, the method further comprises replacing the areas generated by a scan using a first X-ray beam with images of the areas generated by a scan using the plurality of fanlets. The aforementioned and other embodiments of the present shall be described in greater depth in the drawings and detailed description provided below. The present specification describes scanning systems having increased penetration capability and smaller exclusion zones, resulting in improved performance and easy deployment in a wide range of environments. Embodiments of the present specification are well-suited for applications in environments including, but not limited to, container, truck and railcar inspection. Some embodiments of the present specification are particularly well-suited for use in inspecting slow-moving vehicles. The present specification is directed towards systems and methods for both reducing the exclusion zone and increasing the penetration capability of radiographic systems, such as X-ray scanners. In an embodiment, the imaging system described in the present specification enables the scanning of high density cargo with a sufficient penetration depth for the detection of contraband resulting in a low probability of dark alarms that may require a secondary inspection. The present specification also describes an imaging system having a lower impact from scatter radiation that is observed in conventional X-ray scanners and that can be used for inspecting high-density cargo. The present specification also describes a novel method that allows for optimization of the radiation intensity imparted to cargo and environment, which further increases penetration. In an embodiment, the present specification describes a novel mechanism for reducing scatter by producing a vertically moving fan beam with an angular range smaller than the angular coverage of the object being scanned. The present specification provides a vertically moving fan beam or β€œfanlet” synchronized with a pulsed X-ray source and a data acquisition system. In an embodiment, the β€œfanlet” represents a portion of the total overall fan beam, and is vertically translated to cover the extent of the object. In an embodiment, a vertical collimator projects a fanlet having an angular range smaller than the angular coverage of the object being scanned. In an embodiment, the angular range is achieved by using a collimator having dimensional characteristics that are independent of the object, but that are tailored to insure the highest and widest possible object dimensions are accounted for. In an embodiment, the collimator is designed to provide collimation for a predefined object height and object width, which are larger than a standard object height and width, thereby insuring no portion of the object remains unscanned. The fanlet, via collimator mechanics, is translated vertically to cover the angular spread of the object. A pulsed linac X-ray source and a data acquisition system are synchronized with the moving collimator in such a way that the image of the object is acquired at intervals, where in one cycle the fanlets cover a slice of the object with no gaps and, optionally, a minimal overlap. The image from each fanlet is then combined to produce a slice image. In one embodiment, to minimize the effect of object motion, the source pulsing frequency is increased by the number of fanlets. The advantage of this embodiment is that the scatter is reduced as the irradiated area is reduced in each acquisition. The present specification is also directed towards reducing the radiation exclusion zone. In additional embodiments, the signals from each fanlet are used to control the intensity of the fanlet for the following cycle, to optimize the source intensity. In an embodiment, the beam intensity and/or energy is modulated based on the transmission observed in each fanlet to expose the object to the minimum intensity required for penetration, while at the same time reducing the dose to cargo and the environment resulting in a smaller exclusion zone. This is similar to the intensity modulation described in PCT Publication Number WO 2011095810A, incorporated herein by reference in its entirety, which is applied to the full fan beam. The embodiments described herein may be employed for dual-energy scanning as well, since the time between pulses at the same vertical location is the same as in a standard system because the pulsing rate is increased accordingly. However, for fast moving objects, the pulsing frequency is high and it might not be possible to increase the pulsing frequency by a factor of two or three. In these applications, the preferred embodiment is to use a pulsed source, where each pulse contains dual energies separated by a short time. In another embodiment, a Continuous Wave (CW) source is used. In this embodiment, the data acquisition system collects data continuously at a plurality of time intervals with times shorter than the time it takes for the collimator to move from the top position to the bottom position to cover the slice. The present specification is directed towards multiple embodiments. The following disclosure is provided in order to enable a person having ordinary skill in the art to practice the specification. Language used in this specification should not be interpreted as a general disavowal of any one specific embodiment or used to limit the claims beyond the meaning of the terms used therein. The general principles defined herein may be applied to other embodiments and applications without departing from the spirit and scope of the specification. Also, the terminology and phraseology used is for the purpose of describing exemplary embodiments and should not be considered limiting. Thus, the present specification is to be accorded the widest scope encompassing numerous alternatives, modifications and equivalents consistent with the principles and features disclosed. For purpose of clarity, details relating to technical material that is known in the technical fields related to the specification have not been described in detail so as not to unnecessarily obscure the present specification. It should be noted herein that any feature or component described in association with a specific embodiment may be used and implemented with any other embodiment unless clearly indicated otherwise. FIG. 1A illustrates an X-ray system comprising an X-ray source 110 and a detector array 120 scanning a railcar 130 containing cargo 140. X-ray path 150 represents the non-interacting X-rays that are transmitted through the cargo 140. In an ideal system, these would be the only X-rays that would be detected. X-ray paths 160 represent X-rays scattered by the walls of the railcar container 130, and X-ray paths 170 represent X-rays scattered within the cargo 140. The scattered X-rays represented by paths 170 constitute background noise for the X-ray system. In various embodiments, the present specification provides systems and methods to reduce the background noise. FIG. 1B illustrates a collimator coupled with a detector array for reducing the X-ray scatter signal. In FIG. 1B a detector collimator 180 is coupled with the array of X-ray detectors 120 for reducing the scattered X-rays (such as X-rays 170 shown in FIG. 1A). As shown, the path of the primary X-ray beam 190 does not interact with the collimator 180 and is detected by the detector array 120, while X-rays following path 192 are absorbed in the collimator 180 and not detected. Also, X-rays following path 194 go through collimator 180 and are detected by detector array 120, while X-rays following path 196 scatter in collimator 180 into the detector array 120 and are also detected. These effects show that collimators reduce scatter, however, deeper collimators, or collimators that have a longer source to detector distance, result in higher rejection. The performance of the collimator is affected by the ratio of length to width of the individual collimator openings. The higher the ratio of length to width, the better the scatter rejection of the collimator; however, such an embodiment is more expensive to manufacture. Further, as the collimator is made deeper, scatter in the collimator limits the rejection. Thus, there is a trade-off between using a deep collimator and achieving scatter reduction as the X-rays that scatter in the collimator (which is used to reduce scatter from the cargo) may become greater in number than the left-over scatter from the cargo. In an embodiment, collimator depth is maximized at 300 mm, after which depth, gain is minimized. It should be noted that the collimator wall thickness cannot be made too thick as it would reduce the number of unscattered X-rays. Thus, in order to reduce X-ray scatter, a greater number of collimator panes is employed. The present specification, in an embodiment, provides a method of reducing X-ray scatter signal by generation of a vertically moving X-ray beam or fanlets. FIG. 2 illustrates a system comprising a pulsed source projecting vertically-moving fanlets to scan a cargo with reduced scatter, in accordance with an embodiment of the present specification. The system comprises a pulsed X-ray source 210 for scanning a railcar (or other object) 230 and a detector array 220. Examples of suitable X-ray sources include, but are not limited to, electron linac hitting a tungsten target and CW sources such as Rhodotron and superconducting linac. One of ordinary skill in the art would appreciate that any pulsed X-ray source known in the art may be employed. Collimator 240 represents a mechanism that produces a vertically moving fan beam or fanlets 250, 260 and 270, with an angular range smaller than the angular coverage of the railcar 230. Referring back to FIG. 2, the signal produced by fanlet 260 has reduced scatter compared to the full fan-shaped X-ray beam that is generally used to inspect cargo in conventional systems. In an embodiment, the X-ray pulses and the scanning mechanism are synchronized to collect data when the fan beam(s) are projected to fanlet positions 250, 260 and 270 to cover the vertical extent of the cargo railcar 230 in one cycle. A processing unit combines the data from the fanlets 250, 260, 270 to form an image of a slice of the cargo railcar 230. As the collimator defines the fanlet and tends to produce a beam with fuzzy edges, a small overlap between the fanlets 250, 260, 270 is preferred to allow for better β€œstitching” of the fanlets 250, 260, 270 into a slice image to eliminate or minimize edge effects. In an embodiment, an overlap of approximately 1 degree is employed. It may be noted that any suitable approach known in the art may be employed for stitching together the image slices. In an embodiment, in order to reduce the effect of cargo motion, the source pulsing frequency is increased approximately in proportion to the number fanlets. For example, in a mobile application, the pulsing frequency is about 100 Hz. If the number of fanlets is 3, the frequency would be increased to 300 Hz. In an embodiment, the smallest number of fanlets is produced by dividing the corresponding fan beam in half; however this does not provide a significant reduction in scatter. By increasing the number of fanlets, which is achieved by decreasing the angular range of each fanlet, scatter radiation is decreased. However, an increased number of fanlets can only be obtained by proportionately increasing the pulsing frequency for a pulsed Linac source. In an embodiment, a typical angular range for a fan beam for a scanner is approximately 60 degrees. In an embodiment, the angular range of a fanlet ranges from 1 degree to 30 degrees. In an embodiment, ten fanlets are employed, each having an angular range of 5 degrees. One of ordinary skill in the art would appreciate that a fanlet has a considerably larger angular range than a conventional pencil beam, which is on the order of a fraction of a degree. The X-ray dose to cargo and the environment does not increase, because the total number of X-rays is the same as compared to a standard X-ray scan. However, the scatter is reduced as there are fewer X-rays inspecting the cargo at any acquisition time relative to the primary beam incident on the detectors. For dual-energy scanning, the source may be either interlaced (meaning at a first pulse, a first energy, at a second pulse a second energy, and at an nth pulse an nth energy) or may contain both energies in the same pulse separated by a small time gap (>βˆ’100 ns). In this way, the frequency is effectively increased by a factor of two. For example, in a standard system operating at 250 Hz, the source emission frequency may be increased to 375 Hz with a dual-energy per pulse, resulting in an effective frequency of 750 Hz, enabling the use of three fanlets with small cargo motion effects. In an embodiment, for interlaced dual-energy scanning, an odd number of fanlets are generated so that the second energy is at the same fanlet location in the following cycle to allow for dual-energy scanning of every vertical position. For example, in the case of three fanlets, in the first cycle, the following pattern would be seen: Top Fanlet having High Energy (HE), Center Fanlet having Low Energy (LE), and Bottom Fanlet having High Energy (HE). In the subsequent cycle, the following pattern would be seen: Top Fanlet having Low Energy (LE), Center Fanlet having High Energy (HE), and Bottom Fanlet having Low Energy (LE). Thus, in an embodiment, the first cycle is HE-LE-HE and the following cycle is LE-HE-LE, thereby allowing interlacing energy for the corresponding fanlet positions for consecutive cycles. It may be noted that if the number of fanlets is even, then the energy at each position would be either LE or HE, and arrangements of LE-HE or HE-LE for the same vertical position will not be possible. FIG. 3 illustrates a system comprising a CW source projecting continuously-moving fanlets, in a vertical motion, to scan cargo with reduced scatter, in accordance with another embodiment of the present specification. FIG. 3 illustrates an X-ray system comprising a CW X-ray source 310 and a detector array 320 scanning a cargo railcar 330. Collimator 340 represents a mechanism that produces a vertically continuously moving fan beam with an angular range smaller than the angular coverage of the railcar 330. The scanning mechanism is synchronized with a data acquisition module to start data collection at the detector array 320 in position 350 and end data collection at position 360 to cover an angular range of fanlet 370. In FIG. 3, the end position 360 constitutes the start position of the next acquisition cycle. The data collection continues in similar fashion until the full vertical extent of the cargo is covered by the β€œindividual” fanlets. As in the pulse-source embodiment shown in FIG. 2, the scatter is reduced by using the CW source 310. It may be noted that the operation of the system remains the same regardless of whether the source is pulsed or CW. While a pulsed high energy x-ray source produces a pulse of a few microseconds separated by few milliseconds, a CW source continuously produces X-rays. FIG. 4 is an exemplary illustration in which the imaging system of the present specification is used for scanning an ANSI 42.46 standard penetration phantom object. As shown in FIG. 4, an ANSI 42.46 penetration phantom object 401 is placed inside a rail-cargo 405. The ANSI 42.46 standard penetration phantom object 401 is used for assessing the penetration capability of high-energy radiographic systems. Said object 401 comprises a rectilinear iron block 406 having a length and a width of at least 60 cm each; and an iron block 404 of an approximate rhomboidal shape placed behind the rectilinear block 406. The thickness of the rhomboidal block 406 is approximately 20% of the thickness of the rectilinear block 406. In the testing procedure shown in FIG. 4, the phantom object 401 is placed at the center of a rail-cargo container 405 tilted towards the X-ray source 402. An array of X-ray detectors 403 is set up to detect the X-rays transmitted through the object 401. A successful ANSI test of penetration for an X-ray system is based on assessing the capability of that X-ray system in determining the direction in which a tip 407 of the rhomboidal object 406 points in the captured image. FIG. 5 illustrates exemplary simulated images for ANSI 42.46 penetration phantom objects obtained with a full fan beam of X-rays and with the use of multiple fanlets via the imaging system described in FIG. 4, in accordance with an embodiment of the present specification. Image 510 is formed by irradiating the phantom object (such as object 401 shown in FIG. 4) comprising a rectilinear object coupled with a rhomboidal shaped object, with a full fan beam. As can be seen, the image quality of image 510 is poor as it is difficult to distinguish the rhomboidal shaped object 502 within rectilinear object 501 in this image. Image 520 is obtained by irradiating the phantom object (such as object 401 shown in FIG. 4) by using multiple fanlets of X-rays such as described with reference to FIG. 4. Using multiple fanlets, the image contrast is improved as less scatter is measured. As can be seen, the image quality of image 520 is better as the rhomboidal shaped object 502 within rectilinear object 501 is better visible as compared to the image 510. Image 530 is obtained by irradiating the phantom object (such as object 401 shown in FIG. 4) by using a larger number of fanlets of X-rays than used to obtain image 520. By using a larger number of fanlets, even a lower number of scattered X-rays are detected. As can be seen from the figure, the quality of image 530 is better than that of image 520 as the rhomboidal shaped object 502 within rectilinear object 501 is most clearly visible in image 530. The production of vertically moving fanlets of X-rays requires a system for projecting an X-ray beam with an angular range smaller than the angular coverage of the object being inspected. In one embodiment, the system comprises a radiation source that emits radiation at an emission rate (Re) and a conveyor that moves an object through the system at a conveyor rate (Rc), where the time (Tf) for a fanlet to traverse the object is preferably equal to the time for a single radiation pulse. In such a case, the total amount of time for a set of fanlets (which, when combined, cover the entire angular range encompassing the object) to be emitted is equal to times the total number of fanlets (Nf): Tf*Nf. That total time, when multiplied by the conveyor rate (Rc), should preferably be equal to or less than a detector width (Dw), thereby insuring no portion of the object is missed. Therefore: Tf*Nf*Rc≀Dw, where Tf is the time for one fanlet, Nf is the total number of fanlets, Rc is the conveyor speed, and Dw is the detector width. Various embodiments for producing vertically-translated fanlets are described below. FIG. 6A illustrates a mechanism comprising multiple actuators connected to beam attenuators to produce vertically-moved fanlets, in accordance with a preferred embodiment of the present specification. A plurality of actuators 610 connect to a plurality of beam attenuators 630 through steel push/pull drive rods 620. The actuators 610 are computer-controlled to move the beam attenuators 630 to attenuate the beam to project vertically moved fanlets, as described in more detail in FIG. 6B. In an embodiment, the actuators 610 are rotary actuators for obtaining a fast response time for scanning fast moving objects. In alternate embodiments for deep scanning which includes scanning slow moving or stationary objects, other types of actuators such as pneumatic actuators may be used. In an embodiment, for performing a deep scan, a single fanlet having an angular range sufficient to cover the object's area of interest is used. In cases where a large part of a cargo being scanned is highly attenuating, and scanning the same at a low speed is possible, X-ray fanlets such as described above are used to scan the cargo. However, the speed of scan is maintained lower than that used for scanning a fast moving cargo. In an embodiment, the number of fanlets used for scanning the cargo at a slow speed is greater than that used for scanning a fast moving cargo. For example, and by way of example only, at a pulsing frequency of 1 KHz, a Linac source produces 1 X-ray pulse every 1 millisecond ( 1/1000 Hz=1 ms). While scanning an object moving at 3.6 km/h (or 1 mm in 1 ms or 1 mm per pulse), by using a detector having a width of 10 mm, the entire object is covered by the X-rays because the detector is wider than the distance moved by the object per pulse. Hence, the maximum number of fanlets that can be used to scan the object without missing any part of the object is 10, as it takes 1 ms per fanlet, which if multiplied by 10 fanlets=10 ms, meaning 10 mm of distance travelled by the object, which is equal to the detector width. However, if the number of fanlets is increased, for example to 20 fanlets, the time it would take the fanlets to cover the object would be 20 ms, which means the object also moves by 20 mm. Since the detector width is only 10 mm, a part of the object would be missed by the X-rays. However, if the speed of the object is lowered to 1.8 km/h, the object moves 10 mm in 20 ms, thereby allowing every part of the object to be scanned. Accordingly, in one embodiment, the system monitors whether the total fanlet time, when multiplied by the conveyor rate (Rc), is greater than a detector width (Dm). If the system determines that it is, the conveyor rate is (Rc) is decreased to a rate sufficient to insure that the total time, when multiplied by the conveyor rate (Rc), is equal to or less than a detector width (Dw). FIG. 6B is a block diagram illustrating various attenuator configurations in the mechanism to produce vertically-moved fanlets shown in FIG. 6A. As shown in FIG. 6B, a vertical collimator 640 is coupled with a plurality of beam attenuators 630a, 630b, . . . , 630n, which in turn are connected to a plurality of actuators (not shown in FIG. 6B) as shown in FIG. 6A. The vertical collimator 640 projects a fan beam that covers the complete vertical extent of the object being scanned. The plurality of attenuators 630a, 630b, . . . , 630n may be controlled by means of the rods 620 coupled with actuators 610, to move in and out of the projected beam to project X-ray fanlets that move vertically with respect to the object being scanned. In the configuration 650, attenuators 630b, 630c and 630d are moved into the beam to attenuate the beam, while attenuator 630a stays out of the beam to project a fanlet over an upper part of the object being scanned. In the configuration 660 attenuators 630a, 630c and 630d are moved into the beam to attenuate the beam, while attenuator 630b stays out of the beam to project a fanlet over an upper middle part of the object being scanned. In the configuration 670 attenuators 630a, 630b and 630d are moved into the beam to attenuate the beam, while attenuator 630c stays out of the beam to project a fanlet over a lower middle part of the object being scanned. In the configuration 680 attenuators 630a, 630b and 630c are moved into the beam to attenuate the beam, while attenuator 630d stays out of the beam to project a fanlet over a lower part of the object being scanned. Hence, the fanlet is moved to project X-rays over different parts of the object being scanned by moving an attenuator out of the X-ray beam being projected. The movement of the attenuators as described provides vertically moving X-ray fanlets. In various embodiments, the beam attenuators 630a, 630b, . . . , 630n are made of high-density materials such as but not limited to lead or tungsten. In another embodiment X-ray fanlets may be moved vertically with respect to an object being scanned by means of a helical profile aperture formed on a rotating cylinder. FIG. 7 illustrates an exemplary design of a spin-roll chopper being used for moving X-ray fanlets vertically with respect to an object being scanned, in accordance with an alternate embodiment of the present specification. The spin-roll chopper is described in U.S. Pat. No. 9,058,909 B2, which is incorporated herein by reference in its entirety. The rotation of the spin roll/beam chopper provides a vertically moving fanlet of constant size and velocity. Beam chopper 702 is, in one embodiment, fabricated in the form of a cylinder made of a material that highly attenuates X-rays. Beam chopper 702 comprises helical chopper slits 704. The cylindrical shape enables the beam chopper 702 to rotate about a Z-axis 703 and along with the helical apertures 704, create a spin-roll motion, which provides an effective vertically moving aperture 704 that may project a vertically-moving fanlet of X-rays onto an object being scanned. In one embodiment, slits 704 are wide enough to allow a fanlet beam to be projected, as required by the system of present specification. It may be noted that narrow slits would produce a pencil beam and not a fan or fanlet beam. FIG. 8a shows an exemplary mechanism for generating moving fanlets, according to another alternate embodiment of the present specification. Referring to FIG. 8a rotating mechanism 800 comprises a wheel 801 with three slits 802, 803 and 804, which are in the shape of an arc or a partial circle. In one embodiment, the wheel is made of a material highly attenuating for X-rays, such as lead or tungsten. Wheel 801 further comprises a vertical collimator 805. In operation, as the wheel is rotated, the intersection of a slit 802 and the vertical collimator 805 results in the blocking of the radiation from the slit, except for a section 806a that projects a fanlet. In one embodiment, the width of the slit is configured to produce the desired fanlet angular extent. In one embodiment, the rotating frequency of the wheel is determined based on the fanlet width and linac pulsing frequency. The wheel rotation is synchronized with the linac pulsing frequency to generate fanlets with little overlap and cover the cargo extent in one cycle. FIGS. 8b, 8c and 8d are a series of figures illustrating various positions of the wheel to indicate how the fanlets are produced and move to cover the extent of an object being scanned. Referring to FIGS. 8b, 8c and 8d, along with FIG. 8a, position 810 shows the fanlet 806a in the upper most location. When wheel 801 is rotated in a counterclockwise direction, the fanlet 806b moves downwards as shown by position 820 in FIG. 8b. One of ordinary skill in the art would appreciate that the wheel may be rotated in clockwise direction as well. Thus, with further rotation after position 820, the fanlet 806c moves further down as shown in position 830 in FIG. 8c. When the fanlet exits the lowest position, the next slit 803 in the wheel projects the upper fanlet 807. This is shown as position 840 in FIG. 8d. The cycles of rotation are repeated until the complete object is scanned. It may be noted that while the utilization of fanlets for scanning reduce the scatter, but there is still some scatter produced by the cargo interacting with the x-ray beam within the fanlet. Therefore in one embodiment, the system of the present specification measures the scatter with the detectors outside the fanlet and uses this measurement to estimate the scatter in the fanlet. The estimated scatter is then subtracted from the transmission image data to increase contrast of the resultant image. One of ordinary skill in the art would appreciate that even with the increased penetration provided by the embodiments of the present specification, there would be dark alarms that may require manual inspection which is labor intensive. Therefore, in another embodiment, the present specification describes a method for scanning an object that employs a two-step process to further reduce dark alarms. This process is illustrated by means of a flow chart in FIG. 9. Referring to FIG. 9, in the primary scan 901, a truck or cargo container is scanned with a standard fan beam or fanlets of single or multi-energy high-energy radiation, where the transmitted radiation is measured with an array of detectors. In an embodiment, the truck or cargo container is scanned through a complete cycle, wherein a complete cycle is a scan of the vertical extent of the object under inspection using a standard fan beam having an angular range or a plurality of fanlets having a total angular range of a standard fan beam, as described above. Thus, in an embodiment, the fanlet, via collimator mechanics, is translated vertically to cover the angular spread of the object in a complete cycle. A pulsed linac X-ray source and a data acquisition system are synchronized with the moving collimator in such a way that the image of the object is acquired at intervals, where in one cycle the fanlets cover a slice of the object with no gaps and, optionally, a minimal overlap. The image from each fanlet is then combined to produce a slice image. The transmission information is analyzed in step 902 to determine areas of dark alarm. If no areas of dark alarm are found (903), then the transmission image is analyzed to determine the presence of contraband and other items of interest, as shown in 909. If one or more areas of the image are not penetrated by the beam (dark alarm), the areas are subjected to a secondary scan, as shown in step 903. In the secondary scan, a horizontal collimator is adjusted to only cover the vertical extent of the dark area, and suspect areas, if any. This is shown in 904. The container is then repositioned to allow the location of suspect area to be rescanned. In one embodiment, the radiation source is tilted to align with the center of dark area, as shown in 905. In one embodiment, the rescan is preferably performed at a lower speed than the primary scan, such as for example at 1/40th of the standard scanning speed. This is shown in 906. In one embodiment of the system, the source and detectors are mounted on a gantry that allows repositioning the system and scanning any part of the object with a wide range of speeds. Optionally, the source is tilted in such a way that the beam center line is aligned with the center of the dark areas to increase the beam intensity, since the Bremsstrahlung x-rays are more intense. The reduction of the vertical extent by suitably using a collimator prevents scatter from other areas of the container and increases penetration. It may be noted that scatter reduction also helps improving material separation with dual-energy beams as the single-energy images are cleaner from the scatter that distorts the x-ray spectra. The lower scanning speed further allows for improved statistical accuracy and also increases penetration. Thereafter, the scanning system examines the transmission image again to check if there are any more dark alarms, as shown in 907. If more dark alarms are found in the scan image, a rescan is performed again, by repeating the steps 904, 905 and 906. This process continues until all dark alarms are resolved. When there are no more dark alarms, the rescanned sections of the image are integrated into the original image of the object, as shown in 908. This is done, in one embodiment, by replacing the original sections of the image with corresponding rescanned sections. The transmission image is then analyzed to determine the presence of contraband and other items of interest, as shown in 909. Another motivation for the secondary scan, in addition to clear dark alarms, is to clear automated high-Z alarms. It may be noted that the system of present specification uses automated programs to generate alarms when a high Z material is detected. This system and method of automatically generating alarms when a high Z material is detected is described in U.S. patent application Ser. No. 14/104,625, entitled β€œSystems and Methods for Automated, Rapid Detection of High Atomic Number Materials” and filed by the applicant of the present specification, which is incorporated herein by reference in its entirety. It may be noted that the method for automatically detecting high Z materials employs attenuation information from the segmented objects and surrounding background. Therefore, rescanning suspect objects with lower scatter can resolve the alarm, as there is an improved single- and dual-energy contrast to reduce the need for active interrogation. Thus, in one embodiment, the system of present specification employs the rescan approach described above with reference to FIG. 9, to clear automated high Z alarms in a manner similar to clearance of dark alarms. In one embodiment, additional improvement is obtained by another scan performed at a 10-20Β° angle to allow for a different view of the cargo that would have a different set of superimposing objects. One of ordinary skill in the art would appreciate that the requirement of confirming an alarm in all stages of scan would result in an even lower false-alarm rate. Those skilled in the art would also appreciate that secondary inspection may be applied not only to high Z materials, but may be extended to other objects of interest as well, such as suspected contraband including explosives, firearms, drugs, etc. In one embodiment, the X-ray source may be replaced with a neutron source. It may be noted that when the x-ray source is replaced with a neutron source, the detectors are replaced with neutron detectors and the collimators are replaced with neutron-attenuating materials instead of lead. However, the operation of the system remains the same. In the description and claims of the application, each of the words β€œcomprise” β€œinclude” and β€œhave”, and forms thereof, are not necessarily limited to members in a list with which the words may be associated. The above examples are merely illustrative of the many applications of the system and method of present specification. Although only a few embodiments of the present specification have been described herein, it should be understood that the present specification might be embodied in many other specific forms without departing from the spirit or scope of the specification. Therefore, the present examples and embodiments are to be considered as illustrative and not restrictive, and the specification may be modified within the scope of the appended claims.
description
The present application is a U.S. national stage application under 3.5 U.S.C. Β§371 of PCT Application No. PCT/US2013/038289, filed on Apr. 25, 2013, which in turn claims the benefit of U.S. Provisional Patent Application Ser. No. 61/638,257, filed Apr. 25, 2012, the entireties of which are incorporated herein by reference. The present invention relates nuclear steam supply systems, and more particularly to a steam supply system for a small modular reactor having a natural gravity driven coolant flow circulation system. Pressurized water reactors (PWRs) for nuclear power generation facilities utilize both pumped and natural circulation of the primary coolant to both cool the reactor core and heat the secondary coolant to produce steam which may be working fluid for a Rankine power generation cycle. The existing natural circulation PWRs suffer from the drawback that the heat exchange equipment is integrated with and located within the reactor pressure vessel. Such an arrangement not only makes the heat exchange equipment difficult to repair and/or service, but also subjects the equipment to corrosive conditions and results in increased complexity and a potential increase in the number of penetrations into the reactor pressure vessel. In addition, locating the heat exchange equipment within the reactor pressure vessel creates problems with respect to radiation levels encountered for crews to repair the heat exchange equipment in proximity to the radioactively hot components of the reactor vessel. The general view has also been that the heat exchangers should be located in the reactor vessel to achieve natural circulation in those systems which may utilize this type of flow circulation. An improved nuclear steam supply system is desired. The present invention provides an improved nuclear supply system that overcomes the deficiencies of the foregoing existing arrangements. According to one embodiment of the present invention, a nuclear steam supply system with natural gravity-driven coolant circulation includes a vertically elongated reactor vessel comprising a shell forming an internal cavity, a reactor core comprising nuclear fuel disposed within the internal cavity, a steam generating vessel comprising a shell and a plurality of heat exchanger sections disposed in a vertically stacked relationship, the steam generating vessel shell being formed separately from the reactor vessel shell and fluidly connected together via a fluid coupling, and a closed-loop primary coolant system formed between the reactor vessel and the steam generating vessel, the primary coolant system having a primary coolant flowing through the reactor pressure vessel to cool the reactor core and through the steam generating vessel to transfer heat to a secondary coolant flowing through the steam generating vessel. The primary coolant system is configured to induce gravity driven circulation of the primary coolant through the reactor vessel and steam generating vessel. The secondary coolant flows vertically through each of the heat exchanger sections of the steam generating vessel and changes phase from liquid to steam. Accordingly, in one embodiment, the primary coolant system does not include a pump. Operation of the reactor core heats the primary coolant to a degree sufficient to cause natural circulation of the primary coolant through the closed-loop primary coolant system between the reactor vessel and the steam generating vessel. According to another embodiment, a nuclear steam supply system with natural gravity-driven coolant circulation includes a vertically elongated reactor vessel having a first vertical axis and comprising a shell forming an internal cavity, a vertical riser and a downcomer disposed in the cavity of the reactor vessel for conducting a flowing fluid, the downcomer being in fluid communication with the vertical riser, a reactor core comprising nuclear fuel disposed within the internal cavity of the reactor vessel, a vertically elongated steam generating vessel having a second vertical axis and a cylindrical shell including a plurality of heat exchanger sections fluidly connected in a vertically stacked relationship, the steam generating vessel shell being formed separately from the reactor vessel shell and fluidly connected together via a fluid coupling, and a reactor primary coolant system having a gravity-driven closed flow loop with a primary coolant flowing through the downcomer and vertical riser of the reactor vessel for heating by the reactor core, the primary coolant further flowing through the heat exchanger sections of the steam generating vessel to transfer heat to a secondary coolant flowing through the steam generating vessel. The secondary coolant flows vertically through the heat exchanger sections of the steam generating vessel and changes phase from liquid to steam. The first vertical axis of the reactor vessel is laterally offset from the second vertical axis of the steam generating vessel. An exemplary method for storing radioactive waste using a container system is provided. The method includes the steps of: heating a liquid primary coolant in a nuclear reactor core disposed in a reactor vessel; flowing the heated primary coolant upwards through the reactor vessel in an first vertical direction inside a vertical riser that is fluidly connected to the reactor core; discharging the heated primary coolant from a top portion of the reactor vessel through an outlet; receiving the heated primary coolant in a bottom portion of the steam generating vessel through an inlet; flowing the heated primary coolant upwards through the steam generating vessel in the first vertical direction inside a vertical riser pipe; receiving the heated primary coolant at a top of the vessel; vertically reversing the direction of the heated primary coolant flow from upwards in the first vertical direction to downwards in a second vertical direction; flowing the heated primary coolant downwards through the steam generating vessel in the second vertical direction, wherein the primary coolant becomes cooled; and returning the cooled primary coolant to the reactor vessel; and repeating the heating step in the reactor vessel; wherein the flow of the primary coolant through the reactor vessel and steam generating vessel forms a closed circulation flow loop. In one embodiment, the method further includes flowing a secondary coolant upwards through the steam generating vessel parallel to the first and second vertical directions of the primary coolant. In one embodiment, the method further includes the second coolant entering a bottom portion of the steam generating vessel as a saturated liquid and leaving a top portion of the steam generating vessel as a superheated vapor. Advantages and aspects of the present invention include the following: Core deep underground: The reactor core resides deep underground in a thick-walled Reactor Vessel (RV) made of an ASME Code material that has decades of proven efficacy in maintaining reactor integrity in large PWR and BWR reactors. All surfaces wetted by the reactor coolant are made of stainless steel or Inconel, which eliminates a major source of corrosion and crud accumulation in the RV. Gravity-driven circulation of the reactor coolant: The nuclear steam supply system according to the present disclosure does not rely on any active components (viz., a Reactor Coolant pump) for circulating the reactor coolant through the core. Instead, the flow of the reactor coolant through the RV, the steam generator heat exchangers, and other miscellaneous equipment occurs by the pressure head created by density differences in the flowing water between the hot and cold segments of the primary loop. The reliability of gravity as a motive force underpins its inherent safety. The movement of the reactor coolant requires no pumps, valves, or moving machinery of any kind. Black-start capable (no reliance on off-site power): Off-site power is not essential for starting up or shutting down the nuclear steam supply system. The rejection of reactor residual heat during the shutdown also occurs by gravity-driven circulation. Thus, the need for an emergency shutdown power supply at the siteβ€”a major concern for nuclear plantsβ€”is eliminated. Indeed, the nuclear steam supply system uses gravity (and only gravity) as the motive force to meet its operational imperatives under both normal and accident conditions. Assurance of a large inventory of water around and over the reactor core: The present nuclear steam supply system reactor vessel (RV) has no penetrations except at its very top, which means that the core will remain submerged in a large inventory of water even under the hypothetical postulated event under which all normal heat rejection paths are lost. No large penetrations in the Reactor Vessel (RV): All penetrations in the RV are located in the top region of the RV and are small in size. The absence of large piping in the reactor coolant system precludes the potential of a β€œlarge break” Loss of Coolant Accident (LOCA) event. Easy accessibility to all critical components: In contrast to the so-called β€œintegral” reactor systems, the steam generator and the control rod drive system are located outside the RV at a level that facilitates easy access, making their preventive maintenance and repair a conveniently executed activity. The steam generator consists of a single loop that includes in some embodiments a preheater, steam generator, and a superheater topped off by a pressurizer. The heat exchangers in the loop, namely the preheater, the steam generator, and the superheater have built-in design features to conveniently access and plug tubes such as appropriate placed manholes that provide access to the heat exchanger tube sheets and/or tube bundles. The decision to deploy the heat exchange equipment outside of the harsh environment of the reactor cavity in the nuclear steam supply system has been informed by the poor reliability of PWR steam generators over the past 3 decades and the colossal costs borne by the industry to replace them. The RV flange features a reverse joint to minimize its projection beyond the perimeter of the RV cylinder. This design innovation makes it possible to connect the Stack directly to the RV nozzleβ€”gorging to forging connectionβ€”eliminating any piping run between them. This design features eliminates the risk of a large pipe break LOCA. Demineralized water as the reactor coolant: The reactor coolant is demineralized water, which promotes critical safety because of its strong negative reactivity gradient with rise in temperature. Elimination of borated water also simplifies the nuclear steam supply system (NSSS) by eliminating the systems and equipment needed to maintain and control boron levels in the primary coolant. Pure water and a corrosion-resistant primary coolant loop help minimize crud buildup in the RV. Improved steam cycle reliability: The reliability of the steam cycle is improved by dispensing with the high pressure turbine altogether. Rather, the cycle steam is superheated before it is delivered to the low pressure turbine. The loss in the Rankine efficiency is less than 0.5 percent; the rewards in terms of enhanced reliability and simplification of the power cycle are quite substantial. Pressure Control: The pressurizer contains a conventional heating/quenching element (water/steam for pressure control). A bank of electric heaters are installed in the pressurizer section which serve to increase the pressure by boiling some of the primary coolant and creating a steam bubble that resides at the top of the pressurizer near the head. A spray column is located near the top head of the pressurizer which sprays water into the steam bubble thereby condensing the steam and reducing the steam bubble. The increase/decrease in size of the steam bubble serves to increase/decrease the pressure of the primary coolant inside the reactor coolant system. In one exemplary embodiment, the primary coolant pressure maintained by the pressurizer may be without limitation about 2,250 psi. In alternative embodiments, a nitrogen type pressurizer system may be used. In this embodiment, the pressurizer serves to control the pressure in the reactor vessel by the application of controlled nitrogen pressure from external high pressure nitrogen tanks fluidly coupled to the pressurizer. Nitrogen pressure controlled reactors have been used in other reactor types and have years of successful operating experience with a quick response profile. Preventing fuel failures in the reactor: Over 70 percent of all fuel failures in operation are known to occur from fretting (erosion from repetitive impact) damage, which is the result of β€œpinging” of the fuel rods by the grid straps. The vibration of the grid straps is directly related to the level of turbulence around the fuel. In the present nuclear steam supply system, the Reynolds number is approximately 20 percent of that in a typical operating PWR today. A lower Reynolds number translates into an enfeebled pinging action (erosion rate varies approximately as 4.8 power of velocity of impact!) on the rods and thus a drastically reduced fretting damage rate. Lower burn-up levels selected for present nuclear steam supply system (in the 45 GWD per MTU range) in comparison to around 60 in the presently operating reactors) will also help ameliorate embrittlement of the fuel cladding and thus prevent rod wastage. Increased Self-shielding: The gravity-driven circulation of the primary fluid in the present nuclear steam supply system (NSSS) accrues another significant dividend in the form of a dramatically reduced radiation dose emanating from the NSSS. This is because the Nitrogen (N-16) isotope, produced by the neutron bombardment of oxygen in the reactor water in the core, generates high gamma energy emitting N-16 isotope which is largely responsible for the radiation emanating from the Containment. N-16, however, has a half-life of only 7.4 seconds which is less than one-fourth of the time needed for the primary water to travel to the top of the steam generators. Therefore, the quantity of N-16 is attenuated by over 7 half-lives, which means it is in effect depopulated down to minuscule values. Scoping calculations suggest that the radiation dose from the top of the steam generator in the NSSS can be 3 or more orders of magnitude less than that in a pumped-water PWR of a similar size. Thus, it is not necessary to build a thick concrete containment for present NSSS for radiation shielding. In lieu of building and in situ reinforced concrete containment, a shop fabricated steel containment capable of withstanding a crashing airplane is deployed which is more suitable, and more economical. All drawings are schematic and not necessarily to scale. The features and benefits of the invention are illustrated and described herein by reference to exemplary embodiments. This description of exemplary embodiments is intended to be read in connection with the accompanying drawings, which are to be considered part of the entire written description. In the description of embodiments disclosed herein, any reference to direction or orientation is merely intended for convenience of description and is not intended in any way to limit the scope of the present invention. Relative terms such as β€œlower,” β€œupper,” β€œhorizontal,” β€œvertical,”, β€œabove,” β€œbelow,” β€œup,” β€œdown,” β€œtop” and β€œbottom” as well as derivative thereof (e.g., β€œhorizontally,” β€œdownwardly,” β€œupwardly,” etc.) should be construed to refer to the orientation as then described or as shown in the drawing under discussion. These relative terms are for convenience of description only and do not require that the apparatus be constructed or operated in a particular orientation. Terms such as β€œattached,” β€œaffixed,” β€œconnected,” β€œcoupled,” β€œinterconnected,” and similar refer to a relationship wherein structures are secured or attached to one another either directly or indirectly through intervening structures, as well as both movable or rigid attachments or relationships, unless expressly described otherwise. Accordingly, the disclosure expressly should not be limited to such exemplary embodiments illustrating some possible non-limiting combination of features that may exist alone or in other combinations of features. Referring to FIGS. 1-6, a steam supply system for a nuclear pressurized water reactor (PWR) according to the present disclosure is shown. From the thermal-hydraulic standpoint, the system includes a steam generator assembly 100 generally including a reactor vessel 200 and a steam generating vessel 300 fluidly coupled to the reactor vessel. The steam generating vessel and reactor vessel are vertically elongated and separate components which hydraulically are closely coupled, but discrete vessels in themselves that are thermally isolated except for the exchange of primary loop coolant (i.e. reactor coolant) flowing between the vessels. As further described herein, the steam generating vessel 300 in one embodiment includes a preheater 320, main steam generator 330, and a superheater 350 which converts a fluid such as water flowing in a secondary coolant loop from a liquid entering the steam generating vessel 300 at inlet 301 to superheated steam leaving the steam generating vessel at outlet 302. The secondary coolant loop water may be a Rankine cycle fluid used to drive a turbine-generator set for producing electric power in some embodiments. The steam generating vessel 300 further includes a pressurizer 380 which maintains a predetermined pressure of the primary coolant fluid. The pressurizer is a pressure vessel mounted atop the steam generating vessel 300 and engineered to maintain a liquid/gas interface (i.e. primary coolant water/inert gas) that operates to enable control of the primary coolant pressure in the steam generator. In one embodiment, as shown, pressurizer 380 may be mounted directly on top of the steam generating vessel 300 and forms an integral unitary structural part of the vessel to hydraulically close the vessel at the top end. The assemblage of the foregoing three heat exchangers and the pressurizer may be referred to as a β€œstack.” Referring to FIG. 1, reactor vessel 200 and steam generating vessel 300 are housed in a steam generator containment vessel 110. Containment vessel 110 may be formed of a suitable shop-fabricated steel comprised of a top 111, bottom 112, and cylindrical sidewall 113 extending therebetween. In some embodiments, portions of the containment vessel which may be located above ground level may be made of ductile ribbed steel to help withstand aircraft impact. A missile shield 117 which is spaced above the top 111 of the containment vessel 110 may be provided as part of the containment vessel or a separate containment enclosure structure (not shown) which encloses the containment vessel 110. A horizontal partition wall 114 divides the containment vessel into an upper portion 114a and a lower portion 114b. Partition wall 114 defines a floor in the containment vessel. In one embodiment, a majority of reactor vessel 200 may be disposed in lower portion 114b and steam generating vessel 300 may be disposed in upper portion 114a as shown. In various embodiments, the containment vessel 110 may be mounted above ground, partially below ground, or completely below ground. In certain embodiments, the containment vessel 110 may be positioned so that at least part or all of lower portion 114b that contains the nuclear fuel reactor core (e.g. fuel cartridge 230) is located below ground level. In one embodiment, the entire reactor vessel 200 and a portion of the steam generating vessel 300 are located entirely below ground level for maximum security. The cylindrical shell or sidewall 113 of containment vessel 110 may be horizontally split into an upper section and lower section which are joined together by a circumferential welded or bolted flanged joint 119 as shown in FIG. 1 to provide a demarcation for portions of the containment vessel which are located above and below ground level. In other embodiments, the upper and lower sections may be welded together without use of a flange. In one embodiment, for example without limitation, the containment vessel 110 may have a representative height of approximately 200 feet or more for a 160 MW (megawatt) modular nuclear electric generation facility. A non-limiting representative diameter for this power generation facility is about 45 feet. Any suitable height and diameter for the containment vessel may be provided depending on system component configuration and dimensions. Containment vessel 110 further includes a wet reactor well 115 defined in one embodiment by a cylindrical circumscribing walled enclosure 116 which is flooded with water to provide enhanced radiation shielding and a back-up reserve of readily accessible coolant for the reactor core. In one embodiment, the walled enclosure 116 may be formed of stainless steel cylindrical walls which extend circumferentially around the reactor vessel 200 as shown. Other suitable materials may be used to construct enclosure 116. The wet reactor well 115 is disposed in the lower portion 114b of the containment vessel 110. Lower portion 114b may further include a flooded (i.e. water) used fuel pool 118 adjacent to the enclosure 116. In one embodiment, as shown in FIG. 1, both the used fuel pool 118 and walled enclosure 116 are disposed below horizontal partition wall 114 as shown in FIG. 1. In one embodiment, as shown in FIG. 1, the walled enclosure 116 may extend above partition wall 114 and the inlet/outlet nozzle connection between the reactor and steam generating vessels may be made by a penetration through the walled enclosure. As further shown in FIG. 1, both the reactor vessel 200 and steam generating vessel 300 preferably may be vertically oriented as shown to reduce the footprint and diameter of the containment vessel 110. The containment vessel 110 has a diameter large enough to house both the reactor vessel, steam generating vessel, and any other appurtenances. The containment vessel 110 preferably has a height large enough to completely house the reactor vessel and steam generating vessel to provide a fully contained steam generator with exception of the water and steam inlet and outlet penetrations for second coolant loop fluid flow associated with the Rankine cycle for driving the turbine-generator set for producing electric power. FIG. 2 shows the flow or circulation of primary coolant (e.g. water) in the primary coolant loop. In one embodiment, the primary coolant flow is gravity-driven relying on the change in temperature and corresponding density of the coolant as it is heated in the reactor vessel 200, and then cooled in the steam generating vessel 300 as heat is transferred to the secondary coolant loop of the Rankine cycle which drives the turbine-generator (T-G) set. The pressure head created by the changing different densities of the coolant (i.e. hotβ€”lower density and coldβ€”higher density) induces flow or circulation through the reactor vessel-steam generating vessel system as shown by the directional flow arrows. Advantage, the gravity-driven primary coolant circulation requires no coolant pumps or machinery thereby resulting in cost (capital, operating, and maintenance) savings, reduced system power consumption thereby increasing energy conversion efficiency of the PWR system, in addition to other advantages as described herein. Reactor vessel 200 may be similar to the reactor vessel with gravity-driven circulation system disclosed in commonly-owned U.S. patent application Ser. No. 13/577,163 filed Aug. 3, 2012, which is incorporated herein by reference in its entirety. Referring to FIG. 3, reactor vessel 200 in one non-limiting embodiment is an ASME code Section III, Class 1 thick-walled cylindrical pressure vessel comprised of a cylindrical sidewall shell 201 with an integrally welded hemispherical bottom head 203 and a removable hemispherical top head 202. Shell 201 defines an internal cavity 208 configured for holding the reactor core, reactor shroud, and other appurtenances as described herein. In one embodiment, the upper extremity of the reactor vessel shell 201 may be equipped with a tapered hub flange 204 (also known as β€œwelding neck” flange in the art) which is bolted to a similar flange 205 welded to the top head 202. The top head 202 in one embodiment is fastened to the β€œtop flange” (which is also butt welded to the cylindrical reactor vessel shell) via a set of alloy bolts (not shown) pre-tensioned to establish a high integrity double gasket seal under all operation modes. The bolted connection of the top head 202 provides ready access to the reactor vessel internals such as the reactor core. Two concentric self-energizing gaskets 206 compressed between the two mating flanges 204, 205 provide leak tightness of the reactor vessel 200 at the connection between the top head 202 and shell 201. The leak tightness under operating conditions is assured by an axisymmetric heating of the flanged joint that is provided by the fluid flow arrangement of the primary coolant in the system, as further described herein. The top head 202 contains the vertical penetrations 207 for insertion of the control rods and further may serve as a base for mounting the associated control rod drives, both of which are not depicted but well known in the art without further elaboration. With continuing reference to FIG. 3, the reactor vessel 200 includes a cylindrical reactor shroud 220 which contains the reactor core defined by fuel cartridge 230. Reactor shroud 220 transversely divides the shell portion of the reactor vessel into two concentrically arranged spaces: (1) an outer annulus 221 defining an annular downcomer 222 for primary coolant entering the reactor vessel which is formed between the outer surface of the reactor shroud and the inner surface of the shell 201; and (2) a passageway 223 defining a riser column 224 for the primary coolant leaving the reactor vessel heated by fission in the reactor core. The reactor shroud 220 is elongated and extends in an axial direction along vertical axis VA1 of the reactor vessel which defines a height and includes an open bottom 225 and a closed top 226. In one embodiment, top 226 may be closed by a top flow isolation plate 227 which directs primary coolant flowing up the riser column 224 to the steam generating vessel 300, as further described herein. In one embodiment, the bottom 225 of reactor shroud 220 is vertically spaced apart by a distance the bottom head 203 of reactor vessel 200 and defines a bottom flow plenum 228. Bottom flow plenum 228 collects primary coolant from annular downcomer 222 and directs the coolant flow into the inlet of the riser column 224 formed by the open bottom 225 of reactor shroud 220 (see, e.g. FIG. 2). Both the fuel cartridge 230 and reactor shroud 220 are supported by a core support structure (β€œCSS”), which in one embodiment includes a plurality of lateral support members 250 that span between and are attached to the reactor shroud and the shell 201 of the reactor vessel 200. A suitable number of supports members space both circumferentially and vertically apart are provided as needed to support the combined weight of the fuel cartridge 230 and reactor shroud 220. In one embodiment, the bottom of the reactor shroud 220 is not attached to the reactor vessel 200 to allow the shroud to grow thermally in a vertical axial direction (i.e. parallel to vertical axis VA1) without undue constraint. The reactor shroud 220 is a double-walled cylinder in one embodiment which may be made of a corrosion resistant material, such as without limitation stainless steel. This double-wall construction of reactor shroud 220 forms an insulated structure designed to retard the flow of heat across it and forms a smooth vertical riser column 224 for upward flow of the primary coolant (i.e. water) heated by the fission in the fuel cartridge 230 (β€œcore”), which is preferably located at the bottom extremity of the shroud in one embodiment as shown in FIGS. 1-3. The vertical space above the fuel cartridge 230 in the reactor shroud 220 may also contain interconnected control rod segments along with a set of β€œnon-segmental baffles” that serve to protect them from flow induced vibration during reactor operations. The reactor shroud 220 is laterally supported by the reactor vessel by support members 250 to prevent damage from mechanical vibrations that may induce failure from metal fatigue. Fuel cartridge 230 in one embodiment is a unitary autonomous structure containing upright fuel assemblies, and is situated in a region of the reactor vessel 200 that is spaced above bottom head 203 so that a relatively deep plenum of water lies underneath the fuel cartridge. The fuel cartridge 230 is insulated by reactor shroud 220 so that a majority of the heat generated by the fission reaction in the nuclear fuel core is used in heating the primary coolant flowing through the fuel cartridge and adjoining upper portions of the riser column 224. Fuel cartridge 230 is an open cylindrical structure including cylindrically shaped sidewalk 231, open top 233, and open bottom 234 to allow the primary coolant to flow upward completely through the cartridge (see directional flow arrows). In one embodiment, the sidewalls 231 may be formed by multiple arcuate segments of reflectors which are joined together by suitable means. The open interior of the fuel cartridge 230 is filled with a support grid 232 for holding the nuclear fuel rods and for insertion of control rods into the core to control the fission reaction as needed. Briefly, in operation, the hot reactor primary coolant exits the reactor vessel 200 through a low flow resistance outlet nozzle 271 to be cooled in the adjacent steam generating vessel 300, as shown in FIGS. 2 and 3. The cooled reactor primary coolant leaves the steam generating vessel 300 and enters the reactor vessel 200 through the inlet nozzle 270. The internal plumbing and arrangement in the reactor vessel directs the cooled reactor coolant down through to the annular downcomer 222. The height of the reactor vessel 200 is preferably selected to support an adequate level of turbulence in the recirculating reactor primary coolant by virtue of the density differences in the hot and cold water columns which is commonly known as the thermo-siphon action (density difference driven flow) actuated by gravity. In one embodiment, the circulation of the reactor primary coolant is driven by over 8 psi pressure generated by the thermo-siphon action, which has been determined to ensure (with adequate margin) a thoroughly turbulent flow and stable hydraulic performance. Referring to FIGS. 1 and 3, the top of the reactor vessel shell 201 is welded to a massive upper support forging which may be referred to as a reactor support flange 280. Support flange 280 supports the weight of the reactor vessel 200 and internal components above the wet reactor well 115. In one embodiment, the support flange is structurally stiffened and reinforced by a plurality of lugs 281 which are spaced circumferentially apart around the reactor vessel and welded to both the reactor vessel and flange, as shown. Support flange contacts and engages horizontal partition wall 114 which transfers the dead weight of the reactor vessel 200 to the containment vessel 110. The reactor vessel's radial and axial thermal expansion (i.e. a majority of growth being primarily downwards from horizontal partition wall 114) as the reactor heats up during operation is unconstrained. However, the portion of containment vessel 110 which projects above partition wall 114 is free to grow upwards in unison with the upwards growth of the steam generating vessel 30 to minimize axial differential expansion between the steam generating vessel and reactor vessel. Because the reactor vessel and steam generating vessel are configured and structured to thermally grow in height at substantially the same rate when heated, this arrangement helps minimize potential thermal expansions stress in the primary coolant fluid coupling 273 between the reactor vessel and steam generating vessel. The support flange 280 is spaced vertically downwards on reactor vessel shell 201 by a distance from top head 202 of reactor vessel 200 sufficient to allow a fluid connection to be made to the steam generating vessel 300 which is above partition wall 114, as shown in FIGS. 1 and 2. When the reactor vessel 200 is mounted inside containment vessel 110, top head 202 of the reactor vessel and primary coolant fluid coupling 273 (collectively formed by combined inlet-outlet flow nozzle 270/271 and inlet-outlet flow nozzle 371/370 of steam generating vessel 300, shown in FIG. 4) are located above reactor well 115. This provides a location for connection to the steam generator headers and for the engineered safety systems (e.g. control rods, etc.) to deal with various postulated accident scenarios. A majority of the reactor vessel shell 201, however, may be disposed below partition wall 114 and immersed in the wet reactor well 115 as shown in FIG. 1. The bottom region of the reactor vessel 200 is restrained by a lateral seismic restraint system 260 (shown schematically in FIG. 1) that spans the space between the reactor shell 201 and the reactor well 115 inside surface of the cylindrical enclosure 116 to withstand seismic events. The seismic restraint design is configured to allow for free axial (i.e. longitudinal along vertical axis VA1) and diametrical thermal expansion of the reactor vessel 200. The reactor well 115 is flooded during power operations to provide defense-in-depth against a (hypothetical, non-mechanistic) accident that is assumed to produce a rapid rise in the enthalpy of the reactor's contents. Because the reactor is designed to prevent loss of core water by leaks or breaks and the reactor well is flooded, burn-through of the reactor vessel by molten fuel (corium) is not likely. Referring to FIGS. 3 and 4, the combined inlet-outlet flow nozzle 270/271 is comprised of two concentric hollow forgings including an outer inlet nozzle 270 and inner outlet nozzle 271. The outlet nozzle 271 has one end welded to the reactor shroud 220 (internal to the reactor vessel shell 201) and an opposite end welded to the inlet nozzle 371 of the steam generating vessel 300. The inlet nozzle 270 has one end welded to the reactor vessel shell 201 and an opposite end welded to outlet nozzle 370 of the steam generating vessel 300. The flow isolation plate 227 helps ensure that the hot primary coolant water exiting the reactor vessel cannot flow back into the annulus 221. In the present embodiment, the outlet nozzle 271 of the reactor vessel and inlet nozzle 371 of the steam generating vessel each have a smaller diameter than the inlet nozzle 270 of the reactor vessel and outlet nozzle 370 of the steam generating vessel. The combined inlet-outlet flow nozzle 270/271 is located above partition wall 114 of the containment vessel 110. The inlet nozzle 371 and outlet nozzle 370 of the steam generating vessel 300 collectively define a mating concentrically arranged combined inlet/outlet nozzle 371/370 for the steam generating vessel. In order to avoid long loops of large piping in the reactor primary coolant system which creates the potential for a β€œlarge break” Loss of Coolant Accident (LOCA) event, both the combined inlet-outlet flow nozzle 270/271 of the reactor vessel 200 and combined inlet/outlet nozzle 371/370 for the steam generating vessel are intentionally very closely coupled to the shells of their respective vessels having a minimal radial projection beyond the shells. This permits the reactor vessel 200 to be directly coupled to the steam generating vessel 300 via the inlet/outlet nozzles as shown in FIGS. 1 and 2. As shown in FIG. 3, the combined inlet-outlet flow nozzle 270/271 of the reactor vessel preferably protrudes radially beyond shell 201 by a distance that is no more than the radial projection of the support flange 280. The total length of the inlet/outlet nozzle connection between the reactor vessel 200 and steam generating vessel 300 in certain embodiment is less than or equal to the diameter of the reactor vessel 200, and/or the steam generating vessel 300 to eliminate long runs of large coolant piping between the reactor and steam generating vessels. In one embodiment, the nozzle connections between the reactor vessel 200 and steam generating vessel 300 is straight without any elbows or bends. The steam generating vessel 300 will now be described in additional detail. Referring to FIGS. 1-5, the steam generating vessel 300 in one embodiment may be an elongated, vertically oriented structure having a cylindrical shell 312 which defines a vertical axis VA2. In one embodiment, the vertical axis VA2 of the steam generating vessel is horizontally offset from the vertical axis VA2 of the reactor vessel 200 so that the steam generating vessel is arranged laterally adjacent to the reactor vessel. In one embodiment, the steam generating vessel 300 has a height which is at least as high as the height of the reactor vessel 200. The steam generating vessel contains, and supports from bottom up, the preheater 320, main steam generator 330, superheater 350, and pressurizer 380. In one embodiment, the preheater 320 is formed by a bottom portion of the steam generator section 330 and therefore does not include separate tubesheets. In certain arrangements and configurations of the steam generator assembly 100, the preheater 320 may be omitted depending on the thermo-hydraulic design of the system. In one embodiment, the steam generating vessel 200 includes a gusseted support flange 400 which may be similar to reactor vessel support 280 described herein. The steam generating vessel may be welded to shell 312 and supported as shown in FIG. 1 from partition wall 114. The preheater 320, steam generator 330, and superheater 350 are tubular heat exchangers each having a plurality of parallel straight tubes 332 (i.e. tube bundle) with tubesheets 333 disposed at the extremities or ends of each tube bundle that support the tubes. In one embodiment, the preheater 320, steam generator 330, and superheater 350 are positioned to form a parallel counter-flow type heat exchanger arrangement in which the secondary coolant (Rankine cycle) flows in an opposite, but parallel direction to the reactor primary coolant (see, e.g. FIGS. 4 and 5). The trio of the foregoing tubular heat exchangers (i.e. preheater, steam generator, and superheater) are hydraulically connected in series on both the tube side (reactor primary coolant) and the shellside (the secondary coolant forming the working fluid of the Rankine Cycle which changes phase from liquid to superheated gas). Steam generating vessel 300 includes a top 310, bottom 311, an axially extending cylindrical shell 312, and an internal riser pipe 337 which is concentrically aligned with shell 312 and lies on centerline CL2 of the vessel. The tubes 332 are circumferentially arranged around the outside of the riser pipe 337 between the riser pipe and shell 312 in sections of steam generating vessel 300 which include preheater 320, steam generator 330, and superheater 350. In one embodiment, the riser pipe 337 extends completely through all of the tubesheets 333 associated with the preheater 320, steam generator 330, and superheater 350 from the top of the steam generating vessel 300 to the bottom to form a continuous reactor primary coolant flowpath between the reactor vessel 200 and the pressurizer 380. In one embodiment, the steam generating vessel includes an upper superheater section 351 and a lower steam generator section 331 which is detachably mounted to the superheater section such as by a bolted flanged joint 352. This allows the steam generator section 331 (which may include steam generator 330 and preheater 320) and superheater section 351 (which may include the superheater 350 and pressurizer 380) to separately fabricated and shipped to the power plant site for assembly there. Lower steam generator section 331 terminates at the top with an upper flanged channel 334 which is bolted to a lower flanged channel 335 of the upper superheater section 351 to collectively form flanged joint 352 and an intermediate plenum 339 (see FIGS. 4 and 5). The bottom of steam generator section 331 terminates with a bottom head 336b as best shown in FIG. 4). Bottom head 336b defines an annular space between riser pipe 337 and shell 312 which forms a bottom plenum 338 which collects and channels primary coolant from steam generating vessel 300 back to reactor vessel 200. Bottom head 336b forms inlet-outlet flow nozzle 371/370 which is disposed perpendicular to shell 312 and riser pipe 337. Inlet nozzle 371 may be fluidly coupled to the riser pipe 337 by an elbow 371a to change direction of the primary coolant from horizontal to vertical. Upper superheater section 351 terminates at the bottom with lower flanged channel 335, as noted above. The superheater section 351 is topped by pressurizer 380 as shown in FIGS. 2 and 5, which is in fluid communication with both the top or outlet of riser pipe 337 and the inlet to superheater tubes 332. In one embodiment, the pressurizer 380 is mounted directly to the shell 312 of steam generating vessel 300 and forms a top head 336a on the shell. In one embodiment, the pressurizer has a domed or hemispherical head and may be welded to shell 312, or alternatively bolted in other possible embodiments. The pressurizer 380 forms an upper plenum which collects reactor primary coolant rising through riser pipe 337 and distributes the primary coolant to the superheater tubes 332. The pressurizer 380 includes a heating/quenching element 381 (i.e. water/steam) for pressure control of the reactor primary coolant. Shown schematically in FIGS. 2 and 5, element 381 is comprised of a bank of electric heaters 383 which are installed in the pressurizer section that serve to increase the pressure by boiling some of the primary coolant and creating a steam bubble that resides at the top of the pressurizer near the head (above the liquid/gas interface 340 represented by the dashed line). A water spray column 384 is located near the top head 336a of the pressurizer which sprays water into the steam bubble thereby condensing the steam and reducing the size of the steam bubble. The increase/decrease in size of the steam bubble serves to increase/decrease the pressure of the primary coolant inside the reactor coolant system. In one exemplary embodiment, a representative primary coolant pressure maintained by the pressurizer 380 and heating/quenching element 381 may be without limitation about 2,250 psi. In alternative embodiments, as noted above, liquid/gas interface 340 is formed between an inert gas, such as nitrogen (N2) supplied by supply tanks (not shown connected to the pressurizer 380, and the liquid primary coolant. The pressurizer 380 may be connected to the top of superheater section 351 above the uppermost or inlet tubesheet 333 shown in FIG. 5 by any suitable means, including a welded connection as shown or alternatively bolted connection in some embodiments. In one embodiment, as shown in FIGS. 2 and 5, the pressurizer 380 may have a domed or hemispherical shaped top or head. In one embodiment, the external surfaces of the superheater tubes 332 may include integral fins to compensate for the reduced heat transfer rates in the gaseous superheated steam media. The superheater tube bundle is protected from erosion (i.e. by tiny water droplets that may remain entrained in the up-flowing steam) by ensuring that the steam flow is counter-flow being parallel along, rather than across, the tubes in the tube bundle. Referring to FIGS. 2 and 4-5, at least one generally U-shaped steam bypass piping loop 303 is provided to route the upflowing steam around the intermediate plenum 339 and by-pass the upper and lower flanged channels 334, 335. Preferably, the steam piping loop 303 is located proximate to the shell of the steam generating vessel 300 and made as short as possible. In one non-limiting embodiment, the steam generating vessel 300 may be made of a corrosion resistant metal such as stainless steel. The flow path of the reactor primary coolant and secondary coolant for the Rankine cycle will now be described. FIGS. 2 and 3 show the reactor primary coolant flowpath of the steam generator assembly 100. FIGS. 4-5 show primarily the secondary coolant flowpath of the Rankine cycle through steam generating vessel 300. Cooled primary coolant (β€œcold”) leaves steam generating vessel 300 through outlet nozzle 370 and enters reactor vessel 200 through outer inlet nozzle 270. The primary coolant flows downwards through annular downcomer 222 enters the bottom of riser column 224. The primary coolant flows upwards through fuel cartridge 230 and is heated by convention and conduction in the fuel core. The now heated or β€œhot” primary coolant exits the reactor vessel 200 through inner outlet nozzle 271 and enters steam generating vessel 300 through inlet nozzle 371. The hot primary coolant flows vertically upwards in riser pipe 337 and is directed to the top of the β€œstack” into pressurizer 380. The hot primary coolant reverses direction and begins the downwards journey through steam generating vessel 200. The hot primary coolant first flows down through the superheater 350 on the tube side of the tube bundle which has saturated steam (secondary coolant) flowing upwards on the shell side from the steam generator 230 below in the stack. The saturated steam becomes superheated and leaves the steam generating vessel 300. The now less hot coolant continues to flow down through the steam generating vessel 300 next proceeding through the steam generator 330 on the tube side. On the shell side, liquid secondary coolant undergoes a phase change and is turned to steam as the primary coolant is further cooled in giving up heat to the secondary coolant. The now further cooled primary coolant flows down through the preheater 320 on the tube side which encounters and preheats the secondary coolant on the shell side of the tube bundle upstream of the steam generator. The now cooled primary coolant has completed the closed flow loop through the steam generating vessel 300 and reactor vessel 200, and re-enters the reactor vessel through inlet nozzle 270. In one embodiment, an exemplary non-limiting reactor vessel β€œhot” outlet temperature may be in a range of about and including 575 to 600 degrees F. An exemplary non-limiting reactor vessel β€œcold” inlet temperature may be in a range of about and including 350 to 385 degrees F. An exemplary reactor vessel operating pressure may be about 2,250 psi (pounds per square inch) which is maintained by pressurizer 380. Other suitable flow temperatures and pressures may be used depending on the heat transfer requirements of the specific application and Rankine cycle side steam production operating parameters. In one embodiment, the reactor vessel primary coolant may be unborated demineralized water. In one exemplary embodiment, the shell 312 of steam generating vessel may be made of steel such as type 508 carbon steel. Tube sheets 333 may be made of the same steel with an Inconel cladding when the tubes 312 are made of Inconel. While the invention has been described and illustrated in sufficient detail that those skilled in this art can readily make and use it, various alternatives, modifications, and improvements should become readily apparent without departing from the spirit and scope of the invention.
abstract
A method for synthesizing lithium-titanium oxide using a solid state method includes: mixing lithium oxide (Li2O) and titanium oxide (TiO2) in a solvent; separating a solid material which includes lithium oxide and titanium oxide from the solvent; drying the solid material separated from the solvent; and performing a heat treatment on the solid material.
053352599
summary
FIELD OF THE INVENTION AND RELATED ART This invention relates to an X-ray exposure apparatus which uses synchrotron radiation light as illumination light. Development of such semiconductor device manufacturing exposure apparatuses of the type that use synchrotron radiation light has advanced. Generally, the synchrotron radiation X-rays have a small divergence angle with respect to the direction (y-axis direction) perpendicular to the orbit plane of a charged particle accumulation ring (light source). To compensate for this, some measures may be required: for example, placing a swingable or fixed convex mirror on the path of light from the light source to a substrate such as a wafer to thereby expand the divergence angle with respect to the y-axis direction. The synchrotron radiation X-rays as expanded by such a mirror in the y-axis direction have an intensity distribution which is uniform in the direction (x-axis direction) perpendicular to the y-axis direction, but which is uneven in the y-axis direction. To compensate for this, it may be necessary to provide a shutter between the mirror and the substrate and to control the moving speed of the shutter. Alternatively, it may be necessary to control the scanning speed of a mask stage or a wafer stage. By these measures, non-uniformness of exposure amount due to the distribution of X-ray intensity may be corrected and non-uniform exposure of the substrate may be prevented. On the other hand, the substrate is placed in a reduced pressure chamber having a reduced pressure ambience of helium gas, for example. The synchrotron radiation X-rays expanded by the mirror go through a beam duct which is maintained under a vacuum of about 10.sup.-9 and, after passing through an X-ray transmission film such as a beryllium thin film, enter the reduced pressure chamber. The amount of X-ray absorption by such an X-ray transmission film is uneven due to non-uniformness in thickness of the film, for example. This causes non-uniform exposure of a substrate. When the tolerance of linewidth error for a fine pattern to be printed by exposure is .+-.2.5%, such non-uniform exposure resulting from the X-ray transmission film should desirably be not more than 1% (while taking into account the non-uniformness in exposure to be caused by a mask, for example, which is also to be irradiated). However, it has been found that, in a case when a beryllium film is used as the X-ray transmission film and when the center wavelength of the synchrotron radiation X-rays expanded by a mirror is 10 angstroms non-uniform exposure of 1% can be caused by even a very small non-uniformness in thickness of about 0.3 micron of the beryllium film. It is however very difficult to reduce the non-uniformness in thickness of the X-ray transmission film such as a beryllium film, to the very small amount as above. As an example, generally a beryllium film can be produced by a rolling method or a vacuum deposition method. With the rolling method, non-uniformness thickness easily occurs in a stripe-like fashion in the rolling direction. As a result, the thickness distribution has an approximately one-dimensional shape, in the direction perpendicular to the rolling direction. With the vacuum deposition method, while the non-uniformness is not as large as by the rolling method, there easily occurs non-uniformness of in thickness due to a vacuum deposition device or during the rolling operation to be executed after the deposition. In many cases, such non-uniformness also appears in a one-dimensional distribution. Usually, a beryllium film of a thickness of 20 microns has a non-uniformness in thickness of about 2-5 microns. Thus, the non-uniformness of exposure resulting from this largely exceeds the aforementioned tolerance. SUMMARY OF THE INVENTION It is accordingly an object of the present invention to provide an improved X-ray exposure apparatus by which non-uniform exposure due to such non-uniform thickness of an X-ray transmission film may be reduced considerably. It is another object of the present invention to provide an improved semiconductor device manufacturing method by which non-uniformness in exposure can be reduced and by which semiconductor devices of a higher degree of integration can be manufactured. These and other objects, features and advantages of the present invention will become more apparent upon a consideration of the following description of the preferred embodiments of the present invention taken in conjunction with the accompanying drawings.
abstract
A spacer for a fuel assembly of a nuclear reactor includes an elongated spring which is held in a mesh in such a way that there is structural freedom with respect to a selection of a shape of the spring and that little spring material is required. Two lateral rims at a top end of the spring are bent around a center of a fuel element out of the mesh in such a way that they protrude through an assembly opening in the web into an adjacent mesh. The two rims approximately form-lockingly encompass an edge of the assembly opening through the use of a profiled section. A lower end of the spring is also correspondingly held on the web through the use of profiled sections on its two rims, which are bent outward. Two springs protruding into adjacent meshes can then also be fastened in the same assembly opening.
claims
1. An illumination system, particularly for microlithography with wavelengths≦193 nm, comprising:a primary light source;a first optical component;a second optical component;an image plane; andan exit pupil,wherein said first optical component transforms said primary light source into a plurality of secondary light sources that are imaged by said second optical component in said exit pupil,wherein said first optical component includes a first optical element having a plurality of first raster elements that are imaged into said image plane producing a plurality of images being superimposed at least partially on a field in said image plane, andwherein at least one of said plurality of first raster elements is less than or equal to about 95% illuminated, and only said plurality of first raster elements that are more than about 95% illuminated are imaged into the image plane. 2. The illumination system according to claim 1,wherein a minimum number of said plurality of first raster elements which are imaged in the image plane is given by a ratio of (a) an intensity variation at the plurality of first raster elements and (b) a scanning integrated uniformity, andwherein said ratio is greater than 75 and less than 300. 3. The illumination system according to claim 1,wherein said plurality of first raster elements are arranged in a two-dimensional array having an area being illuminated, andwherein 90% of said plurality of first raster elements are arranged completely inside said area. 4. The illumination system according to claim 1, wherein a masking unit is situated in front of the first optical element having said plurality of first raster elements. 5. The illumination system according to claim 4,wherein said plurality of first raster elements are arranged in a plurality of rows,wherein each of said plurality of rows includes at least one of said plurality of first raster elements, andwherein at least one of said plurality of rows is displaced relatively to an adjacent row. 6. The illumination system according to claim 1,wherein said plurality of first raster elements deflect a plurality of incoming ray bundles to produce a plurality of deflected ray bundles with first deflection angles, andwherein at least two of said first deflection angles are different from one another. 7. The illumination system according to claim 1,wherein said first optical component further comprises a second optical element having a plurality of second raster elements,wherein each of said plurality of first raster elements corresponds to one of said second raster elements, andwherein each of said plurality of first raster elements deflects one of a plurality of incoming ray bundles to said corresponding one of said plurality of second raster elements. 8. The illumination system according to claim 7, wherein said plurality of second raster elements and said second optical component image said corresponding plurality of first raster elements into said image plane. 9. The illumination system according to claim 7, wherein said plurality of first raster elements which corresponds to one of said plurality of second raster elements are illuminated almost completely. 10. The illumination system according to claim 7, wherein more than 95% of said plurality of first raster elements, which corresponds to one of said plurality of second raster elements, are illuminated. 11. The illumination system according to claim 7, wherein said plurality of second raster elements are concave mirrors. 12. The illumination system according to claim 1, wherein said plurality of first raster elements are rectangular and said rectangular first raster elements have an aspect ratio greater than 5:1. 13. The illumination system according to claim 12, wherein said field is a segment of an annulus, and wherein said second optical component comprises a first field mirror for shaping said field to said segment of said annulus. 14. The illumination system according to claim 13,wherein said first field mirror has negative optical power, andwherein said second optical component comprises a second field mirror with positive optical power. 15. A projection exposure apparatus for microlithography comprising:the illumination system of claim 1;a reticle being located at said image plane;a light-sensitive object on a support system; anda projection objective to image said reticle onto said light-sensitive object. 16. The illumination system according to claim 1,wherein a minimum number of said plurality of first raster elements which are imaged in the image plane is given by a ratio of (a) an intensity variation at the plurality of first raster elements and (b) a scanning integrated uniformity, andwherein said ratio is greater than 100 and less than 300. 17. An illumination system comprising:a primary light source that provides wavelengths≦193 nm;a first optical component;a second optical component;an image plane; andan exit pupil,wherein said first optical component transforms said primary light source into a plurality of secondary light sources that are imaged by said second optical component in said exit pupil,wherein said first optical component includes a first optical element having a plurality of first raster elements that are imaged into said image plane producing a plurality of images being superimposed at least partially on a field in said image plane,wherein said plurality of first raster elements that are imaged into the image plane are more than about 95% illuminated,wherein a minimum number of said plurality of first raster elements which are imaged in the image plane is given by a ratio of (a) an intensity variation at the plurality of first raster elements and (b) a scanning integrated uniformity, andwherein said ratio is greater than 75 and less than 300. 18. An illumination system comprising:a primary light source that provides wavelengths≦193 nm;a first optical component;a second optical component;an image plane; andan exit pupil,wherein said first optical component transforms said primary light source into a plurality of secondary light sources that are imaged by said second optical component in said exit pupil,wherein said first optical component includes a first optical element having a plurality of first raster elements that are imaged into said image plane producing a plurality of images being superimposed at least partially on a field in said image plane,wherein said plurality of first raster elements that are imaged into the image plane are more than about 95% illuminated,wherein said field is a segment of an annulus,wherein said second optical component comprises a first field mirror for shaping said field to said segment of said annulus,wherein said first field mirror has negative optical power, andwherein said second optical component comprises a second field mirror with positive optical power. 19. An illumination system comprising:a primary light source that provides wavelengths≦193 nm;a first optical component;a second optical component;an image plane;an exit pupil,wherein said first optical component transforms said primary light source into a plurality of secondary light sources that are imaged by said second optical component in said exit pupil,wherein said first optical component includes a first optical element having a plurality of first raster elements that are imaged into said image plane producing a plurality of images being superimposed at least partially on a field in said image plane; anda mask to block imaging of a member of said plurality of first raster elements into the image plane if said member is less than about 95% illuminated.
041697588
summary
This invention relates to apparatus for the detection of flaws in a nuclear reactor vessel and more particularly to apparatus wherein the interior surface of the vessel is scanned to detect defects in the walls of the vessel by one or more ultrasonic transducers remotely controlled to follow the contours of the various surfaces of the vessel. One object of the invention is to provide such an apparatus readily adapted to scan reactor vessels of various sizes and configurations. A further object of the invention is to provide such an apparatus wherein the scanning operation can be accomplished at relatively high speeds thereby minimizing the down time of the reactor. Still another object of the invention is to provide such an apparatus which is portable and can be moved from one reactor vessel to another. A further object of the invention is to provide such an apparatus capable of examining the entire reactor vessel by means of a single articulated scanning head. Still another object of the invention is to provide such an apparatus which may be calibrated in real time before, after or at specified intervals during the scanning operation. A further object of the invention is to provide such an apparatus whereby visual examination, by means of closed circuit television, of the internal surfaces of the reactor vessel may be made.
050948040
claims
1. A nuclear fuel element containing fissionable material for use in a high temperature gas reactor having an operating temperature that is higher than 2000.degree. C. and that is higher than the melting point temperature of said fissionable material, comprising, a graphite or carbon member having a plurality of pores therein, a fissionable fuel material disposed within said pores, said pores being effective through capillary forces and surface tension forces with the fissionable fuel material when it is molten liquid to retain and localize said molten liquid material within the pores, and a coating of pyrolytic carbon over substantially the entire outer surface of said member to form a kinetic barrier against melted liquid fissionable material migration, thereby to further localize and stabilize the fissionable material within said pores. 2. An invention as defined in claim 1 wherein the coating of pyrolytic carbon is replaced by a coating of diamond which acts as a kinetic barrier against melted fissionable fuel material migration and further acts as a barrier against reactor moderating gases, such as hydrogen or helium, when the fuel element is exposed to such gases, said latter barrier thus being effective to protect the molten fissionable fuel material from reaction with said moderating gases. 3. An invention as defined in claim 2 wherein said coating of diamond is formed on the outer surface of the porous graphite or carbon member by a controlled vapor deposition process in which hydrogen is present in a concentration greater than 95% of the gas ambient for the deposited film during the vapor deposition step, thereby to minimize the presence of graphite in the deposited diamond coating. 4. An invention is defined in claim 1 including a layer of graphite or carbon deposited over the entire outer surface of said coating of pyrolytic carbon, thereby to provide alternate layers of porous graphite or carbon and pyrolytic carbon, said alternate layers being effective successive kinetic barriers to further localize and stabilize molten fissionable material within said pores. 5. An invention as defined in claim 4 including a diamond coating formed over the entire outer surface of the outermost layer of graphite or carbon to act as a kinetic barrier to both melted fuel migration and reaction of molten fissionable fuel material with reactor moderating gases. 6. An invention as defined in claim 1 wherein said porous graphite or carbon member is generally spherical in configuration and wherein at least some of said pores open to the spherical surface and are greater in length than a radius of the generally spherical configurations of the carbon or graphite member. 7. An invention as defined in claim 1 wherein said fissionable material comprises a composition of uranium, or plutonium carbide. 8. An invention as defined in claim 4 wherein said alternate layers are each between 25 microns and 25 mils thick. 9. An invention as defined in claim 8 wherein said diamond layer is at least 25 microns thick and is in the range of 25 microns to five mils thick. 10. An invention as defined in claim 1 wherein said porous carbon or graphite member comprises an elongated filament. 11. An invention as defined in claim 5 wherein said porous or graphite member comprises a flexible filament. 12. An invention as defined in claim 10 wherein a plurality of said filaments are positioned adjacent to one another to form a bundle, with the juxtaposed surfaces of the filaments providing additional surface barriers that further localize and stabilize molten fissionable fuel material within the respective filaments. 13. An invention as defined in claim 12 wherein said bundle of filaments is encased within a cylinder of pressed graphite particles. 14. An invention as defined in claim 13 wherein at least some of said filaments differ from one another in their types of fissionable fuel material content. 15. An invention as defined in claim 12 wherein each of said filaments is flexible and is adapted to be twisted about another filament to form a bundle of filaments. 16. A method for making a nuclear fuel element for a high temperature gas moderated reactor that has a normal operating temperature of 2000.degree. C., or more, comprising the steps of; a) providing a plurality of porous graphite or carbon members that are adapted to have fissionable material impregnated in the pores thereof, b) impregnating fissionable fuel material within said pores, c) heating said members to cause the fissionable material to melt and react with the carbon wall of said pores, thereby to localize and stabilize the fissionable fuel material within the pores, and d) forming a layer of pyrolytic carbon over the outer surface of said members, thereby to seal the pores and to form a barrier against migration of the melted fissionable material from the pores. a) providing a plurality of porous graphite or carbon members that are adapted to have fissionable fuel material impregnated therein, b) impregnating said members with oxidant and heating the members to increase their porosity by causing a controlled reaction with the oxidant, c) impregnating said members with a solution of fissionable fuel material and solvent and evaporating the solvent to leave fissionable fuel material deposited in pores of the graphite members, d) heating said members to react the fissionable material with the graphite members and to increase porosity of the graphite members, e) re-impregnating said members (one or more times) with a solution of fissionable fuel material and solvent and evaporating the solvent, f) coating the graphite members with a layer of pyrolytic carbon (or diamond) to further localize the fissionable material within the pores, and g) depositing one or more additional layers of pyrolytic carbon or diamond on the outer surface of the graphite or carbon members or on the earlier-applied coatings thereon. 17. A method as defined in claim 16 including the step of forming a layer of diamond over the layer of pyrolytic carbon, thereby to provide a further barrier against migration of the fissionable material from the pores and to form a barrier that prevents the fissionable material from being exposed to moderating gases of an associated gas-moderated reactor, when the fuel elements are used in such a reactor. 18. A method as defined in claim 16 including the step of providing said carbon or graphite members in the form of flexible filaments. 19. A method as defined in claim 17 including the step of forming said diamond layer by using a vapor deposition process in which an ambient gas having a concentration of at least 95% hydrogen is provided over the deposited layer during the deposition process. 20. A method for making a nuclear fuel element for a high temperature gas-moderated reactor that has a normal operating temperature of 2000.degree. C., or more, comprising the steps:
abstract
The present invention is provided for considerably shortening the construction time of a building that is applied to nuclear power plants. When constructing the building, megablocks having a height that extends to a plurality of floors are produced, and together with combining those megablocks, concrete is poured inside them to form a wall member composed of a megawall structure of steel plate reinforced concrete construction. Alternatively, in addition to the wall megablocks, floor megablocks for forming the floor member of the building are used, and together with combining those megablocks, concrete is poured inside or above them to form a structural member (wall member and floor member) composed of a megawall structure of steel plate reinforced concrete construction.
summary
061730270
summary
BACKGROUND OF THE INVENTION The present invention relates to a primary containment vessel particularly of a boiling water reactor. In conventional boiling water reactors (BWR), an advanced boiling water reactor (ABWR) has been well known as a latest reactor. A reinforced concrete primary containment vessel (RCCV) of the ABWR will be described below with reference to the accompanying drawings. FIG. 15 is a schematically sectional view showing a conventional ABWR. As shown in FIG. 15, a primary containment vessel 1 is provided with a reactor pressure vessel 4 which is supported on a support skirt portion 3 by means of a substantially hollow cylindrical reactor pressure vessel (RPV) pedestal 2 at the middle portion in the primary containment vessel. An outer peripheral side of the reactor pressure vessel 4 is surrounded with a hollow cylindrical outer peripheral concrete wall 5. Each lower end portion of the outer peripheral concrete wall 5 and the RPV pedestal 2 is supported on a mat concrete wall 6. The outer peripheral concrete wall 5 and the RPV pedestal 2 are joined together by means of a horizontal wall 7 at the substantially central position in a vertical direction in an illustrated state of the RPV pedestal 2. In the reactor pressure vessel 4, an upper side from the support skirt portion 3 is surrounded by an upper dry well 8 which is a space defined (surrounded) by the outer peripheral concrete wall 5, the horizontal wall 7 and the RPV pedestal 2. On the other hand, in the reactor pressure vessel 4, a lower side from the support skirt portion 3 is surrounded with a lower dry well 9 which is a space defined (surrounded) by the mat concrete wall 6 and the RPV pedestal 2. The lower dry well 9 includes a reactor water recirculation pump and a control rod drive mechanism, which are not shown. Further, the lower dry well 9 is provided with a wet well 10 which is a space surrounded by the outer peripheral concrete wall 5, the mat concrete wall 6, the horizontal wall 7 and the RPV pedestal 2, at the outer peripheral side thereof. The wet well 10 is provided with a suppression pool or chamber 11 in which water is stored, at a half of the lower side. The RPV pedestal 2 is provided with a communicating hole 12. The communicating hole 12 functions as a gas passageway between the upper dry well 8 and the lower dry well 9, and extends from the lower dry well 9 so as to communicate a heat exchanger cooling pipe of a reactor water recirculation pump, an electric cable of the control rod drive mechanism, an air conditioning duct, which are included in the lower dry well 9 and are not shown, with the upper dry well 8. These pipe, electric cable duct and the like are connected to the outside of the primary containment vessel via a penetration member (not shown) from the upper dry well 8. Further, the RPV pedestal 2 is provided with a vertical vent pipe 13, which is opened to the lower dry well 9 side, at a half portion on the lower side thereof. The vertical vent pipe 13 is connected to a plurality of horizontal vent pipes 14 at the lower end side thereof. These horizontal vent pipes 14 are opened to water of the suppression pool 11. The suppression pool 11 is stored with water capable of safely absorbing a thermal energy radiated from the reactor pressure vessel 4 when an assumed accident such as a main steam pipe breakdown accident happens. The primary containment vessel 1 is provided with a passageway which penetrates through the outer peripheral concrete wall 5, the wet well 10 and the RPV pedestal 2, and communicates the outside of the primary containment vessel 1 with the lower dry well 9. The passageway includes an equipment carrying in and out passageway 15 for carrying in and out equipments included in the lower dry well 9, and a personnel passageway 16 for workers for coming in the lower dry well 9 from the outside of the primary containment vessel 1 so that the workers (personnel) do work in the lower dry well 9. These equipment carrying in and out passageway 15 and personnel passageway 16 are provided with a scram pipe of the control rod drive mechanism (not shown) from the lower dry well 9. FIG. 16 is a cross sectional view taken along the line XVI--XVI of FIG. 15. As shown in FIG. 16, the primary containment vessel 1 is provided with the upper dry well 8 inside the outer peripheral concrete wall 5 having an ring shape in its cross section. The upper dry well 8 becomes a state of being filled with an inert gas such as nitrogen in order to prevent an explosion of a fuel assembly when a main steam pipe breakdown accident or the like happens. An inner peripheral side of the outer peripheral concrete wall 5 is provided with the RPV pedestal 2 which is surrounded by the upper dry well 8 and has a ring shape in its cross section. Ten (10), in total, communicating holes 12 are formed along the outer periphery of the RPV pedestal 2. FIG. 17 is a cross sectional view taken along the line XVII--XVII of FIG. 15. As shown in FIG. 17, the primary containment vessel 1 is provided with the wet well 10 inside the outer peripheral concrete wall 5 having an ring shape in its cross section. An inner peripheral side of the outer peripheral concrete wall 5 is provided with the RPV pedestal 2 which is surrounded by the wet well 10 and has a ring shape in its cross section. The RPV pedestal 2 is formed with the totaled ten (10) vertical vent pipes 13 having a circular shape in a cross section thereof. The lower dry well 9 is formed inside of the RPV pedestal 2. Further, the primary containment vessel 1 is provided with an equipment carrying in and out passageway 15 which communicates with the outside of the primary containment vessel 1 and the lower dry well 9 and carries in and out equipments included in the lower dry well 9, and a personnel passageway 16 which is a passageway for coming in the lower dry well 9 so that the workers do work in the lower dry well 9. In the conventional primary containment vessel constructed as described above, in the case where an accident such as a main pipe breakdown accident happens in the upper dry well 8, the upper dry well 8 and the lower dry well 9 communicate with each other, and for this reason, a steam pressure of the upper dry well 8 and the lower dry well 9 rises up. Then, when the steam pressure becomes a predetermined pressure or more, a high pressure steam is jetted into the water stored in the suppression pool 11 via the vertical vent pipe 13 communicating with the lower dry well 9 and the horizontal vent pipes 14. The jetted high pressure steam is condensed by the water stored in the suppression pool 11, so that an atmospheric pressure of the upper dry well 8 and the lower dry well 9 can be reduced. Moreover, in the case where an accident such as a small-diameter pipe breakdown accident happens in the lower dry well 9, the upper dry well 8 and the lower dry well 9 communicate with each other. Because of this reason, a steam pressure of the upper dry well 8 and the lower dry well 9 rises up. Then, when the steam pressure becomes a predetermined pressure or more, a high pressure steam is jetted into the water stored in the suppression pool 11 via the vertical vent pipe 13 communicating with the lower dry well 9 and the horizontal vent pipes 14. The jetted high pressure steam is condensed by the water stored in the suppression pool 11, so that an atmospheric pressure of the upper dry well 8 and the lower dry well 9 can be reduced. An inner-diameter dimension of the primary containment vessel 1 is determined in view of an outer diameter of the reactor pressure vessel 4, an arrangement space of a main steam pipe isolation valve (not shown) connecting to the reactor pressure vessel 4, etc. Further, a height dimension of the reactor container 1 is determined in view of a height dimension of the reactor pressure vessel 4, a control rod drive mechanism (not shown) located on the bottom portion of the reactor pressure vessel 4, a height dimension of a platform for maintenance and inspection of the control rod drive mechanism or the like. The inner diameter and height dimensions thus determined need to satisfy a design pressure of the reactor container 1 in the case where an assumed accident such as a main steam pipe breakdown accident happens. Taking the above assumed accident into consideration, the primary containment vessel 1 is divided into a part of the sum of the upper dry well 8 and the lower dry well 9 and a part of the wet well 10, and a pressure analysis is carried out using a sum of a free space volume of the upper dry well 8 excluding a volume of built-in pipe and equipments and a free space volume of the lower dry well 9 and a free space volume of the wet well 10 as one condition of the analysis. In the case of the conventional primary containment vessel of the ABWR in the range of 1350 MWe, an error or the like on the analysis is 15% with respect to a design pressure 3.16 kg/cm.sup.2 g, and therefore, this is a value satisfying the design pressure. In this case, a ratio of a free space volume of the wet well 10 to the sum of a free space volume of the upper dry well 8 and a free space volume of the lower dry well 9 is about 0.81. However, in the case where there has been made a request to increase an electric output from the conventional range of 1350 MWe, an outer dimension and a height dimension of the reactor pressure vessel 4 are made large together with an increase of a reactor core fuel (not shown). Because of this reason, a dimension of the inner diameter and height of the primary containment vessel 1 is increased. With an increase of the electric output, there is a need of increasing the free space volume of the upper dry well 8 and the lower dry well 9, and therefore, in proportional to the increase of the free space volume of these dry wells, the free space volume of the wet well 10 also must be increased. As a result, a dimension of an inner diameter and height of the primary containment vessel 1 is increased. Moreover, a reactor core fuel is increased in proportional to an increase of the electric output of the reactor pressure vessel 4, and therefore, a heating value held by the reactor pressure vessel 4 is also increased. For this reason, a quantity of water stored in the suppression pool 11 is also increased in proportional substantially to an increase of the electric output. Thus, in order to keep the quantity of water, the dimension of the inner diameter and height of the primary containment vessel 1 must be increased. SUMMARY OF THE INVENTION An object of the present invention is to substantially eliminate defects or drawbacks encountered in the prior art mentioned above and to provide a primary containment vessel capable of satisfying a request to increase an electric output in a nuclear power plant and making compact an entire structure thereof by means of safely and relatively simple arrangement so as to improve economical or other matters. This and other objects can be achieved according to the present invention by providing a primary containment vessel, which contains a reactor pressure vessel, pipe means of a reactor water recirculation system, other reactor systems and equipments, comprising: an outer peripheral concrete wall surrounding the reactor pressure vessel, the pipe means of the reactor water recirculation system and the other reactor systems and equipments; PA1 a mat concrete wall positioned, in an installed state, below the outer peripheral concrete wall so as to support the outer peripheral concrete wall; PA1 a reactor pressure vessel (RPV) pedestal supporting the reactor pressure vessel at a substantially vertically central position of the outer peripheral concrete wall; PA1 a horizontal wall joining the outer peripheral concrete wall and the RPV pedestal at substantially the vertically central position of the RPV pedestal; PA1 an upper dry well surrounded by the outer peripheral concrete wall, the horizontal wall, the RPV pedestal and the reactor pressure vessel; PA1 a lower dry well positioned below the reactor pressure vessel and surrounded by the mat concrete wall, the RPV pedestal and the reactor pressure vessel; PA1 a wet well having a suppression pool in which water is stored; PA1 isolating means for air-tightly isolating the upper dry well and the lower dry well at a position supporting the reactor pressure vessel; PA1 vent pipe means used for the upper dry well, which communicates the upper dry well with the suppression pool; PA1 high pressure gas inflow means used for the upper dry well, which is located in a communicating hole formed to the RPV pedestal so as to communicate the vent pipe means used for the upper dry well with a gas phase section of the wet well thereby to release a shielded state by an atmospheric pressure of a predetermined pressure or more in a case of an emergency and to selectively allow a gas inflow to the upper dry well from the gas phase section of the wet well; PA1 another vent pipe means used for the lower dry well, which communicates the lower dry well with the suppression pool; and PA1 another high pressure gas inflow means used for the lower dry well, which is located in a communicating hole formed to the RPV pedestal so as to communicate the lower dry well with the gas phase section of the wet well thereby to release a shielded state by an atmospheric pressure of a predetermined pressure or more in a case of an emergency and to selectively allow a gas inflow to the lower dry well from the gas phase section of the wet well. PA1 heat exchanger means located outside the outer peripheral concrete wall; PA1 cooling means located outside the outer peripheral concrete wall to cool a heat of the heat exchanger means; PA1 pipe means connecting an inlet portion of the heat exchanger means and the lower dry well; and PA1 another pipe means connecting an outlet portion of the heat exchanger means and the wet well and being opened to a water phase section of the wet well. In preferred embodiments, the vent pipe means used for the upper dry well includes a vertical vent pipe which is vertically located in the RPV pedestal and is opened to the upper dry well side at an upper end portion thereof and a horizontal vent pipe which is connected to a lower end side of the vertical vent pipe and is opened to the water stored in the suppression pool. The vent pipe means used for the upper dry well is a vertical vent pipe which is located on an outside of the RPV pedestal and has an upper end opened to the upper dry well side and a lower end opened to the water stored in the suppression pool. The vent pipe means used for the upper dry well includes a vertical vent pipe which is vertically located in the RPV pedestal and is opened to the upper dry well side at an upper end portion thereof and a horizontal vent pipe which is connected to a lower end side of the vertical vent pipe and is opened to the water stored in the suppression pool. The other vent pipe means used for the lower dry well includes a vertical vent pipe which is vertically located in the RPV pedestal and is opened to the lower dry well side at an upper end portion thereof and a horizontal vent pipe which is connected to a lower end side of the vertical vent pipe and is opened to the water stored in the suppression pool. The other vent pipe means used for the upper dry well includes a vertical vent pipe which is vertically located in the RPV pedestal and is opened to the lower dry well side at an upper end portion thereof and a horizontal vent pipe which is connected to a lower end side of the vertical vent pipe and is opened to the water stored in the suppression pool. The high pressure inflow means used for the upper dry well is composed of a vacuum breaker, and the other high pressure inflow means used for the lower dry well is composed of a vacuum breaker. The lower dry well is provided with an air conditioner used for a cooling equipment included in the lower dry well. The lower dry well has a structure to be filled with an atmospheric air so as to provide a working space in which workers breath. The primary containment vessel may further comprise: The primary containment vessel may be further provided with an equipment carrying passageway which penetrates through the outer peripheral concrete wall, the wet well and the RPV pedestal and through which various equipments are carried in and out the lower dry well, a personnel passageway for workers which penetrates through the outer peripheral concrete wall, the wet well and the RPV pedestal and is constructed in a manner that the workers come in and out in order to do work in the lower dry well, and an equipment passageway which penetrates through the outer peripheral concrete wall, the wet well and the RPV pedestal and includes various pipes and cables for the lower dry well. The equipment passageway is arranged at a predetermined angle on a horizontal plane with respect to the equipment carrying passageway and the personnel passageway. The lower dry well includes a reactor water recirculation pump and a control rod drive mechanism, and the equipment passageway is provided with a heat exchanger cooling pipe of the reactor water recirculation pump, an electric cable of the control rod drive mechanism, other electric cables of equipments included in the lower dry well and a cooling water pipe to the air conditioner used for the cooling equipments included in the lower dry well. As described above, according to the primary containment vessel of the present invention with reference to various embodiments, the upper dry well and the lower dry well are effectively used, and it is therefore possible to meet a request to increase an electric output of a nuclear power plant and to make a primary containment vessel into a compact size by means of a safely and relatively simple structure or arrangement. Moreover, since the primary containment vessel is made into a compact size, it is possible to reduce a cost spent when constructing the nuclear power plant and to improve economics on a practical operation of the nuclear power plant. Further, the primary containment vessel of the present invention is applicable to a nuclear power plant having the same electric output of the conventional case and it is possible to make the primary containment vessel into a compact size and to improve economics. Furthermore, the workers or operators can come in the lower dry well during the plant operation and can perform an inspection work for equipments included in the lower dry well. Therefore, it is possible to further safely operate the plant and to improve a reliability of the plant. The nature and further characteristic features of the present invention will be made more clear from the following descriptions made with reference to the accompanying drawings.
063320126
abstract
A nuclear fuel assembly grid has a plurality of mutually interleaved straps forming an array of fuel rod receiving cells. Each strap is formed with regularly distributed slots for interleaving with other straps of the grid. The strap has dimples located between some at least of the slots for maintaining fuel rods in the cells. An uptstream portion of the plate extending in transverse relation to a coolant flow direction has a double sided concave chamfer.
047088435
claims
1. Control unit for a nuclear reactor having a tank, comprising (a) a plurality of sealed vessels communicating with the inside of said tank so as to extend said tank, above its cover, in the vertical direction, each of said sealed vessels containing a displacement mechanism for a cluster of material absorbing neutrons in the core of said reactor, driven by a driving device comprising a motor positioned in the upper part of said sealed vessel; (b) a strong vertical structure fast to said cover of said tank occupying the height of said sealed vessels to a level below said motors; (c) a horizontal protection plate fixed to the upper part of said vertical structure at a level below said motors and having openings for the passage of said sealed vessels; (d) an envelope insulating said sealed vessels from the external medium to a level below said motors; and (e) a plurality of vertical aeration ducts positioned above said horizontal protection plate and, each surrounding a motor. 2. Control unit according to claim 1, wherein said vertical structure is constituted by a frame comprising vertical elements, horizontal elements at its lower part and at its upper part and reinforcing elements. 3. Control unit according to claim 2, wherein said protection plate rests on horizontal elements of said frame arranged at the upper part of the latter. 4. Control unit according to any one of claims 1 to 3, wherein said aeration ducts constitute a one-piece unit fixed to said vertical structure and separable from the latter.
043808557
summary
DESCRIPTION The present invention relates to methods for filling hollow shells with gas and in particular to a method for filling hollow glass shells with gases which do not readily diffuse through the glass walls of the shells. The invention is especially suitable for use in making laser fusion targets. Such targets are mounted in a target chamber and illuminated with a high power laser. Previously, glass microballoon laser fusion targets (see U.S. Pat. No. 4,034,032 issued July 5, 1977) have been filled with gases which can permeate or diffuse through the glass walls of the microballoons. Only light gases such as neon, hydrogen and helium and the isotopes thereof can readily diffuse through the glass walls of the microballoon. Filling with other gases by diffusion is difficult or impossible. It is nevertheless desirable to provide microballoon laser fusion targets filled with gases such as argon, deuterium sulphide and xenon, either alone or in mixtures with the lighter gases mentioned above in order to provide laser fusion targets. Such targets have particular utility in studies of the effects of high energy laser radiation particularly as such effects occur during the laser fusion process. Accordingly, it is an object of the present invention to provide an improved method of filling or charging hollow shells such as glass microballoons with gases and particularly such gases as do not readily diffuse through the shell. It is a further object of the present invention to provide an improved method of filling glass microballoons having diameters in the range of 20 to 400 micrometers (um) which does not rely on the permeability characteristics of the glass walls of such microballoons. It is a further object of the present invention to provide an improved method of filling glass microballoons with minimum perturbation of the surface finish and geometry of the balloons. It is a still further object of the present invention to provide an improved method for filling microballoons which also enables the balloons to be coated on the inside surface thereof. It is a still further object of the present invention to provide an improved method of filling microballoons with gas to pressures above atmospheric pressure. Briefly described, the method of filling a hollow shell for use as a laser fusion target in accordance with the invention is carried out by drilling a hole through the wall of the shell. A plug having a melting temperature lower than that of the shell is then located on the shell over the hole. The plug is very small and is sometimes referred to as a microsphere hereinafter. The shell with the plug located over the hole is then placed in an evacuable pressure vessel which can be heated. In this vessel the shell can be evacuated of atmospheric gases and filled with the fill gas to the desired pressure. The gases enter and leave the shell between the plug and the perimeter of the hole. The vessel containing the shell with the plug located over the hole may then be heated to melt the plug to seal the hole. The vessel is then cooled to resolidify the plug and thus form a barrier against escape of the gas from the shell. The shell may be a glass microballoon which, when filled with the gas, provides the laser fusion target itself or the component of a more complex target configuration such as a multi-coated or multi-shelled target.
048266302
claims
1. The method of making an annular neutron-absorber body for use as a burnable poison in a nuclear reactor, said body being porous and having substantially uniform density, the radial thickness of said body being small and substantially uniform, throughout its length, the said method comprising: (a) mixing a first powder with a second powder, said first powder to form a matrix, and being selected from one or more of the class consisting of aluminum oxide (Al.sub.2 O.sub.3) and zirconium oxide (ZrO.sub.2), said second powder being a neutron absorber and being selected from one or more of the class of elements consisting of boron, gadolinium, samarium, cadmium, europium, hafnium, dysprosium and indium or their compounds; (b) milling and grinding the mixture of said first powder and said second powder in a liquid to produce a slurry in which said powders are homogenized and coarse agglomerates are eliminated, said slurry including water, and small but effective quantities of a wetting agent; (c) drying said slurry to produce a dry mass of said mixture of said first and second powders; (d) adding to said mass of powders means capable of adding strength to a green body to be formed of said mass of powders; (e) depositing said mass of powders into a mold in which said mass may be subjected to isostatic pressure; (f) subjecting said mass of powders in said mold to isostatic pressure to form a green body having the form of an annular neutron-absorber body; and (g) heating said green body at a temperature such as to drive off said strength-adding means and to sinter said green body to form said neutron-absorber body. (a) mixing a first powder with a second powder, said first powder to form a matrix, and being selected from one or more of the class consisting of aluminum oxide (Al.sub.2 O.sub.3) and zirconium oxide (ZrO.sub.2), said second powder being a neutron absorber and being selected from one or more of the class of elements consisting of boron, gadolinium, samarium, cadmium, europium, hafnium, dysprosium and indium or their compounds; (b) milling and grinding the mixture of said first powder and said second powder in a liquid to produce a slurry in which said powders are homogenized and coarse agglomerates are eliminated; (c) drying said slurry to produce a dry mass of said mixture of said first and second powders; (d) adding to said mass of powders means capable of adding strength to a green body to be formed of said mass of powders; (e) inserting a mold capable of transmitting pressure for receiving deposit of said mass of powders in a rigid body so as to maintain said mold rigid during said deposit; (f) while said mold is so inserted in said rigid body depositing said mass of powders in said mold; (g) subjecting said mass of powders in said mold to isostatic pressure to form a green body having the form of an annular neutron-absorber body; and (h) heating said green body at a temperature such as to sinter said green body to form said neutron-absorber body. (a) mixing a first powder with a second powder, said first powder to form a matrix, and being selected from one or more of the class consisting of aluminum oxide (Al.sub.2 O.sub.3) and zirconium oxide (ZrO.sub.2), said second powder being a neutron absorber and being selected from one or more of the class of elements consisting of boron, gadolinium, samarium, cadmium, europium, hafnium, dysprosium and indium or their compounds; (b) milling and grinding the mixture of said first powder and said second powder in a liquid to produce a slurry in which said powders are homogenized and coarse agglomerates are eliminated, said liquid in which said mixture of said first powder and said second powder is ground including a deflocculant which suppresses the formation of agglomerates; (c) drying said slurry to produce a dry mass of said mixture of said first and second powders; (d) adding to said mass of powders means capable of adding strength to a green body to be formed of said mass of powders; (e) depositing said mass of powders into a mold in which said mass may be subjected to isostatic pressure; (f) subjecting said mass of powders in said mold to isostatic pressure to form a green body having the form of an annular neutron-absorber body; and (g) heatign said green body at a temperature such as to drive off said strength-adding means and to sinter said green body to form said neutron-absorber body. (a) mixing a first powder with a second powder, said first powder to form a matrix, and being selected from one or more of the class consisting of aluminum oxide (Al.sub.2 O.sub.3) and zirconium oxide (ZrO.sub.2), said second powder being a neutron absorber and being selected from one or more of the class of elements consisting of boron gadolinium, samarium, cadmium, europium, hafnium, dysprosium and indium or their compounds; (b) milling and grinding the mixture of said first powder and second powder in a liquid to produce a slurry in which said powders are homogenized and coarse agglomerates are eliminated; (c) drying said slurry to produce a dry mass of said mixture of said first and second powders; (d) adding to said mass of powders means capable of adding strength to a green body to be formed of said mass of powders; (e) depositing said mass of powders into a mold in which said mass may be subjected to isostatic pressure; (f) subjecting said mass of powders in said mold to isostatic pressure to form a green body having a length equal to the sum of the lengths of said plurality of pellets; (g) heating said green body at a temperature such as to drive off said strength-adding means and to sinter said green body to form a neutron-absorber body of said length; (h) grinding the outer surface of the sintered body; and (i) thereafter cutting up said neutron-absorber body to form said plurality of pellets. (a) mixing a first powder with a second powder, said first powder to form a matrix, and being selected from one or more of the class consisting of aluminum oxide (Al.sub.2 O.sub.3) and zirconium oxide (ZrO.sub.2), said second powder being a neutron absorber and being selected from one or more of the class of elements consisting of boron, gadolinium, samarium, cadmium, europium, hafnium, dysprosium and indium or their compounds; (b) milling and grinding the mixture of said first powder and said second powder in a liquid to produce a slurry in which said powders are homogenized and coarse agglomerates are eliminated; (c) drying said slurry to produce a dry mass of said mixture of said first and second powders; (d) adding to said mass of powders means capable of adding strength to a green body to be formed of said mass of powders; (e) depositing said mass of powders into a mold in which said mass may be subjected to isostatic pressure; (f) subjecting said mass of powders in said mold to isostatic pressure to form a green body having the form of said annular neutron-absorber body; and (g) heating said green body at a temperature such as to drive off said strength-adding means and to sinter said green body to form said neutron-absorber body. (a) mixing a first powder with a second powder, said first powder to form a matrix, and being selected from one or more of the class consisting of aluminum oxide (Al.sub.2 O.sub.3) and zirconium oxide (ZrO.sub.2), said second powder being a neutron absorber and being selected from one or more of the class of elements consisting of boron, gadolinium, samarium, cadmium, europium, hafnium, dydprosium and indium or their compounds; (b) milling and grinding the mixture of said first powder and said second powder in a liquid to produce a slurry in which said powders are homogenized and coarse agglomerates are eliminated; (c) drying said slurry to produce a dry mass of said mixture of said first and second powders; (d) adding to said mass of powders means capable of adding strength to a green body to be formed of said mass of powders; (e) depositing said mass of powders into a mold in which said mass may be subjected to isostatic pressure; (f) subjecting said mass of powders in said mold to isostatic pressure to form a green body having the form of said annular neutron-absorber body; and (g) heating said green body at a temperature such as to drive off said strenght-adding means and to sinter said green body to form said neutron-absorber body. (a) mixing a first powder with a second powder, said first powder to form a matrix, and being selected from one or more of the class consisting of aluminum oxide (Al.sub.2 O.sub.3) and zirconium oxide (ZrO.sub.2), said second powder being a neutron absorber and being selected from one or more of the class of elements consisting of boron, gadolinium, samarium, cadmium, europium, hafnium, dysprosium and indium or their compounds; (b) milling and grinding the mixture of said first powder and said second powder in a liquid to produce a slurry in which said powders are homogenized and coarse agglomerates are eliminated; (c) drying said slurry to produce a dry mass of said mixture of said first and second powders; (d) adding to said mass of powders means capable of adding strength to a green body to be formed of said mass of powders; (e) depositing said mass of powders into a mold of a material capable of transmitting pressure; (f) subjecting said mass of powders in said mold to isostatic pressure to form a green body having the form of said annular neutron-absorber body; and (g) heating said green body at a temperature such as to drive off said strength-adding means and to sinter said green body to form said neutron-absorber body. 2. The method of claim 1 wherein the slurry includes, in addition to the wetting agent, a small but effective quantity of a deflocculant which suppresses the formation of agglomerates. 3. The method of making an annular neutron-absorber body for use as a burnable poison in a nuclear reactor, said body being porous and having substantially uniform density, the radial thickness of said boyd being small and substantially uniform, throughout its length, the said method comprising: 4. The method of claim 3 including the step of vibrating the mold in said rigid body during the deposit of the powder so that the powder is deposited uniformly. 5. The method of making an annular neutron-absorber body for use as a burnable poison in a nuclear reactor, said body being porous and having substantially uniform density, the radial thickness of said body being small and substantially uniform, throughout its length, the said method comprising: 6. The method of making a plurality of annular neutron-absorber pellets for use as a burnable poison in a nuclear reactor, each said pellet being porous and having substantially uniform density, the thickness of each said pellet being small and substantially uniform, throughout the length of said each pellet, the said method comprising: 7. The method of making an annular neutron-absorber body from which pellets for use as a burnable poison in a nuclear reactor are to be formed, said body having an open porosity such as to prevent excessive swelling and destruction of said pellets by reason of neutron bombardment and release of helium gas during reactor operation and having substantially uniform density, the radial thickness of said body beig small and substantially uniform, throughout its length, the said method comprising: 8. The method of claim 7 characterized by that to achieve the desired porosity the sintering is carried out in an inert gas having a high purity at about atmospheric pressure. 9. The method of claim 8 wherein the sintering is carried out for an interval not substantially greater than about three hours at a temperature of between about 1400.degree. C. and about 1600.degree. C. 10. The method of claim 7 including the additional step of setting the porosity by appropriate selection of the matrix and sintering between about 1400.degree. C. and 1600.degree. C. 11. The method of claim 22 characterized by that the first powder is Al.sub.2 O.sub.3 and the second powder mixed with said Al.sub.2 O.sub.3 as recited in paragraph (a) of claim 22 is B.sub.4 C, the B.sub.4 C being present in an amount not less than about 21/2 weight percent. 12. The method of making an annular neutron-absorber body from which pellets for use as a burnable poison in a nuclear reactor are to be fo rmed, said body having an open porosity such as to prevent excessive swelling and destruction of the pellets by reason of neutron bombardment and release of helium gas during reactor operation, said porosity being such that the body has a density equal to or less than 70% of theoretical density, the radial thickness of said body being small and substantailly uniform, throughout its length, the said method comprising: 13. The method of making an annular neutron-absorber body for use as a burnable poison in a nuclear reactor, said body being porous and having substantially uniform density, the radial thickness of said body being small and substantially uniform, throughout its length, the said method comprising:
abstract
A laser-plasma-based acceleration system includes a focusing element and a laser pulse emission directing a laser beam to the focusing element to such that laser pulses transform into a focused beam and a chamber defining a nozzle having a throat and an exit orifice, emitting a critical density range gas jet from the exit orifice for laser wavelengths ranging from ultraviolet to the mid-infrared. the critical density range gas jet intersects the focused beam at an angle and in proximity to the exit orifice of the nozzle to define a point of intersection between the focused beam and the critical density range gas jet. In intersection with the critical density range gas jet, the pulsed focused beam drives a laser plasma wakefield relativistic electron beam. A corresponding method of laser-plasma-based acceleration is also described. The critical density range may include 2Γ—1020 cmβˆ’3 to 5Γ—1021 cmβˆ’3.
059404620
claims
1. In a method of packaging elongated components of control elements, in which spent absorber material is gas-tightly enclosed, in storage containers in a light-water-cooled nuclear reactor, the improvement which comprises: winding up the elongated components into coils under water in a pond associated with the light-water-cooled nuclear reactor and packaging the coils in the storage containers. 2. The method according to claim 1, which comprises separating or cutting off a group of absorber fingers from head pieces of control elements of pressurized-water reactors, and performing the winding step by entirely winding the absorber fingers as the components. 3. The method according to claim 1, which comprises separating a plurality of cruciformly attached absorber sheets of control elements of boiling-water reactors along their longitudinal axis, and performing the winding step by entirely winding the absorber sheets as the components. 4. The method according to claim 1, which comprises maintaining the coils in the wound-up state with a retaining belt. 5. The method according to claim 1, which comprises performing the winding step by winding the coils between lateral jaws, and bending the lateral jaws around the wound control elements after winding for keeping the wound control elements in the wound-up state. 6. The method according to claim 1, which comprises performing the winding-up and packaging in a storage pond of the nuclear reactor. 7. The method according to claim 1, which comprises performing the winding step by winding the components into coils having a hollow core, and packaging other parts of the control elements in the core.
description
This application is based upon and claims the benefit of priority from prior Japanese Patent Application No. 2005-346046, filed Nov. 30, 2005, the entire contents of which are incorporated herein by reference. 1. Field of the Invention This invention relates to a multi-beam klystron apparatus for amplifying radio-frequency power. 2. Description of the Related Art The conventional klystron apparatus includes an electron gun unit for generating an electron beam, an input unit for inputting radio-frequency power, a radio-frequency interaction unit for amplifying the radio-frequency power by the interaction between the electron beam and the radio-frequency electric field, an output unit for outputting the radio-frequency power from the radio-frequency interaction unit, a klystron body having a collector unit for capturing the used electron beam having passed through the radio-frequency interaction unit, and a focusing magnetic field unit mounted on the klystron body for focusing the electron beams. The radio-frequency interaction unit includes drift tubes through which the electron beams pass, an input cavity connected to the drift tubes along the direction in which the electron beams proceed and a plurality of intermediate and output cavities, wherein the input cavity is connected with the input unit and the output cavity with the output unit. FIG. 10 shows the result of analyzing the lines of magnetic force of a focusing magnetic field unit of the single-beam klystron apparatus. In many actual cases, a focusing magnetic field unit 1 includes several to ten and several electromagnets 2a, 2b arranged along the collector unit from the electron gun unit side of the klystron body and a magnetic pole 3 having an electron gun-side pole piece 3a, a collector-side pole piece 3b and a return frame 3c. In this focusing magnetic unit 1, the electron beam is focused by the magnetic field generated by the current supplied to the electromagnets 2a, 2b. In FIG. 10, the electron gun unit is arranged on the lower side, and the collector unit on the upper side. Reference numeral 4 designates lines of magnetic force, which are too thin and not shown in the magnetic pole 3. Generally, the electron beam of the klystron apparatus, in the absence of radio frequency, has a substantially constant thickness. In the radio-frequency operation, however, the electron beams are bunched progressively downstream in the direction of radiation and, in the neighborhood of the output cavity, the degree of density thereof comes to be clearly defined. At points where electron density is high, the electron beam tends to spread diametrically due to the reaction of the electrons due to the space charge thereof. For this reason, a method is employed in which the radius of the drift tube surrounding the electron beam is increased to prevent collision or the axial magnetic flux density of the focusing magnetic field in the neighborhood of the output cavity is increased to suppress the spread of the electron beam. The method of simply increasing the radius of the drift tube, however, encounters the problem of a reduced output conversion efficiency, and therefore a method is generally employed in which the axial magnetic flux density of the focusing magnetic field is increased in the neighborhood of the output cavity. FIG. 11 is a graph showing the relation between the axial position from the cathode (position 0 of the distance Z) of the electron gun unit of the single-beam klystron apparatus and the axial magnetic flux density. The magnetic field is formed in the same direction from the cathode of the electron gun unit to the collector unit, and the axial magnetic flux density is 680 Gauss in the neighborhood of the input cavity while it is 820 Gauss, or 20% higher, in the neighborhood of the output cavity. The electron beam is focused in such a manner as to be wound on the lines of magnetic force and therefore an effective means for preventing the dispersion of the electron beam is provided by increasing the axial magnetic flux density in the neighborhood of the output cavity with the electron beam more bunched. FIG. 12 is a graph showing the relation between the axial position (distance Z) from the cathode of the electron gun unit of the single-beam klystron apparatus and the lines of magnetic force at the radius R in the neighborhood of the center axis. It is understood that the electron beam having the radius indicated by the second lowest line, for example, proceeds along the lines of magnetic force and therefore the radius thereof is reduced from 7 mm in the neighborhood of the input cavity to 6.3 mm in the neighborhood of the output cavity. Also, it is generally known in this particular field of technique that the lower the ratio of the beam current to the beam voltage called the perveance, the higher the output conversion efficiency of the klystron apparatus. Also, one of the means for improving the efficiency is known to be provided by a multi-beam klystron apparatus in which the number of electron beams is increased from one to several or several tens and the perveance of each electron beam is set low to suppress the beam voltage applied to the electron gun unit while at the same time improving the overall output conversion efficiency (Jpn. PCT National Publication No. 2002-520772). In the multi-beam klystron apparatus, several to several tens of electron beams are arranged at a distance from the center axis of the klystron apparatus. For example, electron beams are arranged at intervals of 60 degrees at the distance of 60 mm from the center axis of the body of the klystron apparatus. In this multi-beam klystron apparatus, an increase in the axial magnetic flux density in the neighborhood of the output cavity to suppress the spread of the electron beam, like in the single-beam klystron apparatus, would pose the problem that the lines of magnetic force are curved and so are the electron beams. This is specifically explained with reference to the graph of FIG. 13 showing the relation between the axial position (distance Z) from the cathode of the electron gun unit of the multi-beam klystron apparatus and the lines of magnetic force at the position (radius R) from the center axis of the klystron body in the neighborhood of each electron beam. In the case of the electron beam having the center axis indicated by the second lowest line, for example, the center axis of the electron beam is located at the distance of 60 mm from the center axis of the klystron body in the neighborhood of the input cavity, while the center axis of the electron beam is moved to the point at the distance of 54 mm from the center axis of the klystron body in the neighborhood of the output cavity, thereby curving the electron beam. Under this condition, the electron beam would impinge on the drift tube and therefore it is impossible to assure stable operation of the multi-beam klystron apparatus by increasing the axial magnetic flux density in the neighborhood of the output cavity. In the case where the output unit such as the waveguide or the coaxial tube output unit connected to the output cavity is led out substantially at right angles to the center axis of the klystron body, on the other hand, a focusing magnet may not be arranged at the particular location. In such a case, the axial magnetic flux density is reduced in the neighborhood of the output cavity. This curves the lines of magnetic force at other than the center axis of the klystron body, with the result that the electron beam is curved in the multi-beam klystron apparatus in which the electron beam passes a point distant from the center axis of the klystron body. This invention has been achieved in view of this situation, and the object thereof is to provide a multi-beam klystron apparatus in which the axial magnetic flux density in the neighborhood of the output cavity can be increased without curving the electron beam. A multi-beam klystron apparatus of the present invention comprises: an electron gun unit which generates electron beams from a plurality of points; an input unit which inputs radio-frequency power; a radio-frequency interaction unit which includes, from the electron gun unit side, an input cavity, a plurality of intermediate cavities and an output cavity, and amplifies the radio-frequency power input from the input unit to the input cavity by the interaction between the electron beams generated in the electron gun unit and a radio-frequency electric field; an output unit which outputs the radio-frequency power from the output cavity of the radio-frequency interaction unit; a collector unit which captures the electron beams passing through the radio-frequency interaction unit; and a focusing magnetic field unit which focuses the electron beams generated by the electron gun unit, the focusing magnetic field unit including: a main magnetic field generator arranged on the outside of the input cavity and the intermediate cavities of the radio-frequency interaction unit; an output-side magnetic field generator arranged on the outside of the output cavity of the radio-frequency interaction unit; an electron gun-side pole piece arranged between the radio-frequency interaction unit and the electron gun unit; a collector-side pole piece arranged between the radio-frequency interaction unit and the collector unit; and a radio-frequency interaction unit pole piece arranged between the output-side magnetic field generator and the main magnetic field generator. According to this invention, there is provided a multi-beam klystron apparatus in which a pole piece in a radio-frequency interaction unit (radio-frequency interaction unit pole piece) is arranged between an output magnetic field generator and a main magnetic field generator thereby to separate the magnetic circuit in the neighborhood of the output cavity of the radio-frequency interaction unit. Therefore, the axial magnetic flux density can be increased in the neighborhood of the output cavity without curving the electron beam so that the spread of the electron beams in the neighborhood of the output cavity can be prevented. Advantages of the invention will be set forth in the description which follows, and in part will be obvious from the description, or may be learned by practice of the invention. Advantages of the invention may be realized and obtained by means of the instrumentalities and combinations particularly pointed out hereinafter. Embodiments of this invention will be explained below with reference to the accompanying drawings. FIGS. 1 to 8 show a first embodiment of the invention. As shown in FIG. 1, a multi-beam klystron apparatus 11 includes a klystron body 12 and a focusing magnetic field unit 13. In FIG. 1, reference numeral 14 designates the center axis of the multi-beam klystron apparatus 11. As shown in FIG. 2, the klystron body 12 includes an electron gun unit 18 for generating electron beams from a plurality of points, a radio-frequency interaction unit 19 for amplifying the radio-frequency power by the interaction between the electron beams and the radio-frequency electric field, an input unit 20 for inputting the radio-frequency power to the radio-frequency interaction unit 19, an output unit 21 for outputting the radio-frequency power from the radio-frequency interaction unit 19, and a collector unit 22 for capturing only the used electron beams having passed through the radio-frequency interaction unit 19. The electron gun unit 18 includes a plurality of cathodes 26 arranged on the circumference around the center axis 14 and generating electron beams. An anode 27 is arranged in opposed relation to each cathode 26. A focusing electrode 28 to focus the electron beams is arranged around the cathodes 26. The radio-frequency interaction unit 19 includes a plurality of drift tubes 30 arranged on the circumference around the center axis 14 and allowing the electron beams to pass therethrough, respectively, an input cavity 31 connected to each drift tube 30 along the direction in which the electron beams proceed, a plurality of intermediate cavities 32, 33, 34, 35 and an output cavity 36. The input unit 20 is connected to the input cavity 31, and the output unit 21 is connected to the output cavity 36. Next, as shown in FIGS. 1 and 3, the focusing magnetic field unit 13 has arranged thereon an axially long main magnetic field generator 40 around the input cavity 31 and the plurality of the intermediate cavities 32 to 35 of the radio-frequency interaction unit 19. A lateral magnetic field-suppressing magnetic field generator 41 is arranged at each axial end of the main magnetic field generator 40. An electron gun-side magnetic field generator 42 is arranged on the outside of the electron gun unit 18 at an end of the main magnetic field generator 40. A plurality of electron beam trajectory-correcting magnetic field generators 43 are arranged between the main magnetic field generator 40 and the electron gun-side magnetic field generator 42. An output-side magnetic field generator 44 is arranged on the outside of the output cavity 36 of the radio-frequency interaction unit 19 at the other end of the main magnetic field generator 40. The output-side magnetic field generator 44 is separated on both sides of the axis of the output cavity 36 circumventing the output unit 21 including a coaxial tube output unit or a waveguide connected to the output cavity 36 in the direction substantially perpendicular to the center axis 14 of the klystron body 12. The magnetic field generators 40 to 44 are each configured of an electromagnet including a coil through which a current is supplied for generating the magnetic field. An outer peripheral magnetic pole 46 is arranged on the outer periphery of the magnetic field generators 40 to 44. An end surface magnetic pole 47 is arranged on the end surface on the collector unit 22 side, and an inner peripheral magnetic pole 48 is arranged on the inside of the end surface magnetic pole 47. These magnetic poles 46 to 48 make up a return frame. An electron gun-side pole piece 49 is arranged between the radio-frequency interaction unit 19 and the electron gun unit 18. A collector-side pole piece 50 is arranged between the radio-frequency interaction unit 19 and the collector unit 22. An electron beam trajectory correcting-auxiliary pole piece 51 is arranged between the main magnetic field generator 40, the lateral magnetic field-suppressing magnetic field generator 41 and the intermediate cavity 32 on the one hand and the electron beam trajectory-correcting magnetic field generator 43 on the other hand and also between the two electron beam trajectory-correcting magnetic field generators 43. A radio-frequency interaction unit pole piece 52 is arranged between the main magnetic field generator 40, the lateral magnetic field-suppressing magnetic field generator 41 and the intermediate cavity 35 on the one hand and the output-side magnetic field generator 44 and the output cavity 36 on the other hand. The magnetic poles 46 to 48 and the pole pieces 49 to 52 are formed of a magnetic material and generate therein the magnetic field of each of the magnetic field generators 40 to 44. The pole pieces 49 to 52 each form a discal magnetic pole configured of each of the pole pieces 49a to 52a arranged on the focusing magnetic field unit 13 and each of the pole pieces 49b to 52b arranged on the klystron body 12. The pole pieces 49 to 52 are each formed with a plurality of holes 53 on the circumference around the center axis 14, through which each electron beam passes. FIG. 3 is a diagram for explaining the result of analyzing the lines of magnetic force of the focusing magnetic field unit 13 of the multi-beam klystron apparatus 11, in which the abscissa represents the radial distance (radius R) with the center axis 14 of the klystron body 12 as zero and the ordinate the distance Z in axial direction with the design center coordinate of the cathode 26 as zero. Numeral 54 designates the lines of magnetic force generated in the main magnetic field generator 40 and the lateral magnetic field-suppressing magnetic field generator 41, numeral 55 the lines of magnetic force generated in the electron beam trajectory-correcting magnetic field generator 43, and numeral 56 the lines of magnetic force generated in the output-side magnetic field generator 44. The lines of magnetic force 54 generated in the main magnetic field generator 40 and the lines of magnetic force 56 generated in the output-side magnetic field generator 44 are formed in the same direction. The lines of magnetic force generated in the electron gun-side magnetic field generator 42 are not shown. Also, the electron gun-side magnetic field generator 42 is arranged on the outside of the electron gun-side pole piece 49, and configured of, for example, one auxiliary magnet 58 formed of an electromagnet including a coil. This auxiliary magnet 58 is surrounded by the electron gun-side magnetic pole 59 configured of a magnetic material coupled to the electron gun-side pole piece 49. The electron gun-side magnetic pole 59 has an outer peripheral magnetic pole, an inner peripheral magnetic pole and magnetic poles at axial ends, and the inner peripheral surface of the electron gun-side magnetic pole 59 is formed with two axial magnetic gaps 60 corresponding to the direction in which the electron beams proceed. Now, the operation of the multi-beam klystron apparatus 11 is explained. In the multi-beam klystron apparatus 11, a plurality of electron beams are generated at points displaced from the center axis 14 of the focusing magnetic field unit 13. At a point displaced from the center axis 14, lateral magnetic fields are generated in addition to axial magnetic fields, and therefore the electron beam is liable to be curved at the ends of the main magnetic field generator 40. In order to suppress this lateral magnetic field, the lateral magnetic field-suppressing magnetic field generator 41 high in current density is arranged at each end of the axially long main magnetic field generator 40. Thus, the lines of magnetic force 54 parallel to the center axis 14 of the klystron body 12 are formed on the inside of the inner diameter of the main magnetic field generator 40. Also, in order to suppress the spread of the electron beam in the neighborhood of the output cavity 36, the output-side magnetic field generator 44 is arranged. The radio-frequency interaction pole piece 52 arranged between the main magnetic field generator 40 and the output-side magnetic field generator 44 is shielded to prevent the magnetic field generated in the main magnetic field generator 44 from leaking to the neighborhood of the output cavity 36 on the one hand while at the same time preventing the magnetic field generated in the output-side magnetic field generator 44 from leaking to the area in the front intermediate cavity 35. The axial distance between the collector-side pole piece 50 and the radio-frequency interaction unit pole piece 52 is comparatively small, and therefore the lines of magnetic force 56 generated in the output-side magnetic field generator 44 remain parallel with the center axis 14 of the klystron body 12 on the inside of the inner diameter of the output-side magnetic field generator 44 even if the axial magnetic flux density is increased. As shown in FIG. 4, the lines of magnetic force 54 generated in the main magnetic field generator 40 and the lines of magnetic force 56 generated in the output-side magnetic field generator 44 have the same direction, while they are opposite to each other in direction in the radio-frequency interaction unit pole piece 52. Thus, only the lines of magnetic force equal to the difference after being offset by each other passes through the radio-frequency interaction unit pole piece 52, and therefore the thickness of the radio-frequency interaction unit pole piece 52 can be decreased. Also, the electron gun-side magnetic field generator 42 includes two magnetic gaps 60 formed so that the lines of magnetic force locally leak along the center axis and the lines of magnetic force in the neighborhood of the cathode 26 are parallel to the center axis 14. By using the following electron beam trajectory-correcting magnetic field generator 43, the radius of the electron beam is regulated and ripples corrected thereby to produce a beautiful electron beam. The pole pieces 49 to 52 each have a hole 53 through which the electron beam passes. Since this hole 53 may have the minimum radius to pass the electron beam, however, the magnetic field leaking out of the hole has substantially no effect on the other electron beams. By separating the main magnetic field generator 40 and the lateral magnetic field-suppressing magnetic field generator 41, the electron gun-side magnetic field generator 42, the electron beam trajectory-correcting magnetic field generator 43 and the output-side magnetic field generator 44 from each other by the pole pieces 49, 51, 52, the mutual effect is greatly reduced and each unit can generate the lines of magnetic force parallel to the center axis 14 independently. FIG. 5 shows the distribution of the axial magnetic flux density of the focusing magnetic field unit 13 of FIG. 3, and the relation between the axial magnetic flux density and the axial position (distance Z) from the cathode 26 of the electron gun unit 18. The dashed line indicates the distribution of axial magnetic flux density on the electron beam axis, and the solid line the distribution of axial magnetic flux density at a point 30 degrees displaced from the electron beam axis on the radius from the center axis 14 to the electron beam axis. The axial magnetic flux density remains unchanged and the lines of magnetic force are parallel for other than the pole pieces 49 to 52. FIG. 6 shows the relation between the axial magnetic flux density and the axial position (distance Z) from the cathode 26 of the electron gun unit 18 in the case where the current flowing in the output-side magnetic field generator 44 is changed in value. The magnetic flux density distribution on the electron beam axis is shown for the case a1 in which the value of the current flowing in the output-side magnetic field generator 44 is equal to a reference current, the case a2 in which it is equal to 90% of the reference current, and the case a3 in which it is equal to 110% of the reference current. It is understood that the magnetic field leaking from the hole 53 of the radio-frequency interaction unit pole piece 52 is so small that only the output magnetic field changes. FIG. 8 shows, as a comparative example, the result of analyzing the operation of the multi-beam klystron apparatus having no radio-frequency interaction unit pole piece 52 to which a magnetic field having a constant magnetic flux density is applied. The abscissa represents the axial distance Z, and the ordinate the radius R from the center of the electron beam. The radial direction is shown in a scale about 20 times larger than the axial direction. The dotted lines up to 2.5 scale units from the center in radial direction indicate the electron beams. It is confirmed that the electron beams are equidistant in axial direction in the neighborhood of the input cavity 31, while the density difference of the electron beam is increased in axial direction and the electrons (electron beams) are bunched in the neighborhood of the output cavity 36. At the same time, the spread in radial direction is confirmed. Especially, the electron beams are liable to collide with the drift tubes 30 before the output cavity 36. FIG. 7 shows the result of analyzing the operation of the multi-beam klystron apparatus 11 having the radio-frequency interaction unit pole piece 52. The conditions other than the magnetic flux density are the same as those in FIG. 8. It is confirmed that the spread of the electron beams immediately before the output cavity 36 is smaller than in FIG. 8. As described above, by arranging the radio-frequency interaction unit pole piece 52 between the output-side magnetic field generator 44 and the main magnetic field generator 40, the magnetic circuit formed in the neighborhood of the output cavity 36 of the radio-frequency interaction unit 19 can be separated. Therefore, the axial magnetic flux density in the neighborhood of the output cavity 36 can be increased without curving the electron beams, thereby making it possible to prevent the spread of the electron beams in the neighborhood of the output cavity 36. FIG. 9 shows a second embodiment. The same component parts as those in the first embodiment are designated by the same reference numerals, respectively. The main magnetic field generator 40 is separated into a main magnetic field generator 40A arranged on the outside of the input cavity 31 to the intermediate cavities 32, 33, 34 except for the intermediate cavity 35 near to the output cavity 36 on the one hand and a main magnetic field generator 40B arranged on the outside of the intermediate cavity 35 near to the output cavity 36. The radio-frequency interaction unit pole piece 52 is configured of a radio-frequency interaction unit pole piece 52A arranged between the main magnetic field generator 40 and the lateral magnetic field-suppressing magnetic field generator 41 on the one hand and the intermediate cavity 35, the output-side magnetic field generator 44 and the output cavity 36 on the other hand, and a radio-frequency interaction unit pole piece 52B arranged between the separated main magnetic field generators 40A and 40B. The main magnetic field generator 40A generates a magnetic field parallel to the center axis 14 in the area from the input cavity 31 to the intermediate cavities 32, 33, 34, while the main magnetic field generator 40B generates a magnetic field parallel to the center axis 14 in the area of the intermediate cavity 35 near to the output cavity 36. According to this embodiment, the electron beams are progressively bunched in the radio-frequency interaction unit 19 of the multi-beam klystron apparatus 11, and in order to prevent the gradual spread of the electron beams, the magnetic flux density distribution can be increased progressively as the electron beams proceed downstream. As an alternative, the main magnetic field generator 40 may be separated into three or more parts on the output cavity 36 side, and three or more radio-frequency interaction unit pole pieces 52 may be arranged correspondingly. Additional advantages and modifications will readily occur to those skilled in the art. Therefore, the invention in its broader aspects is not limited to the specific details and representative embodiments shown and described herein. Accordingly, various modifications may be made without departing from the spirit or scope of the general inventive concept as defined by the appended claims and their equivalents.
055815901
abstract
In an SOR exposure system for transferring patterns on masks to semiconductor wafers by using SOR radiation reflected by an X-ray reflecting mirror, a first shutter device for shielding at least .gamma. rays and a second shutter device for shielding X-rays are provided between the SOR ring and the mirror inside a beam port, and an exposure adjustment device for adjusting the amount of exposure when a circuit pattern on a mask is transferred to a wafer is provided between the mirror and the wafer. As a result, the human body can be protected against radiation rays, such as gamma rays, generated from the SOR ring when electrons are implanted thereto or when the SOR ring is stopped. Damage to the X-ray reflecting mirror caused by radiation rays is reduced, and stable reflectance of the mirror can be obtained. Maintenance of the SOR exposure system is also made easier.
summary
046438661
abstract
A method and apparatus for testing characteristics of nuclear fuel pellet interaction with nuclear reactor fuel rod cladding whereby the temperature profile within a nuclear fuel rod is more closely modeled in the test device than in the prior art discloses the use of high frequency microwave radiation to heat the fuel pellets within the cladding and using the cladding itself as a waveguide. Sensors monitor various operating parameters and a water jacket cools the test cladding.
044709498
claims
1. A method of control of a nuclear reactor associated with a turbine, comprising the steps of (a) determining a control parameter representative of the difference between the power demanded by said turbine and the real power produced by the core of said reactor; (b) determining the position of said control parameter relative to a predetermined range, called a first deadband, straddling the value of zero; (c) moving groups of control rods according to a predetermined sequence in said core in a direction determined by the sign of said control parameter and at a speed proportional to the value of the latter, when said parameter is outside said first deadband; (d) determining a second parameter, called axial deviation, representative of the axial distribution of power in said core; (e) determining the position of said axial deviation relative to a predetermined range, called a second deadband, straddling a reference value of said axial deviation; and (f) when said control parameter is inside said first deadband and said axial deviation is inside said second deadband, keeping said control rods immobile; (g) when said control parameter is inside said first deadband and said axial deviation is outside said second deadband, (h) when said control parameter is outside said first deadband and said axial deviation is inside said second deadband, moving groups of control rods according to said predetermined sequence and according to the position of said axial deviation with respect to its reference value; (i) when said control parameter is outside said first deadband, said control rods being moved, and when said axial deviation is outside said second deadband, 2. A method of control according to claim 1, comprising the steps of calculating a signal which is representative of the reactor control parameter, comparing said signal with the value zero in order to determine its sign, emitting two different signals of insertion or of withdrawal of said control rods according to the sign of said control parameter if this parameter is outside its deadband and only one signal of insertion or of withdrawal of the control rods if this parameter is within its deadband, these signals as well as signals representative of the axial deviation of power and of the position of the groups of control rods being introduced into a logical processing unit which determines signals for authorization or prohibition of the movements of the several groups of said control rods. 3. A method of control according to claim 1, including the use, concurrently with the groups of control rods, of a system for controlling the content of soluble boron in a cooling medium in contact with the fuel elements in the core of the nuclear reactor. 4. A method of control according to claim 3, wherein, when the power of the reactor is less than the nominal power, the groups of control rods are kept in a position of insertion substantially equal to that which would be just necessary for obtaining the return to full power solely from the control rods, while employing the system of modification of the content of soluble boron, whereby to facilitate rapid return of said reactor to power. 5. A method of control according to any one of claims 1 to 4, wherein at least one of the groups of control rods is lightened in antireactivity, this antireactivity being less than 700 pcm. 6. A method of control according to claim 5, making use of two groups lightened in antireactivity, or grey groups, and two strongly absorbent groups, or black groups.
claims
1. A hazardous material storage repository, comprising:a drillhole that extends into the Earth and comprises an entry at least proximate a terranean surface, the drillhole further comprising an angled drillhole portion that is coupled to the entry and deviates from true vertical at an angle, and a hazardous material storage drillhole portion coupled to the angled drillhole portion;a storage canister positioned in the hazardous material storage drillhole portion, the storage canister sized to fit from the entry, through the angled drillhole portion, and into the hazardous material storage drillhole portion of the drillhole, the storage canister comprising an inner cavity sized to enclose radioactive hazardous material; anda seal positioned in the drillhole, the seal isolating the hazardous material storage drillhole portion of the drillhole from the entry of the drillhole. 2. The hazardous material storage repository of claim 1, wherein the angled drillhole portion comprises a proximate end coupled to the entry at a first depth and a distal end coupled to the hazardous material storage drillhole portion opposite the proximate end at a second depth deeper than the first depth. 3. The hazardous material storage repository of claim 1, wherein the hazardous material storage drillhole portion comprises at least one of a substantially horizontal drillhole portion or a vertically inclined drillhole portion. 4. The hazardous material storage repository of claim 1, wherein the hazardous material storage drillhole portion is located within or below a barrier layer that comprises an impermeable subterranean formation. 5. The hazardous material storage repository of claim 4, wherein the impermeable subterranean formation comprises at least one of a shale formation layer or a salt formation. 6. The hazardous material storage repository of claim 5, wherein the shale formation comprises about 20 to 30% weight by volume of clay or organic matter. 7. The hazardous material storage repository of claim 4, wherein the hazardous material storage drillhole portion is vertically isolated, by the barrier layer, from a subterranean zone that comprises mobile water. 8. The hazardous material storage repository of claim 6, wherein the hazardous material storage drillhole portion is formed below the barrier layer and is vertically isolated from the subterranean zone that comprises mobile water by the barrier layer. 9. The hazardous material storage repository of claim 6, wherein the hazardous material storage drillhole portion is formed within the barrier layer, and is vertically isolated from the subterranean zone that comprises mobile water by at least a portion of the barrier layer. 10. The hazardous material storage repository of claim 4, wherein the impermeable subterranean formation comprises a permeability of less than about 0.01 millidarcys. 11. The hazardous material storage repository of claim 9, wherein the impermeable subterranean formation comprises a brittleness of less than about 10 MPa, where brittleness comprises a ratio of compressive stress of the impermeable subterranean formation to tensile strength of the impermeable subterranean formation. 12. The hazardous material storage repository of claim 4, wherein the barrier layer comprises a thickness proximate the hazardous material storage drillhole portion that inhibits diffusion of the radioactive hazardous material that escapes the storage canister through the barrier layer for an amount of time that is based on a half-life of the hazardous material. 13. The hazardous material storage repository of claim 12, wherein the thickness proximate the hazardous material storage drillhole portion is at least 100 feet. 14. The hazardous material storage repository of claim 4, wherein the barrier layer comprises a leakage barrier defined by a time constant for leakage of the radioactive hazardous material of 10,000 years or more. 15. The hazardous material storage repository of claim 4, wherein the impermeable subterranean formation comprises a hydrocarbon bearing formation or carbon dioxide bearing formation. 16. The hazardous material storage repository of claim 1, wherein the radioactive hazardous material comprises spent nuclear fuel. 17. The hazardous material storage repository of claim 1, further comprising at least one casing assembly that extends from at or proximate the entry and through at least a part of the angled drillhole portion. 18. The hazardous material storage repository of claim 1, wherein the storage canister comprises a connecting portion configured to couple to at least one of a downhole tool string or another storage canister. 19. The hazardous material storage repository of claim 7, wherein the barrier layer comprises a thickness proximate the hazardous material storage drillhole portion that inhibits diffusion of the radioactive hazardous material that escapes the storage canister through the barrier layer for an amount of time that is based on a half-life of the hazardous material. 20. The hazardous material storage repository of claim 19, wherein the thickness proximate the hazardous material storage drillhole portion is at least 100 feet.
abstract
The present invention is related to a device for irradiating a patient with a charged particle beam, comprising a number of beam channels attached to a vertical wall, wherein a deflection magnet is present at the end of each channel. This deflection magnet is able to deflect the beam in the vertical plane over a given angle range. The couch whereon the patient is reclining is mobile in the vertical plane, so that the combined movement of the patient, and the variable deflection of the beam allow one point in the patient to be irradiated from several angles in the vertical plane.
claims
1. A nuclear power plant, comprising:a nuclear steam supply system including a nuclear reactor generating heat to produce steam;a power generating turbine configured to be driven with the steam supplied by the nuclear steam supply system;a condenser configured to condense the steam after driving the power generating turbine;at least three feedwater pumps configured to supply water condensed and generated by the condenser to the nuclear steam supply system, each of the feedwater pumps is driven by a corresponding electric motor, and a flow rate provided by each of the feedwater pumps can be controlled by controlling a rotation speed of the corresponding electric motor, wherein during a normal operation of the reactor, one of the feedwater pumps is a backup feedwater pump standing by without operation and the other feedwater pumps are operating feedwater pumps;a water level controller configured to calculate a feedwater flow rate to the nuclear steam supply system and to output a feedwater flow rate command signal based on a detected value indicating a condition of the nuclear power plant and a setpoint of a water level of the nuclear steam supply system;at least three flow rate controllers equipped in correspondence with each electric motor, wherein each flow rate controller is configured to generate a rotation speed command signal of the corresponding electric motor based on the feedwater flow rate command signal, wherein each controller is configured to vary a speed of the corresponding electric motor such that a flow rate provided by each of the operating feedwater pumps can be varied;at least three electric power converters configured to convert electricity from a power supply of fixed voltage and fixed frequency to supply electricity of variable voltage and variable frequency to the electric motors of the operating feedwater pumps; anda trip compensation device configured to increase a rotation speed of the electric motor driving at least one of the operating feedwater pumps that has not tripped if one of the operating feedwater pumps trips;wherein the trip compensation device has a backup start up circuit configured to start up the electric motor that drives the backup feedwater pump by directly connecting the electric motor to a power supply of fixed voltage and fixed frequency by bypassing the electric power converter of the backup feedwater pump if one of the operating feedwater pumps trips. 2. The nuclear power plant of claim 1, wherein the trip compensation device has a trip detecting circuit configured to determine if a feedwater pump has tripped, wherein the trip detecting circuit determines a trip if a deviation of the rotation speed of the electric motor, as indicated by the rotation speed command signal, continues to be equal to or more than a predetermined deviation for a predetermined period. 3. The nuclear power plant of claim 2, wherein the trip compensation device has a control switching device configured to disconnect the electric motor driving the backup feedwater pump from the power supply and to connect to the electric power converter after a predetermined period passes from a start up of the backup feedwater pump. 4. The nuclear power plant of claim 3, wherein the trip compensation device has a flow rate adjustment device configured to change a rotation speed of the electric motor driving the backup feedwater pump so that a rotation speed of the backup feedwater pump decreases with progress of time, and the water level controller is configured to output a command to change a rotation speed of a feedwater pump so as to compensate a change of the feedwater flow rate accompanying a decrease of the rotation speed of the backup feedwater pump. 5. The nuclear power plant of claim 2, wherein all of the feedwater pumps are primary feedwater pumps configured to be usually operated, and the trip compensation device has a post-trip controller configured to transmit a post-trip control signal increasing the feedwater flow rate indicated by the feedwater flow rate command signal to the water level controller if one of the feedwater pumps trips. 6. The nuclear power plant of claim 5, further comprising: an on-restart flow rate adjustment device configured to transmit the feedwater flow rate command signal increasing at a predetermined value per unit time to the flow rate controller corresponding to a feedwater pump that has tripped and to transmit a correction signal decreasing the feedwater flow rate at the predetermined value per unit time to the water level controller when the feedwater pump that has tripped restarts. 7. The nuclear power plant of claim 1, wherein all of the feedwater pumps are primary feedwater pumps configured to be usually operated, and the trip compensation device has a post-trip controller configured to transmit a post-trip control signal increasing the feedwater flow rate indicated by the feedwater flow rate command signal to the water level controller if one of the feedwater pumps trips. 8. The nuclear power plant of claim 7, further comprising: an on-restart flow rate adjustment device configured to transmit the feedwater flow rate command signal increasing at a predetermined value per unit time to the flow rate controller corresponding to a feedwater pump that has tripped and to transmit a correction signal decreasing the feedwater flow rate at the predetermined value per unit time to the water level controller when the feedwater pump that has tripped restarts. 9. The nuclear power plant of claim 1, further comprising:a first connection directly between the power supply of fixed voltage and fixed frequency and the electric motor that drives the backup feedwater pump,a second connection between the power supply of fixed voltage and fixed frequency, an electric power converter, and the electric motor that drives the backup feedwater pump, anda control switching device configured to switch between the first connection and the second connection,wherein the control switching device is configured to use the first connection when the operating feedwater pump trip occurs and is configured to subsequently use the second connection thereafter. 10. The nuclear power plant of claim 9, wherein each of the feedwater pumps, including the backup feedwater pump, has a control switching device, a first connection directly between the power supply and a respective electric motor, and a second connection between the power supply, a respective electric power converter, and a respective electric motor,wherein each of the feedwater pumps is capable of being used as a primary or a backup feedwater pump. 11. The nuclear power plant of claim 9, wherein the first connection does not include an electric power converter between the power supply and the electric motor that drives the backup feedwater pump. 12. A feedwater controlling method of a nuclear power plant, the method comprising:supplying water to a nuclear steam supply system, including a nuclear reactor generating heat to produce steam, via an arrangement having at least three feedwater pumps, each of the feedwater pumps is driven by a corresponding electric motor connected to (1) a corresponding electric power converter or (2) directly to a power supply of fixed voltage and fixed frequency, and a flow rate provided by each of the feedwater pumps can be controlled by controlling a rotation speed of the corresponding electric motor, wherein during a normal operation of the reactor, one of the feedwater pumps is a backup feedwater pump standing by without operation and the other feedwater pumps are operating feedwater pumps;calculating a feedwater flow rate to the nuclear steam supply system and outputting a feedwater flow rate command signal based on a detected value indicating a condition of the nuclear power plant and a setpoint of a water level of the nuclear steam supply system;generating rotation speed command signals of all of the operating electric motors based on the feedwater flow rate command signal, wherein a speed of each electric motor is variable such that a flow rate provided by each of the feedwater pumps can be varied;converting electricity from a power supply of fixed voltage and fixed frequency with the corresponding electric power converters to supply electricity of variable voltage and variable frequency to the electric motors of the operating feedwater pumps; andusing a trip compensation device to increase a rotation speed of the electric motor driving at least one of the operating feedwater pumps that has not tripped if one of the operating feedwater pumps trips;wherein the trip compensation device has a backup start up circuit configured to start up the electric motor that drives the backup feedwater pump by directly connecting the electric motor to the power supply of fixed voltage and fixed frequency by bypassing the electric power converter of the backup feedwater pump if one of the operating feedwater pumps trips. 13. The feedwater controlling method of claim 12, further comprising the steps of:using a first connection between the power supply of fixed voltage and fixed frequency and the electric motor that drives the backup feedwater pump when the operating feedwater pump trip occurs, andsubsequently using thereafter a second connection between the power supply of fixed voltage and fixed frequency, an electric power converter, and the electric motor that drives the backup feedwater pump. 14. The feedwater controlling method of claim 13, wherein each of the feedwater pumps, including the backup feedwater pump, has a first connection directly between the power supply and a respective electric motor, and a second connection between the power supply, a respective electric power converter, and a respective electric motor,wherein each of the operating feedwater pumps and the backup feedwater pump is capable of being used as a primary or a backup feedwater pump by switching between the first connection and the second connection. 15. The feedwater controlling method of claim 13, wherein the first connection does not include an electric power converter between the power supply and the electric motor that drives the backup feedwater pump.
051329972
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an X-ray spectroscopic analyzing apparatus having a source of X-rays for radiation onto a sample to be analyzed. 2. Description of the Prior Art As an apparatus for achieving an elemental analysis of a sample to be analyzed, a fluorescent X-ray analyzing apparatus has been known which comprises a source of X-rays, for example, an X-ray tube, for radiating an excitation X-ray beam towards a sample to be analyzed, and a fluorescent X-ray detector for detecting the intensity of fluorescent X-rays coming from the sample to determine elements contained in the sample, In this type of fluorescent X-ray analyzing apparatus, a low-order beam having a relatively high intensity is spectroscopically selected from a spectrum of the X-rays emitted from the X-ray tube and is used as the excitation X-rays. With the prior art fluorescent X-ray analyzing apparatus, it has been found that no element of the sample having a wavelength component lower than that of the low-order beam cannot be spectroscopically determined. Accordingly, where the sample contains the same element as that contained in a target material of the X-ray tube, the spectroscopic determination of such element is not possible. By way of example, if the target material is made of tungsten (W), and so long as a WL.beta..sub.1 beam (.lambda.=1.2818 .ANG., E=9.671 keV) having a relatively high intensity is employed for the excitation X-ray beam, the determination of the presence of tungsten in the sample is not possible even though the sample in fact contain tungsten. This is because the wavelength at the absorption edge peculiar to tungsten is shorter than the wavelength of the WL.beta..sub.1 beam. This is also true of other elements than tungsten. By way of example, arsenic cannot be spectroscopically analyzed with the prior art fluorescent X-ray analyzing apparatus because the wavelength (.lambda.=1.045 .ANG.) at the absorption edge peculiar to arsenic is shorter than the wavelength of the WL.beta..sub.1 beam. Apart from the foregoing prior art, a total reflection fluorescent X-ray analyzing method is also well known in which a primary X-ray beam is radiated so as to be incident upon a sample to be analyzed at a minute angle of incidence so that fluorescent X-rays reflected from a surface region of the sample can be analyzed for the elemental determination. In the practice of this prior art fluorescent X-ray spectroscopic analyzing method utilizing the total reflection, a monochromatic light is employed for the primary X-ray beam. However, where the monochromatic light is employed, it has often been observed that the spectroscopic analysis tends to result in a measurement error depending on preset values of parameters used during the analysis. SUMMARY OF THE INVENTION Accordingly, the present invention has for its important object to provide an improved X-ray spectroscopic analyzing apparatus effectively utilizable for the analysis of an element having a wavelength at the absorption edge which is shorter than that of the low-order beam of relatively high intensity contained in the spectrum of the excitation X-rays. Another important object of the present invention is to provide an improved X-ray spectroscopic analyzing apparatus of the type referred to above, which can be effectively utilized in the practice of the fluorescent X-ray analyzing method using the total reflection with a minimized measurement error, thereby to improve the reliability of the elemental determination. In order to accomplish the foregoing objects, in accordance with the present invention, there is provided a X-ray spectroscopic analyzing apparatus which comprises a source of X-rays, a first analyzing crystal for diffracting the X-rays from the X-ray source, and a second analyzing crystal for diffracting the X-rays from the X-ray source and also for passing therethrough a diffracted X-ray component from the first analyzing crystal. The first and second analyzing crystals are so disposed and so positioned as to permit the diffracted X-ray components of different wavelengths to travel along a single path towards a sample to be analyzed. On an optical path extending between the X-ray source and the sample, a filtering means for cutting a portion of the X-rays which has a wavelength shorter than a predetermined wavelength. Preferably, the X-ray spectroscopic analyzing apparatus of the above described construction may be provided with a shutter means for selectively causing one of the diffracted X-ray components to be incident upon the sample to be analyzed. According to one aspect of the present invention, since the use has been made of the two analyzing crystals, one of the two analyzing crystals may be used to diffract a low-order beam of X-ray having a relatively high intensity and the other of the two analyzing crystals may be used to diffract a continuous X-ray beam having a predetermined wavelength or a high-order beam of X-ray having a relatively low intensity. Therefore, an excitation X-ray beam comprised of the low-order beam and an X-ray beam component having a wavelength shorter than that of the low-order beam can be obtained. Also, according to another aspect of the present invention, the use of the two X-ray beam components used for the elemental analysis as discussed above makes it possible to average measurement errors attributable to preset values of various parameters and, therefore, any possible measurement error can be minimized advantageously.
claims
1. A control rod for a boiling water reactor, comprising: four absorber blades forming an orthogonal cross with a cruciform centre, the absorber blades having a width in a radial direction of the control rod and a length in a longitudinal direction of the control rod, wherein each absorber blade comprises a neutron-absorber material distributed along its length, wherein the control rod comprises an upper part and lower part that comprise a whole length portion of the control rod that is provided with the neutron-absorber material, wherein a mean value of a quantity of absorber material per unit of length of the control rod is smaller in the upper part of the control rod than in the lower part, wherein the upper part of each absorber blade comprises an inner part and an outer part, wherein the inner part is arranged radially inside the outer part, wherein the outer part is provided with the neutron-absorber material whereas the inner part lacks neutron-absorber material, wherein the inner part, in at least some portion of the upper part, comprises at least one-fourth of the width of the absorber blade, wherein a first plurality of recesses are arranged in the absorber blade along the cruciform center of the control rod in the inner part of the upper part, wherein a second plurality of recesses are arranged in the absorber blade along the cruciform center of the control rod in the lower part of the control rod, the first and second plurality of recesses being made as through-holes through the absorber blade, wherein the recesses in the upper part are wider than at least a majority of the recesses in the lower part. 2. A control rod according to claim 1 , wherein the length of the upper part constitutes at most one-third of the length of the absorber blade. claim 1 3. A control rod according to claim 1 , wherein said inner part in at least some portion constitutes at least one-third of the width of the absorber blade. claim 1 4. A control rod according to claim 1 , wherein the absorber blades comprise a plurality of radially arranged channels in which the absorber material is arranged, whereby at least the majority of the channels in the upper part are shorter than the channels in the lower part of the absorber blades. claim 1 5. A control rod according to claim 4 , wherein the channels in the upper part and the lower part have a diameter of essentially equal size. claim 4 6. A control rod according to claim 1 , wherein the absorber blades comprise a plurality of channels, arranged axially in relation to the control rod, in which the absorber material is arranged, whereby at least the majority of the channels are arranged radially outside one or more channels which are arranged nearest the cruciform center. claim 1 7. A control rod according to claim 6 , wherein the channels arranged nearest the cruciform center are shorter than the channels arranged in the outer part of the absorber blades, arranged radially outside said cruciform center. claim 6 8. A control rod according to claim 7 , wherein the channels arranged nearest the cruciform center and the channels arranged in the outer part of the absorber blades, arranged radially outside said cruciform center, have a diameter of essentially equal size. claim 7 9. A control rod according to claim 1 , wherein the absorber material consists of boron and/or hafnium. claim 1 10. A control rod according to claim 1 , wherein the absorber material consists of boron carbide and/or hafnium metal. claim 1 11. A control rod, comprising: four absorber blades forming an orthogonal cross having a cruciform center and having an upper part, a lower part, an inner part proximal to the cruciform center, an outer part distal to the cruciform center, a width in a radial direction of the blade and a length in a longitudinal direction of the blade, wherein neutron absorbing material is arranged in the outer part of each blade and neutron absorbing material is not arranged in at least a portion of the inner upper part of each blade and wherein a mean quantity of absorber material per unit length of the control rod is less in the upper part than in the lower part; and a plurality of first recesses arranged in the upper part of the blades and a plurality of second recesses arranged in the lower part of the blades, the first and second recesses operative to permit moderator access to the cruciform center to control burn up of fissile material along the length of the absorber blade, the first and second recesses comprising holes extending through the inner portion of the absorber blades and distributed along the absorber blades at the cruciform center, wherein the first recesses are wider than at least a majority of the second recesses. 12. A control rod, comprising: four absorber blades forming an orthogonal cross having a cruciform center and having an upper part, a lower part, an inner part proximal to the cruciform center, an outer part distal to the cruciform center, a width in a radial direction of the blade and a length in a longitudinal direction of the blade, wherein neutron absorbing material is arranged in the outer part of each blade and neutron absorbing material is not arranged in at least a portion of the inner upper part of each blade and wherein a mean quantity of absorber material per unit length of the control rod is less in the upper part than in the lower part; and a plurality of recesses arranged in the blades, the recesses being operative to permit moderator access to the cruciform center to control burn up of fissile material along the length of the absorber blade, the plurality of recesses comprising holes extending through the inner portion of the absorber blades and distributed along the absorber blades at the cruciform center, wherein recesses arranged in the upper part of the blades are wider than at least a majority of recesses arranged in the lower part of the blades.
summary
description
The present invention relates generally to air purifiers for cleaning air, and more particularly to an ultraviolet ionizing chamber for portable air purifiers, which ultraviolet ionizing chamber helps to eliminate contaminants from the air being treated, including biospecies, dust particles, odors, and volatile organic chemicals. The presence of airborne biospecies (e.g., dust mites, bacteria, viruses, and fungi), dust particles, pollen, odors, and volatile organic chemicals can exacerbate allergies in people sensitive to these agents. Moreover, contaminated surfaces in hospitals and health care facilitiesβ€”such as counter tops, beddings, bed pans, and medical devicesβ€”have been identified as the cause of spread of infections and disease. The Centers for Disease Control and Prevention (CDC) estimates 1.7 million hospital associated infections in the US every year, 99,000 out of those may result in death (Klevens and others 2007). Indoor air is also recognized as major vector of nosocomial infections, the infections that may be acquired in a hospital or health care facility. Methicillin resistant Staphylococcus aureus (MRSA), a type of S. aureus that is resistant to beta-lactams antibiotics, is a bacterium that causes infections of skin, and is identified as the common cause of health care associated infections (HAIs). CDC surveillance data reveals 58.4% MRSA infections were community-onset, 26.6% were hospital-onset, 13.7% were community-associated, while 1.3% were unclassified (Klevens and others 2007). There is an ever growing need for development and evaluation of technologies aimed at reducing environmental contamination and improving the quality of indoor air that we breathe. Accordingly, a variety of devices and methods have used to purify air. These include air filtration, the use of charged plates, and UV light, among others. However, the efficacy of some of these devices can be limited due to their inability to remove nearly all of the airborne agents. There accordingly remains a need for a highly effective device which will remove a very high percentage, e.g., 95%, and preferably 98% or more of these agents on a single pass of air through an air purification unit. The invention is an ultraviolet ionizing chamber for portable air purifiers, which ultraviolet ionizing chamber helps to eliminate contaminants from the air being treated including airborne biospecies (e.g., dust mites, bacteria, viruses, and fungi), dust particles, pollen, odors, and volatile organic chemicals. In one embodiment the invention provides an ultraviolet ionizing unit for an air purifying, the ultraviolet ionizing unit comprising: a housing having a top wall, an end wall, and a bottom wall, with an open front, an air ingress opening, an air egress opening, at least two spaced apart internal retainers formed inside the housing, and a cavity formed in a space between the two spaced apart internal retainers; two sections of ion generating material, one each retained by the two spaced apart internal retainers; a housing end cap that covers the open front of the housing and retains the two sections of ion generating material therein; a U-shaped UV light source with two generally parallel portions, a U-shaped portion joining the two generally parallel portions, and two ends with electrical leads, the U-shaped UV light source being positioned in the cavity; and spacers that hold the U-shaped UV light source in the cavity and provide cushioning of the UV light source therein. In another embodiment the invention provides a: An ultraviolet ionizing unit for an air purifying, the ionizing unit comprising: a housing with an air ingress opening at first end, an air egress opening at a second end that is opposite the first end, and an open end, at least two spaced apart internal retainers formed inside the housing, and a cavity formed in a space between the two spaced apart internal retainers; two sections of ion generating material, one each retained in a spaced apart manner by each of the two spaced apart internal retainers; a housing end cap that covers the open end of the housing; a U-shaped UV light source with two generally parallel portions, a U-shaped portion joining the two generally parallel portions, and two ends with electrical leads, the U-shaped UV light source being positioned in the cavity; and spacers that hold the U-shaped UV light source in the cavity and provide cushioning of the UV light source therein. Turning first to FIGS. 1 and 2, there are shown, respectively, front and rear perspective views of an exemplary ultraviolet ionizing chamber 10 of the invention. The ultraviolet ionizing chamber 10 has a housing 12 with a top wall 14, an end wall 16, a bottom wall 18, and a front grill/mounting plate 20. The front grill/mounting plate 20 has a grid opening 22 providing openings for air to enter the ionizing chamber 10. The front grill/mounting plate 20 is at an air ingress side β€œI” of thereof. For ease of handling the ionizing chamber 10, two finger grips 24 can be provided. The finger grips 24 can comprise two spaced apart rectangular ears that are bent outwardly from the front grill/mounting plate 20. The front grill/mounting plate 20 has a rim 26 that provides a surface for attaching to an air purification system (not shown) and provide airtight sealing therewith, to ensure that all the air entering the air purification system must pass through the ultraviolet ionizing chamber 10 so that all air, rather than just a portion of the air, is treated. A power cord 28 from a light source electronics housing 30 passes through a hole 32 in the front grill/mounting plate 20. A power connector 34 is on the end of the power cord 28. The housing 12 has rear rim section 40, 42, and 44 that extend from at or near back edges of the top wall 14, the end wall 16, and the bottom wall 18, respectively. A housing end cap 46 carrying the light source electronics housing 30 is detachably connected to the housing 12. A section of honeycomb material 50 is positioned at an air egress side β€˜E” of the housing and can be seen through an egress window 52 defined by the housing end cap 46 and rear rim section 40, 42, and 44. FIG. 3 is a top plan view of an exemplary section of honeycomb material 50 treated with titanium dioxide, which honeycomb material is used in the ionizing chamber. The honeycomb material can be a plastic material, with a grid of tubular sections 52 that are interconnected. In one embodiment, tubes have a diameter of about 4 mm is used. The honeycomb material can be of a desired thickness, and a thickness of about 14 mm functions well. The tubes 52 making up the honeycomb material 50 have passages therethrough. When installed in the housing 12 of the ionizing chamber, the axes of the tubes 52 will be aligned with the air flow and will generate positive and negative ions. This material will sometimes be referred to herein as ion generating material. Such a material provides minimal interruption of airflow therethrough. As will be discussed further below, when the titanium dioxide is activated by the high intensity UV light emanating from the UV light source, e.g., a UV lamp (shown in FIGS. 4, 6, and 8), will generate positive and negative ions, which ions will almost instantaneously cause contaminants in the air being treated to clump together, and fall from the air. Furthermore, illumination of the titanium dioxide on the honeycomb material will likewise generate hydrogen peroxide, which is a powerful oxidizing agent to deactivate biospecies (e.g., dust mites, bacteria, viruses, and fungi), dust particles, pollen, odors, and volatile organic chemicals. The ratio of the length of the tube to the diameter of the tube should not be too large so that the entire surface of the titanium dioxide coated honeycomb material can be bathed in UV light. Although material having a honeycomb structure is one preferred type of material, other materials can be used if desired, such as webbed material having a number of strands coated with titanium dioxide (or other materials) that provide the ionizing effect when irradiated with UV light. An important consideration in selection of the material being that air flow not be overly impeded and that the titanium dioxide coating be adapted to be bathed with UV light. FIG. 4 is a top plan view of an exemplary UV light source 60 of the invention. The UV light source 60 can preferably comprise a UV lamp with a U-shaped configuration, with two parallel elongate sections 62 and 64, joined with a U-shaped end 66. At the ends 68 and 70 of the elongate sections 62 and 64, respectively, are pairs of electrical leads 72 and 74 for energizing filaments 76 in the tubing. An outline of the top wall 14, end wall 16, and bottom wall 18 of the housing 12 is shown. An outline of front spacer 80 and rear spacer 82 are shown. The spacers 80 and 82 can be formed of foam rubber or other material and help prevent shocks to the unit from damaging the UV light source 60. A channel 84 can be cut in the front spacer 80 to hold the U-shaped 66 front end of the UV light source 60 and two slots 86 cut in the rear spacer 82 to suspend the ends of the elongate sections 62 and 64. The spacers 80 and 82 also serve another purpose in that the impede airflow therethrough, forcing air that passes through the ultraviolet ionizing chamber 10 to be exposed to UV light from the UV light source 60. As can be see, the distance R1 from the two parallel elongate sections 62 and 64 to a centerline β€œCL” between the two sections, and the distance R2 from the two parallel elongate sections 62 and 64 to the walls 14 and 18 are likewise minimized. FIG. 5 is a top plan view of an exemplary housing end cap 46. It includes a plate 46 and two apertures 90 for passage of the pairs of electrical leads 72 and 74 (not shown.) The plate 46 also can have apertures 92, the purposes of which is to retain complementary tab (not shown) on the light source electronics housing 30 to hold it in place to the housing end cap 46. Rectangular ears 94 formed by cutouts in the plate 46 are provided to engage and interlock with slots formed on the housing, as described below. FIG. 6 is a perspective view of an exemplary electronics housing/housing end cap unit 100. The light source electronics housing 30 is shown attached to the exemplary housing end cap 46 with its power cord 28 extending therefrom. The UV light source 60 is connected to the electronics housing/housing end cap unit 100 and during assembly of the ionizing chamber 10, the UV light source 60 and its connected electronics housing/housing end cap unit 100 will be engaged with the housing, as shown in FIG. 8. FIG. 7 is front perspective view of an exemplary housing 12 for the ionizing chamber 10, with other components removed. The extending inwardly from the top wall 14, end wall 16, and bottom wall 18 are pairs of spaced apart retention rails 102 and 104. The each of the retention rails in the pairs of retention rails 102 and 104 are spaced apart by a distance D that is approximately the same as the thickness of the sections of honeycomb material so that when the sections of honeycomb material are engaged in the housing 12, any air passing through the ultraviolet ionizing chamber 10 will be forced to travel through the sections of honeycomb material. The pairs of spaced apart retention rails 102 and 104 establish retainers, or slots β€œS”, for receipt of the sections of honeycomb material. The two pairs of retention rails 102 and 104 in turn spaced apart by distance C, which defines a cavity into which the UV light source will be located. While such retention rails 102 and 104 are shown on the top wall 14, end wall 16, and bottom wall 18, due to the presence of the front spacer 80 (see FIGS. 4 and 8) which will tend to prevent leakage of air at the end wall 16, the use of retention rails on the end wall 16 is optional. The ingress end β€œI” and egress end β€œE” are shown. Rim portions 106, 108, and 110 extend from the top wall 14, end wall 16, and bottom wall 18, respectively, and are formed at an ingress end I of the housing 12. This provides a surface for attachment of the front grill/mounting plate 20. Located around terminal ends of the rim portions 106, 108, and 110 is a front groove 120. Located around terminal ends of the rear rim sections 40, 42, and 44 is a rear grove 122. The front and rear grooves 120 and 122 provide openings into which rectangular ears 94 formed by cutouts in the housing end cap 46 (see FIG. 5) engage. The housing 12 can conveniently be formed of extruded material (e.g., aluminum, plastic, etc.) having the described profile. This arrangement of the wall sections 14, 16, and 18 provides a housing into which the sections of honeycomb material can easily be slid into during assembly, and with the UV bulb and its connected electronics housing/housing end cap unit 100 engaged therewith. FIG. 8 is a front perspective view showing an ingress opening in the exemplary housing for the ionizing chamber 12, with its light source holder/housing end cap 100 (having end cap 46 and light source electronic housing 30) and a downstream section of honeycomb material 50 in place, but with the upstream section of honeycomb material and the option front grill removed. The rim portion 106, 108, and 110 extending from wall 14, 16, 18, respectively, are shown. Also shown are UV light source 60, and spacers 80 and 82. FIG. 9 is a cross-sectional view of the assembled ultraviolet ionizing chamber 10 (less the front grill/mounting plate) through view lines 9-9 of FIG. 1. As can be seen, the sections of honeycomb material 50A and 50B are snuggly positioned in the housing 12 within the pairs of retention rails 102 and 104, respectively. The U-shaped UV light source 60 with its two parallel sections 62 and 64 will provide for generally uniform bathing of the two section of honeycomb material 50 with UV light, and will force the air that passes through ingress end β€œI”, through the first section of honeycomb material 50A, pass through the cavity C with the UV bulb 60, then through the second section of honeycomb material 50B and out the egress end E through the egress window 52. The unique construction of the housing 12 which tightly carries the two sections of honeycomb material and the positions the UV bulb 60 provides for full treatment of air passing through the ultraviolet ionizing chamber 10 and reduces airborne contaminants by 98% or more in a single pass. In operation, air will pass through the ultraviolet ionizing chamber 10 many times a day and substantially all airborne contaminants will be eliminated. Moreover, the electronics housing/housing end cap unit 100 which carries the U-shaped bulb 60 provides ease of assembly. The preferred embodiments of this invention have been disclosed, however, so that one of ordinary skill in the art would recognize that certain modifications would come within the scope of this invention. It is, therefore, to be understood that within the scope of the appended claims, the invention may be practiced otherwise than as specifically described.
summary
047626631
abstract
A self-testing contact closure test circuit and method in which invalid logic states are artificially created in order to simulate a switch malfunction, and thus to determine whether the testing circuitry correctly identifies the invalid state. The system is designed to be used with switches such as those incorporating form "C" arrangements having two pairs of contacts which under normal circumstances are in opposite states.
abstract
An illumination device is specified which includes a radiation source having at least one light-emitting diode, a control unit and a radiation receiving unit. The radiation receiving unit is provided, during operation of the illumination device for receiving both a radiation emitted by the radiation source and a reference radiation and for generating a measurement signal upon receiving the radiation from the radiation source and a reference signal upon receiving the reference radiation. An operating point for the radiation source is tunable by the control unit in a manner dependent on the measurement signal and the reference signal. Furthermore, a method is specified by which an emission characteristic of an illumination device can be adapted to a predetermined emission characteristic in a simplified manner.
048719137
description
DETAILED DESCRIPTION OF THE INVENTION In the present invention, the digital signal having the locational information on the radioactively labeled substances, which is obtained by the radiation image recording and reproducing method using a stimulable phosphor sheet or by reading out the visible image on a radiosensitive material given by the conventional radiography, is processed in an appropriate signal processing circuit having a function of signal processing to give automatically information on the base sequence of DNA or DNA fragment. This method requires no visual observation. Accordingly, the analysis of the autoradiograph can be automated by applying thereto the present invention comprising subjecting the digital signals corresponding to the autoradiograph having the locational information on the radioactively labeled substances to the digital signal processing, so that the time and labor required in the conventional method is reduced. Further, the locational information is obtained with high accuracy by the present invention. The digital signals corresponding to the autoradiograph of a sample are obtained by photoelectrically reading out the autoradiograph visualized on the radiosensitive material. Particularly, the digital signals, which are hardly affected even if the level of density of the image on the radiosensitive material deviates depending upon variation of the exposure conditions brought about by variation of the conditions of the sample and fluctuation of the speed of the radiographic emulsion (photographic emulsion), can be obtained by setting the read-out gain at an appropriate value in the step of the read-out. Alternatively, the digital signals are directly obtained without such visualization of the autoradiograph as in the conventional radiography by utilizing the radiation image recording and reproducing method. The radiation image recording and reproducing method which is employable in place of the conventional radiography is described, for instance, in U.S. Pat. No. 4,239,968, and said method comprises steps of: causing a stimulable phosphor in a stimulable phosphor sheet to absorb radiation energy having passed through an object or having radiated from an object; exciting the stimulable phosphor with an electromagnetic wave such as visible light or infrared rays (hereinafter referred to as "stimulating rays") to sequentially release the radiation energy stored in the stimulable phosphor as light emission; photoelectrically detecting the emitted light to give electric signals, or further converting the electric signals to digital signals through A/D conversion or reproducing a visible image on a recording material from the electric signals. The stimulable phosphor sheet contains a stimulable phosphor such as a divalent europium activated alkaline earth metal fluorohalide phosphor. When exposed to a radiation such X-rays, .alpha.-rays, .beta.-rays, .gamma.-rays, or ultraviolet rays, the stimulable phosphor absorbs a portion of the radiation energy and then emits light (stimulated emission) corresponding to the radiation energy stored therein upon excitation with an electromagnetic wave (stimulating rays) such as visible light or infrared rays. The radiation image recording and reproducing method has such a practical advantage that a radiation image can be recorded over a wide range of radiation exposure in comparison with the conventional radiography. More in detail, it is known that the amount of stimulated emission given by the stimulable phosphor upon excitation with stimulating rays after storing radiation energy is proportional to the amount of the radiation exposure over a wide range thereof. For this reason, the digital signal accurately corresponding to the autoradiograph of an object can be directly obtained by this method. In the step of photoelectrically detecting the stimulated emission, digital signals which are hardly affected even if the level of radiation energy stored in the stimulable phosphor sheet deviates depending upon variation of the exposure conditions brought about by variation of the conditions of the object, fluctuation of the sensitivity of the phosphor sheet, and fluctuation of the sensitivity of a photosensor, can be obtained by setting the read-out gain at an appropriate value. The method can be also performed with less amount of radiation from the sample than the conventional radiography, and the radioactively labeled substances in the sample which are harmful to investigators can be reduced. Further advantageous feature of the invention is that the autoradiograph having the locational information on the radioactively labeled substances can be obtained in the form of a visible image in addition to the locational information in the form of symbols and/or numerals. Thus, the locational information obtained in the form of the symbols and/or numerals through signal processing can be compared or checked with the visible image. Otherwise, the obtained image can be also compared with another visualized autoradiograph. Since the conventional radiography has been heretofore employed, the visualization of the autoradiograph is very useful for comparison and identification with other visible images obtained in the conventional autoradiography. This also makes possible to keep the locational information in the form of an image, in addition to storage of the information in the form of symbols and/or numerals in a magnetic tape or the like. A suitable image processing can be also performed on the digital signals to obtain a well readable visible image, because the visualization of an autoradiograph of a sample is done for the electric signals and/or digital signals corresponding thereto. Furthermore, this method provides an advantage that the exposure of a stimulable phosphor sheet to a sample can be conducted under remarkably milder exposure conditions (period of time, temperature, etc.) than that required in the conventional radiography. That is, the exposure of the phosphor sheet can be done at an ambient temperature or in the vicinity thereof without decreasing the accuracy of the locational information, and the exposure time is noticiably shortened because of the high sensitivity of the phosphr sheet. This also brings about the improvement in the accuracy of autoradiography and the simplification of procedure thereof. Examples of the sample employable in the present invention include a support medium on which base-specific synthetic products and/or mixture thereof, which are synthesized using radioactively labeled deoxynucleoside triphosphates (dNTP) and a DNA synthesis enzyme in the presence of DNA or DNA fragment as template, are resolved (or developed) in one-dimensional direction to form resolved rows. Especially, in the case that the base-specific synthetic products are synthesized by a dideoxy sequencing method (somtimes called chain termination sequencing method) using dideoxynucleoside triphosphates (ddNTP) having a function of terminating the synthesis reaction of DNA, which is extensively used among Sanger-Coulson methods, the following simplest combination can be obtained: (1) guanine-specific synthetic products, (2) adenine-specific synthetic products, (3) thymine-specific synthetic products, and (4) cytosine-specific synthetic products. Examples of the method for resolving (or developing) the radioactively labeled substances on a support medium include electrophoresis using one of various support mediums such as agarose gel, polyacrylamide gel, etc.; thin layer chromatography using silica gel, etc.; and paper chromatography using filter paper, etc. Among these method, the electrophoresis using a gel support medium is a representative one and preferably employed in the invention. The digital signals corresponding to an autoradiograph of the sample can be preferably obtained by using the stimulable phosphor sheet according to the radiation image recording and reproducing method. The stimulable phosphor sheet used in the present invention has a basic structure comprising a support, a phosphor layer and a transparent protective film. The phosphor layer comprises a binder and a stimulable phosphor dispersed therein, and for instance, it is obtained by dispersing particulate divalent europium activated barium fluorohalide (BaFBr:Eu2.sup.+) phosphor in a mixture of nitrocellulose and linear polyester. The stimulable phosphor sheet is, for example, prepared by providing the phosphor layer onto the support such as a polyethylene terephthalate sheet and then providing a protective film such as a polyethylene terephthalate sheet on the phosphor layer. In carrying out the exposing procedure, that is, the procedure of storing and recording the radiation energy released by the support medium containing the radioactively labeled substances on the stimulable phosphor sheet, at least a portion of the released radiation energy is absorbed by the phosphor sheet by placing the support medium and phosphor sheet together in layers for a certain period of time. The exposure can be accomplished by keeping the phosphor sheet in a position adjacent to the support medium, for instance, at a room temperature or lower temperature for at least several seconds. Detailed description on the preparation of the sample in the autoradiography using the Sanger-Coulson method, the stimulable phosphor sheet and the exposing procedure employable in the invention is given in Japanese Patent Application No. 58(1983)-201231 (corresponding to U.S. patent application Ser. No. 664,405 now abandoned or European Patent Application No. 84112879.6). A method for reading out the information on one-dimensional location on the radioactively labeled substances in the sample stored and recorded on the stimulable phosphor sheet according to the invention will be described briefly, referring to an embodiment of a read-out system shown in FIG. 1 of the accompanying drawings. FIG. 1 schematically illustrates an embodiment of the read-out system comprising a preliminary read-out section 2 for preliminarily reading out the information on the one-dimensional location of the radioactively labeled substances stored and recorded on the stimulable phosphor sheet 1 (from which the sample generally has been removed; the stimulable phosphor sheet is hereinafter referred to as "phosphor sheet"), and a final read-out section 3 for finally reading out the information stored and recorded on the phosphor sheet 1. In the preliminary read-out section 2, the preliminary read-out operation is carried out in the following manner. Laser beam 5 generated by a laser source 4 first passes through a filter 6 to cut off a light beam in the wavelength region corresponding to the wavelength region of stimulated emission to be emitted from the phosphor sheet 1 in response to stimulation with the laser beam 5. The laser beam 5 is subsequently deflected by a beam deflecter 7 such as a galvanometer mirror, and reflected by a plane reflecting mirror 8. The deflected beam then impinges upon the phosphor sheet 1. The laser source 4 used herein is so selected as to avoid overlapping of the wavelength region of the laser beam 5 with the main wavelength region of the stimulated emission to be emitted from the phosphor sheet 1. The phosphor sheet 1 is transferred to the direction along the arrow 9 under the irradiation of the above-mentioned deflected laser beam. Therefore, the whole surface of the phosphor sheet 1 is subjected to the irradiation of the deflected laser beam. The power of the laser beam 5 employed in the preliminary read-out section is adjusted to be lower than the power of the laser beam to be employed in the final read-out section, for example, by controlling the output of the laser source 4, the beam diameter of the laser beam 5, the scanning speed of the laser beam 5 or the transferring speed of the phosphor sheet 1. When irradiated with the above-mentioned laser beam, the phosphor sheet 1 gives the stimulated emission having the emission intensity proportional to the radiation energy stored (or recorded) therein. The emission then enters into a light guiding sheet 10 for the preliminary read-out. The light guiding sheet 10 has a linear edge face for receiving the emission, and the edge face is so positioned in the vicinity of the phosphor sheet as to correspond to the scanning line on the phosphor sheet 1. The exit of the light guiding sheet 10 is in the form of a ring and is connected to an light-receiving face of a photosensor 11 such as a photomultiplier. The light guiding sheet 10 is made, for instance, by processing a sheet of a transparent thermoplastic resin such as a polyacrylic synthetic resin, and so constituted that the emission introduced from the linear edge face is transmitted to the exit under repeated total reflection within the sheet 10. The stimulated emission from the phosphor sheet 1 is guided in the interior of the light guiding sheet 10 to the exit, and received by the photosensor 11. On the light-receiving face of the photosensor 11 is provided a filter which allows only the light of wavelength region of the stimulated emission to pass through and cuts off the light of the wavelength region of the stimulating rays (laser beam) so as to detect only the stimulated emission. The stimulated emission detected by the photosensor 11 is converted to electric signals, which are amplified in an amplifier 12 and transmitted to the output. The stored information output from the amplifier 12 is supplied to a control circuit 13 of the final read-out section 3. The control circuit 13 provides an amplification degree setting value a and a scale factor setting value b, for obtaining signals at an appropriate level. In the case of further reproducing the autoradiograph in the form of a visible image, an image processing condition setting value c is set so that a well readable image having uniform concentration and contrast can be given regardless of variation of the detected information. The phosphor sheet 1 having been subjected to the preliminary read-out in the above-described manner is then transferred to the final read-out section 3. In the final read-out section 3, the following read-out operation is performed. The laser beam 15 generated by a laser source 14 for the final read-out passes through a filter 16 having the same function as that of the above-mentioned filter 6, and then the beam diameter is precisely adjusted in a beam expander 17. Subsequently, the laser beam is deflected by a beam deflector 18 such as a galvanometer mirror, and reflected by a plane reflection mirror 19. The deflected beam then impinges one-dimensionally upon the phosphor sheet 1. Between the beam deflector 18 and the plane reflection mirror 19 an f-.theta. lens 20 is provided so that the beam speed is continuously kept constant when the deflected laser beam is scanned on the phosphor sheet 1. The phosphor sheet 1 is transferred in the direction along the arrow 21 under the irradiation with the above-mentioned deflected laser beam. Accordingly, the whole surface of the phosphor sheet is subjected to the irradiation in the same manner as in the preliminary read-out operation. When irradiated with the above-mentioned laser beam, the phosphor sheet 1 gives the stimulated emission in proportion to the radiation energy stored therein in the same manner as in the preliminary read-out operation. The emission then enters into a light guiding sheet 22 for the final read-out. The light guiding sheet 22 for the final read-out is made of the same material and has the same constitution as the light guiding sheet 10 employed for the preliminary read-out. The stimulated emission received is guided in the interior of the light guiding sheet 22 up to the exit under repeated total reflection, and then received by a photosensor 23. On the light-receiving face of the photosensor 23 is provided a filter which allows only the light of wavelength region of the stimulated emission to pass through and cuts off the light of the wavelength region of the stimulating rays (laser beam) so as to detect only the stimulated emission. The stimulated emission detected by the photosensor 23 is converted to electric signals, which are amplified to electric signals adjusted to an appropriate level in an amplifier 24 according to the aforementioned amplification degree setting value a and transmitted to an A/D converter 25. The adjusted electric signals are then converted to digital signals in the A/D converter 25 according to an appropriate scale factor defined by the scale factor setting value b. In the above description on the method for reading out the locational information on the radioactively labeled substances stored and recorded on the stimulable phosphor sheet, a read-out operation involving both the preliminary read-out operation and the final read-out operation has been given. However, the read-out operation employable in the present invention is not limited to the above-described embodiment. For instance, the preliminary read-out operation may be omitted if the content of the radioactive substances in the sample and an adequate exposure time for the sample is previously known. Further, other suitable methods than the above-mentioned embodiments may be used for reading out the locational information on the radioactively labeled substances on the support medium, which is stored and recorded on the stimulable phosphor sheet. Thus obtained digital signals corresponding to the autoradiograph of the radioactively labeled substances are subsequently input into a signal processing circuit 26 shown in FIG. 1. In the signal processing circuit 26, the digital signals are processed to give locational information on the radioactively labeled substances in the form of symbols and/or numerals. More in detail, a scanning line for the signal processing is determined and then sampling points along the scanning line are detected in the circuit 26. If desired, the signals can be further processed to visualize the autoradiograph according to the image processing condition setting value c. Alternatively, the digital signals corresponding to the autoradiograph of a sample can be also obtained from an autoradiographic image on a radiosensitive material which is visualized according to the conventional radiography. The radiosensitive material used in the present invention has a basic structure comprising a support and a radiographic emulsion (photographic emulsion) layer. The radiographic emulsion layer comprises a binder such as gelatin and silver halide (radiosensitive substance) dispersed therein. For instance, the radiosensitive material is prepared by providing the emulsion layer onto the transparent support such as a polyethylene terephthalate sheet. A representative example of the radiosensitive material includes a radiographic film such as a high-speed type X-ray film. In carrying out the exposing procedure, that is, the procedure of exposing the radiosensitive material to a radiation emitted by the support medium containing the radioactively labeled substances, at least a portion of the emitted radiation is absorbed by the radiosensitive substance in the radiosensitive material by placing the support medium and radiosensitive material together in layers for a certain period of time. The exposure can be accomplished by keeping the radiosensitive material in a position adjacent to the support medium, for instance, at a low temperature such as a temperature lower than 0.degree. C. for at least several days, and then the radiosensitive material is developed. In the exposing procedure, it is further possible to enhance the radiographic speed of the radiosensitive material by using a radiographic intensifying screen or applying thereto a preliminary exposure such as flash exposure. The exposing procedure of the radiosensitive material to a sample and the developing procedure thereof in the autoradiographic process have been well known, and are described for instance in the following literature: Method in Biochemical Experiment, Volume 6, Method in Tracer Experiment I, 271-289, "8. Autoradiography" by Toru Sueyoshi & Akiyo Shigematsu (Tokyo Kagaku Dozin Ltd., 1977). A method for reading out the autoradiographic image having information on the one-dimensional location of the radioactively labeled substances, recorded on the radiosensitive material according to the invention will be described briefly, referring to an embodiment of a read-out system shown in FIG. 2 of the accompanying drawings. FIG. 2 schematically illustrates an embodiment of the read-out system for reading out the autoradiographic image on a radiosensitive material 31. The radiosensitive material 31 on which the visual image is recorded is mounted on a transparent and hollow drum 32. The drum 32 is moved in the axial direction at a certain speed as well as rotated about its axis at a certain pitch and a mirror 33 is fixed in the hollow drum 32. A light beam 35 generated by a light source 34 passes through a lens 36 and comes into the drum 32. The light beam is then reflected in the upper direction by the mirror 33 and passes through the radiosensitive material 31 mounted on the transparent drum 32. Thus, the radiosensitive material 31 is spot-scanned with the light beam 35 in the X-Y scanning mode. The light beam passing through each position of the radiosensitive material 31 is received by a photosensor 37 and converted to electric signals, which are amplified by an amplifier 38 and converted to digital signals through an A/D converter 39. More in detail, the read-out procedure is described in Japanese Patent Provisional Publications No. 54(1979)-121043. In the above description on the method for reading out the autoradiographic image recorded on the radiosensitive material, a read-out procedure utilizes the light transmission method using a light beam, but the light reflection method can be also applied thereto. Further, the read-out procedure is by no means restricted to the above-mentioned embodiment, but other various methods such as a read-out procedure using a TV camera can be utilized. Thus obtained digital signals corresponding to the autoradiograph of the radioactively labeled substances are subsequently input into a signal processing circuit 40 shown in FIG. 2. In the signal processing circuit 40, the digital signals are processed to give locational information on the radioactively labeled substances in the form of symbols and/or numerals. More in detail, a scanning line for the signal processing is determined and then sampling points along the scanning line are detected in the circuit 40. The signal processing according to the present invention for the determination of base sequence of DNA is described below, utilizing the aforementioned dideoxy terminating method of Sanger-Coulson mehtod and the radiation image recording and reproducing method, and referring to an example employing the following four groups of base-specific synthetic products: (1) guanine-specific synthetic products, (2) adenine-specific synthetic products, (3) thymine-specific synthetic products, and (4) cytosine-specific synthetic products. A single-stranded DNA into which DNA specimen is inserted is first prepared. This is called a DNA template. A short-chain DNA fragment (called primer) complementary to part of the DNA template is prepared and hybridized with the DNA template according to the conventional manner. Four kinds of deoxynucleoside triphosphates (dNTP), at least one kind of which is labeled with a radioactive element (.sup.32 P), and a kind of dideoxynucleoside triphosphate (ddNTP) are added to the DNA template having the primer. The primer and template are both incubated together with a DNA polymerase in the presence of the mixture of dNTP and ddNTP to prolong the DNA chain from the primer site of the DNA template, so that the above four groups (1)-(4) of base-specific synthetic products are obtained. For example, guanine-specific synthetic products are synthesized using ddGTP as ddNTP. The other base-specific synthetic products are also synthesized using the corresponding ddNTP (ddATP, ddTTP or ddCTP). The four groups of base-specific synthetic products are then resolved on a gel support medium through electrophoresis to obtain a developed sample. Then, the sample (support medium) is placed on a stimulable phosphor sheet together in layers at room temperature for several minutes to perform the exposure, and an autoradiograph of the electrophoretic pattern (comprising four electrophoretic rows) in the sample is stored and recorded on the phosphor sheet. FIG. 3 schematically shows an autoradiograph of electrophretic pattern consisting of the four groups of radioactively labeled synthetic products. That is, rows of from the first to fourth shown in FIG. 3 in order correspond to: (1) (G)-specific synthetic products, (2) (A)-specific synthetic products, (3) (T)-specific synthetic products, and (4) (C)-specific synthetic products. The stimulable phosphor sheet on which the autoradiograph is stored and recorded, then is installed on the read-out system shown in FIG. 1 to carry out the read-out operation, and the digital signals corresponding to the autoradiograph shown in FIG. 3 are obtained. The digital signals received by the signal processing circuit 26 shown in FIG. 1 are provided with an address (X, Y) which is represented by a coordinate system defined by the phosphor sheet and with a signal level (Z) in each address corresponding to the intensity of stimulated emission. That is, the digital image data having the locational information on the above-mentioned radioactively labeled substances are given to the signal processing circuit 26. In the present invention, the term "digital image data" means a set of digital signals corresponding to the autoradiograph of the radioactively labeled substances. In the first step for the processing, a scanning line for the digital signal processing is determined with respect to the digital signals. In FIG. 3, the scanning line can be determined, for instance, by the following manner in which the vertical direction (namely, electrophoretic direction) is referred to as a Y-axis direction and the horizontal direction as an X-axis direction: the digital image data obtained as above are scanned numerically along the X-axis direction and X coordinate (X.sub.a) at which the signal exhibits a maximum level is detected, wherein a is a positive integer and represents the number of each row. The scanning along the X-axis direction can be done at any position along the Y-axis (for instance, at Y=Y.sub.a), but the scanning is necessarily done in such a sufficient width as to cover at least one resolved portion (namely, electrophoretic band) of the radioactively labeled substances. When the scanning width is such a width as to cover only one band, one maximum level is generally detected and corresponds to any one X coordinate of the four electrophoretic rows. Subsequently, such scanning at a different position of Y is repeated at least four times to obtain X coordinates for the four rows. In the signal processing method of the invention, the digital signals obtained by reading out the stimulable phosphor sheet are stored temporarily in a memory device of the signal processing circuit 26 (that is, stored in a non-volatile memory unit such as a buffer memory, a magnetic disk, etc.). In the signal processing, the scanning on the digital image data means to selectively pick up only the signals in the scanning area from the memory device. Therefore, the X coordinate (X.sub.a) can be detected, for instance, by repeatedly picking up digital signals within the above scanning area along the Y-axis, summing the levels of the picked digital signals for all X coordinates, and locating the position at which the sum of the signal levels is the highest. Alternatively, the X coordinate (X.sub.a) can be detected by repeatedly picking up digital signals within the above scanning area along the X-axis, detecting an X coordinate at which the signal level is the highest for every Y coordinate, and determining the X coordinate through calculation of the average coordinate for all X coordinates. In order to remove noise peaks which are possibly picked up in the scanning in addition to the desired signals, the signal level may be made two-valued with a previously set threshold value. The straight line which passes through the detected X coordinate (X.sub.a) and is parallel to the Y-axis is assigned to the scanning line for the signal processing procedure described below. In the second step, sampling points on the scanning line are detected. All points at which the signal exhibits a maximum level detected in the course of picking up digital signals on the scanning line can be assigned to the sampling points for detecting electrophoretic bands of the radioactively labeled substances. This scanning procedure along the scanning line is also desirably done with a certain width. Thus, the term "maximum points of the signal level" means all the peak points given in a graph in which the position (Y) is plotted as abscissa (horizontal axis) and the mean value (Z) of the signal levels within the scanning width is plotted as ordinate (vertical axis). Hereinafter, the mean value of the signal levels at each position (in each width) on the scanning line is simply referred to as the signal level at the position. FIG. 4 shows a graph in which the position (Y) on the scanning line is given on abscissa and the signal level is given on ordinate. Thus, a sampling point S.sub.an having a coordinate and a signal level at its coordinate (X.sub.a, Y.sub.an, Z.sub.an) is determined, wherein n is a positive integer and represents the number of each sampling point. The information on one-dimensional location of the radioactively labeled substances can be represented by a combination of the position in the one-dimensional direction and the signal level at its position (Y.sub.an, Z.sub.an). The signal level (Z.sub.an) at each position is assumed to indicate the relative amount (concentration) of the radioactively labeled substances. Further, if the starting position for electrophoresing the radioactively labeled substances is recorded previously on the stimulable phosphor sheet with a maker containing a radioactive element, the starting position (Y.sub.0) can be detected on the digital image data in the same manner as described above. Otherwise, the starting position (Y.sub.0) can be detected by beforehand providing the phosphor sheet itself with a mechanical identification means, such as, by punching the sheet to provide a perforation thereon, and superposing the starting position on the support medium on the perforation on the phosphor sheet in the initial stage of the exposing procedure. The locational information can be represented by a combination of the migration distance (Y.sub.an ') from the starting position of the electrophoresis which is given by calculation according to the subtraction equation [Y.sub.an -Y.sub.0 =Y.sub.an '] and the signal level at its position, namely (Y.sub.an ', Z.sub.an). The relative amount of the radioactively labeled substances can be determined from, for instance, the integrated value in the vicinity of the maximum point or differently calculated values as well as from the signal level at the above-mentioned sampling point. In the third step, the detected sampling points for the four rows are compared with each other with respect to the position (Y.sub.an) to arrange the sampling points in the order of from the farthest position to the starting position. For instance, the following scheme is obtained: EQU S.sub.11, S.sub.41, S.sub.31, S.sub.21, S.sub.42, S.sub.12, S.sub.32, S.sub.22, S.sub.23, . . . Replacing S.sub.an in the scheme according to S.sub.1n =G, S.sub.2n =A, S.sub.3n =T, and S.sub.4n =C, the following scheme is obtained: EQU G - C - T - A - C - G - T - A - A - . . . Therefore, the base sequence of one chain molecule of DNA complementary to the DNA specimen can be determined. The representation mode of the obtained information on the DNA sequencing is by no means limited to the above-mentioned mode, and any other representation mode may be used optionally. For instance, the signal level (Z.sub.an) at the sampling point obtained through the signal processing can be represented as the relative amount of each synthetic DNA resolved. Otherwise, the illustrative representation as shown in FIG. 5 is also possible. Furthermore, the base sequence of two chain molecules of DNA can be represented in combination. That is, by giving the information on the combination between the four bases, namely A-T and G-C, the base sequence of DNA represented by the following scheme is obtained: EQU G - C - T - A - C - G - T - A - A - . . . EQU C - G - A - T - G - C - A - T - T - . . . Thus obtained symbols and/or numerals are transmitted to a recording device (not shown), directly or optionally via storage in a storing means such as a magnetic tape. Various recording devices based on various systems can be employed for the above described purpose, for instance, a device for visualizing optically by scanning a photosensitive material with laser beam, etc., a display means for visualizing electrically on CRT, etc., a means for printing a radiation image displayed on CRT by means of video printer, and a means for visualizing on heat-sensitive recording material using thermic rays. The autoradiograph of the radioactively labeled substances on the support medium, if desired, can be further visualized in the form of an image from the obtained digital signals or the electric signals obtained before A/D converting. The signal processing (image processing) in the signal processing circuit 26 may be performed according to the image processing condition setting value c so as to give a well readable visible image having well adjusted concentration and contrast. Examples of the image processing include spatial frequency processing, gradation processing, addition averaging processing, reduction processing and enlarging processing. The digital signals having been subjected to the image processing are transmitted to a reproducing device, optionally via storage in a storing means such as a magnetic tape. A procedure for reproducing (recording) an image from the image-processed digital signals having the locational information on the radioactively labeled substances will be described below briefly, referring to a block diagram of a reproducing system shown in FIG. 6. FIG. 6 is a block diagram showing the outline of the reproduction system for reproducing an image from the digital signals output from the read-out system shown in FIG. 1. The reproducing procedure is conducted to obtain the locational information on the radioactively labeled substances in the form of an image in the following manner: The signals are input to the D/A converter 41 to be converted to the analogue signals representing the density. The analogue signals are then input to a light modulator 42 and the light modulator 42 is modulated according to the analogue signals. The laser beam 44 generated by a laser source 43 for recording is modulated in the light modulator 42, and subsequently is forced to scan on a photosensitive material 46 such as a photographic film by means of a scanning mirror 45, so that an image is reproduced on the photosensitive material 46. The procedure for reproducing an image from the digital signals is by no means limited to the above-mentioned procedure, and for instance, a procedure using the aforementioned recording devices may be utilized. Otherwise, the visual image of the autoradiograph can be also obtained by reproduction from the electric signals which are not A/D-converted to the digital signals. That is, the visual image can be obtained by directly transmitting the electric signals obtained in the amplifier 24 of the read-out system shown in FIG. 1 to the light modulator 42 of the reproducing system shown in FIG. 6. The method for determining the base sequence of DNA utilizing the above-mentioned combination (G, A, T, C) is an example of the determination of the base sequence of DNA. The signal processing of the present invention is by no means limited to such combination, and various combinations are employable and the base sequence of DNA can be determined by applying thereto the corresponding method in the same manner as described above. For instance, the set or combination obtained by the "plus and minus" method which is another one of Sanger-Coulson methods can be employed to determine the base sequence of DNA. Otherwise, the combination of at least one group of base-specific synthetic products and a suitable reference substance (for example, a mixture of all base-specific synthetic products) can be compared to determine the sequence of the specific base. In the above-mentioned example the present invention was described by using four rows of the radioactively labeled substances resolved one-dimensionally on a support medium, but the number of resolved rows is by no means limited to four, and may be more or less. Further, the base sequence of two or more of DNAs can be determined simultaneously using one support medium. It is further possible to perform the processing for genetic philological information such as comparison processing between the obtained DNA sequencing and the base sequence of another DNA which has been already determined and recorded.